repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/leon3/leon3.in.vhd
1
3,002
-- LEON3 processor core constant CFG_LEON3 : integer := CONFIG_LEON3; constant CFG_NCPU : integer := CONFIG_PROC_NUM; constant CFG_NWIN : integer := CONFIG_IU_NWINDOWS; constant CFG_V8 : integer := CFG_IU_V8 + 4*CFG_IU_MUL_STRUCT; constant CFG_MAC : integer := CONFIG_IU_MUL_MAC; constant CFG_BP : integer := CONFIG_IU_BP; constant CFG_SVT : integer := CONFIG_IU_SVT; constant CFG_RSTADDR : integer := 16#CONFIG_IU_RSTADDR#; constant CFG_LDDEL : integer := CONFIG_IU_LDELAY; constant CFG_NOTAG : integer := CONFIG_NOTAG; constant CFG_NWP : integer := CONFIG_IU_WATCHPOINTS; constant CFG_PWD : integer := CONFIG_PWD*2; constant CFG_FPU : integer := CONFIG_FPU + 16*CONFIG_FPU_NETLIST + 32*CONFIG_FPU_GRFPU_SHARED; constant CFG_GRFPUSH : integer := CONFIG_FPU_GRFPU_SHARED; constant CFG_ICEN : integer := CONFIG_ICACHE_ENABLE; constant CFG_ISETS : integer := CFG_IU_ISETS; constant CFG_ISETSZ : integer := CFG_ICACHE_SZ; constant CFG_ILINE : integer := CFG_ILINE_SZ; constant CFG_IREPL : integer := CFG_ICACHE_ALGORND; constant CFG_ILOCK : integer := CONFIG_ICACHE_LOCK; constant CFG_ILRAMEN : integer := CONFIG_ICACHE_LRAM; constant CFG_ILRAMADDR: integer := 16#CONFIG_ICACHE_LRSTART#; constant CFG_ILRAMSZ : integer := CFG_ILRAM_SIZE; constant CFG_DCEN : integer := CONFIG_DCACHE_ENABLE; constant CFG_DSETS : integer := CFG_IU_DSETS; constant CFG_DSETSZ : integer := CFG_DCACHE_SZ; constant CFG_DLINE : integer := CFG_DLINE_SZ; constant CFG_DREPL : integer := CFG_DCACHE_ALGORND; constant CFG_DLOCK : integer := CONFIG_DCACHE_LOCK; constant CFG_DSNOOP : integer := CONFIG_DCACHE_SNOOP + CONFIG_DCACHE_SNOOP_FAST + 4*CONFIG_DCACHE_SNOOP_SEPTAG; constant CFG_DFIXED : integer := 16#CONFIG_CACHE_FIXED#; constant CFG_DLRAMEN : integer := CONFIG_DCACHE_LRAM; constant CFG_DLRAMADDR: integer := 16#CONFIG_DCACHE_LRSTART#; constant CFG_DLRAMSZ : integer := CFG_DLRAM_SIZE; constant CFG_MMUEN : integer := CONFIG_MMUEN; constant CFG_ITLBNUM : integer := CONFIG_ITLBNUM; constant CFG_DTLBNUM : integer := CONFIG_DTLBNUM; constant CFG_TLB_TYPE : integer := CONFIG_TLB_TYPE + CFG_MMU_FASTWB*2; constant CFG_TLB_REP : integer := CONFIG_TLB_REP; constant CFG_MMU_PAGE : integer := CONFIG_MMU_PAGE; constant CFG_DSU : integer := CONFIG_DSU_ENABLE; constant CFG_ITBSZ : integer := CFG_DSU_ITB; constant CFG_ATBSZ : integer := CFG_DSU_ATB; constant CFG_LEON3FT_EN : integer := CONFIG_LEON3FT_EN; constant CFG_IUFT_EN : integer := CONFIG_IUFT_EN; constant CFG_FPUFT_EN : integer := CONFIG_FPUFT; constant CFG_RF_ERRINJ : integer := CONFIG_RF_ERRINJ; constant CFG_CACHE_FT_EN : integer := CONFIG_CACHE_FT_EN; constant CFG_CACHE_ERRINJ : integer := CONFIG_CACHE_ERRINJ; constant CFG_LEON3_NETLIST: integer := CONFIG_LEON3_NETLIST; constant CFG_DISAS : integer := CONFIG_IU_DISAS + CONFIG_IU_DISAS_NET; constant CFG_PCLOW : integer := CFG_DEBUG_PC32;
gpl-2.0
351a381f8eee3a5c9f47e38b307a4d8f
0.697202
3.29528
false
true
false
false
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_dma_v7_1/2a047f91/hdl/src/vhdl/axi_dma_mm2s_mngr.vhd
2
51,847
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_mngr.vhd -- Description: This entity is the top level entity for the AXI DMA MM2S -- manager. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_mm2s_mngr is generic( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Descriptor Buffer Length, Transferred Bytes, and Status Stream -- Rx Length Width. Indicates the least significant valid bits of -- descriptor buffer length, transferred bytes, or Rx Length value -- in the status word coincident with tlast. C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- AXI Master Stream in for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Control Stream Data Width ----------------------------------------------------------------------- -- Memory Map to Stream (MM2S) Parameters ----------------------------------------------------------------------- C_INCLUDE_MM2S : integer range 0 to 1 := 1; -- Include or exclude MM2S primary data path -- 0 = Exclude MM2S primary data path -- 1 = Include MM2S primary data path C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_MICRO_DMA : integer range 0 to 1 := 0; C_FAMILY : string := "virtex7" -- Target FPGA Device Family ); port ( -- Secondary Clock and Reset m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Primary Clock and Reset -- axi_prmry_aclk : in std_logic ; -- p_reset_n : in std_logic ; -- -- soft_reset : in std_logic ; -- -- -- MM2S Control and Status -- mm2s_run_stop : in std_logic ; -- mm2s_keyhole : in std_logic ; mm2s_halted : in std_logic ; -- mm2s_ftch_idle : in std_logic ; -- mm2s_updt_idle : in std_logic ; -- mm2s_ftch_err_early : in std_logic ; -- mm2s_ftch_stale_desc : in std_logic ; -- mm2s_tailpntr_enble : in std_logic ; -- mm2s_halt : in std_logic ; -- mm2s_halt_cmplt : in std_logic ; -- mm2s_halted_clr : out std_logic ; -- mm2s_halted_set : out std_logic ; -- mm2s_idle_set : out std_logic ; -- mm2s_idle_clr : out std_logic ; -- mm2s_new_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- mm2s_new_curdesc_wren : out std_logic ; -- mm2s_stop : out std_logic ; -- mm2s_desc_flush : out std_logic ; -- cntrl_strm_stop : out std_logic ; mm2s_all_idle : out std_logic ; -- -- mm2s_error : out std_logic ; -- s2mm_error : in std_logic ; -- -- Simple DMA Mode Signals mm2s_sa : in std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_length_wren : in std_logic ; -- mm2s_length : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- mm2s_smple_done : out std_logic ; -- mm2s_interr_set : out std_logic ; -- mm2s_slverr_set : out std_logic ; -- mm2s_decerr_set : out std_logic ; -- m_axis_mm2s_aclk : in std_logic; mm2s_strm_tlast : in std_logic; mm2s_strm_tready : in std_logic; mm2s_axis_info : out std_logic_vector (13 downto 0); -- -- SG MM2S Descriptor Fetch AXI Stream In -- m_axis_mm2s_ftch_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_ftch_tvalid : in std_logic ; -- m_axis_mm2s_ftch_tready : out std_logic ; -- m_axis_mm2s_ftch_tlast : in std_logic ; -- m_axis_mm2s_ftch_tdata_new : in std_logic_vector -- (96 downto 0); -- m_axis_mm2s_ftch_tdata_mcdma_new : in std_logic_vector -- (63 downto 0); -- m_axis_mm2s_ftch_tvalid_new : in std_logic ; -- m_axis_ftch1_desc_available : in std_logic; -- -- SG MM2S Descriptor Update AXI Stream Out -- s_axis_mm2s_updtptr_tdata : out std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_mm2s_updtptr_tvalid : out std_logic ; -- s_axis_mm2s_updtptr_tready : in std_logic ; -- s_axis_mm2s_updtptr_tlast : out std_logic ; -- -- s_axis_mm2s_updtsts_tdata : out std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_mm2s_updtsts_tvalid : out std_logic ; -- s_axis_mm2s_updtsts_tready : in std_logic ; -- s_axis_mm2s_updtsts_tlast : out std_logic ; -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_mm2s_cmd_tvalid : out std_logic ; -- s_axis_mm2s_cmd_tready : in std_logic ; -- s_axis_mm2s_cmd_tdata : out std_logic_vector -- ((2*C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0);-- -- -- User Status Interface Ports (AXI Stream) -- m_axis_mm2s_sts_tvalid : in std_logic ; -- m_axis_mm2s_sts_tready : out std_logic ; -- m_axis_mm2s_sts_tdata : in std_logic_vector(7 downto 0) ; -- m_axis_mm2s_sts_tkeep : in std_logic_vector(0 downto 0) ; -- mm2s_err : in std_logic ; -- -- ftch_error : in std_logic ; -- updt_error : in std_logic ; -- -- -- Memory Map to Stream Control Stream Interface -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- ((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic ; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_dma_mm2s_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; attribute mark_debug : string; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Primary DataMover Command signals signal mm2s_cmnd_wr : std_logic := '0'; signal mm2s_cmnd_data : std_logic_vector ((2*C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0) := (others => '0'); signal mm2s_cmnd_pending : std_logic := '0'; attribute mark_debug of mm2s_cmnd_data : signal is "true"; -- Primary DataMover Status signals signal mm2s_done : std_logic := '0'; signal mm2s_stop_i : std_logic := '0'; signal mm2s_interr : std_logic := '0'; signal mm2s_slverr : std_logic := '0'; signal mm2s_decerr : std_logic := '0'; attribute mark_debug of mm2s_interr : signal is "true"; attribute mark_debug of mm2s_slverr : signal is "true"; attribute mark_debug of mm2s_decerr : signal is "true"; signal mm2s_tag : std_logic_vector(3 downto 0) := (others => '0'); signal dma_mm2s_error : std_logic := '0'; signal soft_reset_d1 : std_logic := '0'; signal soft_reset_d2 : std_logic := '0'; signal soft_reset_re : std_logic := '0'; signal mm2s_error_i : std_logic := '0'; --signal cntrl_strm_stop : std_logic := '0'; signal mm2s_halted_set_i : std_logic := '0'; signal mm2s_sts_received_clr : std_logic := '0'; signal mm2s_sts_received : std_logic := '0'; signal mm2s_cmnd_idle : std_logic := '0'; signal mm2s_sts_idle : std_logic := '0'; -- Scatter Gather Interface signals signal desc_fetch_req : std_logic := '0'; signal desc_fetch_done : std_logic := '0'; signal desc_fetch_done_del : std_logic := '0'; signal desc_update_req : std_logic := '0'; signal desc_update_done : std_logic := '0'; signal desc_available : std_logic := '0'; signal packet_in_progress : std_logic := '0'; signal mm2s_desc_baddress : std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_blength : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_blength_v : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_blength_s : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_eof : std_logic := '0'; signal mm2s_desc_sof : std_logic := '0'; signal mm2s_desc_cmplt : std_logic := '0'; signal mm2s_desc_info : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_app4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_desc_info_int : std_logic_vector(13 downto 0) := (others => '0'); signal mm2s_strm_tlast_int : std_logic; signal rd_en_hold, rd_en_hold_int : std_logic; -- Control Stream Fifo write signals signal cntrlstrm_fifo_wren : std_logic := '0'; signal cntrlstrm_fifo_full : std_logic := '0'; signal cntrlstrm_fifo_din : std_logic_vector(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0) := (others => '0'); signal info_fifo_full : std_logic; signal info_fifo_empty : std_logic; signal updt_pending : std_logic := '0'; signal mm2s_cmnd_wr_1 : std_logic := '0'; signal fifo_rst : std_logic; signal fifo_empty : std_logic; signal fifo_empty_first : std_logic; signal fifo_empty_first1 : std_logic; signal first_read_pulse : std_logic; signal fifo_read : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Include MM2S State Machine and support logic ------------------------------------------------------------------------------- GEN_MM2S_DMA_CONTROL : if C_INCLUDE_MM2S = 1 generate begin -- Pass out to register module mm2s_halted_set <= mm2s_halted_set_i; ------------------------------------------------------------------------------- -- Graceful shut down logic ------------------------------------------------------------------------------- -- Error from DataMover (DMAIntErr, DMADecErr, or DMASlvErr) or SG Update error -- or SG Fetch error, or Stale Descriptor Error mm2s_error_i <= dma_mm2s_error -- Primary data mover reports error or updt_error -- SG Update engine reports error or ftch_error -- SG Fetch engine reports error or mm2s_ftch_err_early -- SG Fetch engine reports early error on mm2s or mm2s_ftch_stale_desc; -- SG Fetch stale descriptor error -- pass out to shut down s2mm mm2s_error <= mm2s_error_i; -- Clear run/stop and stop state machines due to errors or soft reset -- Error based on datamover error report or sg update error or sg fetch error -- SG update error and fetch error included because need to shut down, no way -- to update descriptors on sg update error and on fetch error descriptor -- data is corrupt therefor do not want to issue the xfer command to primary datamover --CR#566306 status for both mm2s and s2mm datamover are masked during shutdown therefore -- need to stop all processes regardless of the source of the error. -- mm2s_stop_i <= mm2s_error -- Error -- or soft_reset; -- Soft Reset issued mm2s_stop_i <= mm2s_error_i -- Error on MM2S or s2mm_error -- Error on S2MM or soft_reset; -- Soft Reset issued -- Reg stop out REG_STOP_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_stop <= '0'; else mm2s_stop <= mm2s_stop_i; end if; end if; end process REG_STOP_OUT; -- Generate DMA Controller For Scatter Gather Mode GEN_SCATTER_GATHER_MODE : if C_INCLUDE_SG = 1 generate begin -- Not Used in SG Mode (Errors are imbedded in updated descriptor and -- generate error after descriptor update is complete) mm2s_interr_set <= '0'; mm2s_slverr_set <= '0'; mm2s_decerr_set <= '0'; mm2s_smple_done <= '0'; mm2s_cmnd_wr_1 <= m_axis_mm2s_ftch_tvalid_new; --------------------------------------------------------------------------- -- MM2S Primary DMA Controller State Machine --------------------------------------------------------------------------- I_MM2S_SM : entity axi_dma_v7_1.axi_dma_mm2s_sm generic map( C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH , C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE , C_PRMY_CMDFIFO_DEPTH => C_PRMY_CMDFIFO_DEPTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status mm2s_run_stop => mm2s_run_stop , mm2s_keyhole => mm2s_keyhole , mm2s_ftch_idle => mm2s_ftch_idle , mm2s_cmnd_idle => mm2s_cmnd_idle , mm2s_sts_idle => mm2s_sts_idle , mm2s_stop => mm2s_stop_i , mm2s_desc_flush => mm2s_desc_flush , -- MM2S Descriptor Fetch Request (from mm2s_sm) desc_available => desc_available , desc_fetch_req => desc_fetch_req , desc_fetch_done => desc_fetch_done , desc_update_done => desc_update_done , updt_pending => updt_pending , packet_in_progress => packet_in_progress , -- DataMover Command mm2s_cmnd_wr => open, --mm2s_cmnd_wr_1 , mm2s_cmnd_data => mm2s_cmnd_data , mm2s_cmnd_pending => mm2s_cmnd_pending , -- Descriptor Fields mm2s_cache_info => mm2s_desc_info , mm2s_desc_baddress => mm2s_desc_baddress , mm2s_desc_blength => mm2s_desc_blength , mm2s_desc_blength_v => mm2s_desc_blength_v , mm2s_desc_blength_s => mm2s_desc_blength_s , mm2s_desc_eof => mm2s_desc_eof , mm2s_desc_sof => mm2s_desc_sof ); --------------------------------------------------------------------------- -- MM2S Scatter Gather State Machine --------------------------------------------------------------------------- I_MM2S_SG_IF : entity axi_dma_v7_1.axi_dma_mm2s_sg_if generic map( ------------------------------------------------------------------- -- Scatter Gather Parameters ------------------------------------------------------------------- C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE , C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM , C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH, C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_MICRO_DMA => C_MICRO_DMA, C_FAMILY => C_FAMILY ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- SG MM2S Descriptor Fetch AXI Stream In m_axis_mm2s_ftch_tdata => m_axis_mm2s_ftch_tdata , m_axis_mm2s_ftch_tvalid => m_axis_mm2s_ftch_tvalid , m_axis_mm2s_ftch_tready => m_axis_mm2s_ftch_tready , m_axis_mm2s_ftch_tlast => m_axis_mm2s_ftch_tlast , m_axis_mm2s_ftch_tdata_new => m_axis_mm2s_ftch_tdata_new , m_axis_mm2s_ftch_tdata_mcdma_new => m_axis_mm2s_ftch_tdata_mcdma_new , m_axis_mm2s_ftch_tvalid_new => m_axis_mm2s_ftch_tvalid_new , m_axis_ftch1_desc_available => m_axis_ftch1_desc_available , -- SG MM2S Descriptor Update AXI Stream Out s_axis_mm2s_updtptr_tdata => s_axis_mm2s_updtptr_tdata , s_axis_mm2s_updtptr_tvalid => s_axis_mm2s_updtptr_tvalid , s_axis_mm2s_updtptr_tready => s_axis_mm2s_updtptr_tready , s_axis_mm2s_updtptr_tlast => s_axis_mm2s_updtptr_tlast , s_axis_mm2s_updtsts_tdata => s_axis_mm2s_updtsts_tdata , s_axis_mm2s_updtsts_tvalid => s_axis_mm2s_updtsts_tvalid , s_axis_mm2s_updtsts_tready => s_axis_mm2s_updtsts_tready , s_axis_mm2s_updtsts_tlast => s_axis_mm2s_updtsts_tlast , -- MM2S Descriptor Fetch Request (from mm2s_sm) desc_available => desc_available , desc_fetch_req => desc_fetch_req , desc_fetch_done => desc_fetch_done , updt_pending => updt_pending , packet_in_progress => packet_in_progress , -- MM2S Descriptor Update Request desc_update_done => desc_update_done , mm2s_ftch_stale_desc => mm2s_ftch_stale_desc , mm2s_sts_received_clr => mm2s_sts_received_clr , mm2s_sts_received => mm2s_sts_received , mm2s_desc_cmplt => mm2s_desc_cmplt , mm2s_done => mm2s_done , mm2s_interr => mm2s_interr , mm2s_slverr => mm2s_slverr , mm2s_decerr => mm2s_decerr , mm2s_tag => mm2s_tag , mm2s_halt => mm2s_halt , -- CR566306 -- Control Stream Output cntrlstrm_fifo_wren => cntrlstrm_fifo_wren , cntrlstrm_fifo_full => cntrlstrm_fifo_full , cntrlstrm_fifo_din => cntrlstrm_fifo_din , -- MM2S Descriptor Field Output mm2s_new_curdesc => mm2s_new_curdesc , mm2s_new_curdesc_wren => mm2s_new_curdesc_wren , mm2s_desc_baddress => mm2s_desc_baddress , mm2s_desc_blength => mm2s_desc_blength , mm2s_desc_blength_v => mm2s_desc_blength_v , mm2s_desc_blength_s => mm2s_desc_blength_s , mm2s_desc_info => mm2s_desc_info , mm2s_desc_eof => mm2s_desc_eof , mm2s_desc_sof => mm2s_desc_sof , mm2s_desc_app0 => mm2s_desc_app0 , mm2s_desc_app1 => mm2s_desc_app1 , mm2s_desc_app2 => mm2s_desc_app2 , mm2s_desc_app3 => mm2s_desc_app3 , mm2s_desc_app4 => mm2s_desc_app4 ); cntrlstrm_fifo_full <= '0'; end generate GEN_SCATTER_GATHER_MODE; -- Generate DMA Controller for Simple DMA Mode GEN_SIMPLE_DMA_MODE : if C_INCLUDE_SG = 0 generate begin -- Scatter Gather signals not used in Simple DMA Mode m_axis_mm2s_ftch_tready <= '0'; s_axis_mm2s_updtptr_tdata <= (others => '0'); s_axis_mm2s_updtptr_tvalid <= '0'; s_axis_mm2s_updtptr_tlast <= '0'; s_axis_mm2s_updtsts_tdata <= (others => '0'); s_axis_mm2s_updtsts_tvalid <= '0'; s_axis_mm2s_updtsts_tlast <= '0'; desc_available <= '0'; desc_fetch_done <= '0'; packet_in_progress <= '0'; desc_update_done <= '0'; cntrlstrm_fifo_wren <= '0'; cntrlstrm_fifo_din <= (others => '0'); mm2s_new_curdesc <= (others => '0'); mm2s_new_curdesc_wren <= '0'; mm2s_desc_baddress <= (others => '0'); mm2s_desc_blength <= (others => '0'); mm2s_desc_blength_v <= (others => '0'); mm2s_desc_blength_s <= (others => '0'); mm2s_desc_eof <= '0'; mm2s_desc_sof <= '0'; mm2s_desc_cmplt <= '0'; mm2s_desc_app0 <= (others => '0'); mm2s_desc_app1 <= (others => '0'); mm2s_desc_app2 <= (others => '0'); mm2s_desc_app3 <= (others => '0'); mm2s_desc_app4 <= (others => '0'); desc_fetch_req <= '0'; -- Simple DMA State Machine I_MM2S_SMPL_SM : entity axi_dma_v7_1.axi_dma_smple_sm generic map( C_M_AXI_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH, C_MICRO_DMA => C_MICRO_DMA ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status run_stop => mm2s_run_stop , keyhole => mm2s_keyhole , stop => mm2s_stop_i , cmnd_idle => mm2s_cmnd_idle , sts_idle => mm2s_sts_idle , -- DataMover Status sts_received => mm2s_sts_received , sts_received_clr => mm2s_sts_received_clr , -- DataMover Command cmnd_wr => mm2s_cmnd_wr_1 , cmnd_data => mm2s_cmnd_data , cmnd_pending => mm2s_cmnd_pending , -- Trasnfer Qualifiers xfer_length_wren => mm2s_length_wren , xfer_address => mm2s_sa , xfer_length => mm2s_length ); -- Pass Done/Error Status out to DMASR mm2s_interr_set <= mm2s_interr; mm2s_slverr_set <= mm2s_slverr; mm2s_decerr_set <= mm2s_decerr; -- S2MM Simple DMA Transfer Done - used to assert IOC bit in DMASR. -- Receive clear when not shutting down mm2s_smple_done <= mm2s_sts_received_clr when mm2s_stop_i = '0' -- Else halt set prior to halted being set else mm2s_halted_set_i when mm2s_halted = '0' else '0'; end generate GEN_SIMPLE_DMA_MODE; ------------------------------------------------------------------------------- -- MM2S Primary DataMover command status interface ------------------------------------------------------------------------------- I_MM2S_CMDSTS : entity axi_dma_v7_1.axi_dma_mm2s_cmdsts_if generic map( C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH, C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL, C_ENABLE_QUEUE => C_SG_INCLUDE_DESC_QUEUE ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Fetch command write interface from mm2s sm mm2s_cmnd_wr => mm2s_cmnd_wr_1 , mm2s_cmnd_data => mm2s_cmnd_data , mm2s_cmnd_pending => mm2s_cmnd_pending , mm2s_sts_received_clr => mm2s_sts_received_clr , mm2s_sts_received => mm2s_sts_received , mm2s_tailpntr_enble => mm2s_tailpntr_enble , mm2s_desc_cmplt => mm2s_desc_cmplt , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => s_axis_mm2s_cmd_tvalid , s_axis_mm2s_cmd_tready => s_axis_mm2s_cmd_tready , s_axis_mm2s_cmd_tdata => s_axis_mm2s_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => m_axis_mm2s_sts_tvalid , m_axis_mm2s_sts_tready => m_axis_mm2s_sts_tready , m_axis_mm2s_sts_tdata => m_axis_mm2s_sts_tdata , m_axis_mm2s_sts_tkeep => m_axis_mm2s_sts_tkeep , -- MM2S Primary DataMover Status mm2s_err => mm2s_err , mm2s_done => mm2s_done , mm2s_error => dma_mm2s_error , mm2s_interr => mm2s_interr , mm2s_slverr => mm2s_slverr , mm2s_decerr => mm2s_decerr , mm2s_tag => mm2s_tag ); --------------------------------------------------------------------------- -- Halt / Idle Status Manager --------------------------------------------------------------------------- I_MM2S_STS_MNGR : entity axi_dma_v7_1.axi_dma_mm2s_sts_mngr generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- dma control and sg engine status signals mm2s_run_stop => mm2s_run_stop , mm2s_ftch_idle => mm2s_ftch_idle , mm2s_updt_idle => mm2s_updt_idle , mm2s_cmnd_idle => mm2s_cmnd_idle , mm2s_sts_idle => mm2s_sts_idle , -- stop and halt control/status mm2s_stop => mm2s_stop_i , mm2s_halt_cmplt => mm2s_halt_cmplt , -- system state and control mm2s_all_idle => mm2s_all_idle , mm2s_halted_clr => mm2s_halted_clr , mm2s_halted_set => mm2s_halted_set_i , mm2s_idle_set => mm2s_idle_set , mm2s_idle_clr => mm2s_idle_clr ); -- MM2S Control Stream Included GEN_CNTRL_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_INCLUDE_SG = 1 generate begin -- Register soft reset to create rising edge pulse to use for shut down. -- soft_reset from DMACR does not clear until after all reset processes -- are done. This causes stop to assert too long causing issue with -- status stream skid buffer. REG_SFT_RST : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then soft_reset_d1 <= '0'; soft_reset_d2 <= '0'; else soft_reset_d1 <= soft_reset; soft_reset_d2 <= soft_reset_d1; end if; end if; end process REG_SFT_RST; -- Rising edge soft reset pulse soft_reset_re <= soft_reset_d1 and not soft_reset_d2; -- Control Stream module stop requires rising edge of soft reset to -- shut down due to DMACR.SoftReset does not deassert on internal hard reset -- It clears after therefore do not want to issue another stop to cntrl strm -- skid buffer. cntrl_strm_stop <= mm2s_error_i -- Error or soft_reset_re; -- Soft Reset issued -- Control stream interface -- I_MM2S_CNTRL_STREAM : entity axi_dma_v7_1.axi_dma_mm2s_cntrl_strm -- generic map( -- C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , -- C_PRMY_CMDFIFO_DEPTH => C_PRMY_CMDFIFO_DEPTH , -- C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH , -- C_FAMILY => C_FAMILY -- ) -- port map( -- -- Secondary clock / reset -- m_axi_sg_aclk => m_axi_sg_aclk , -- m_axi_sg_aresetn => m_axi_sg_aresetn , -- -- -- Primary clock / reset -- axi_prmry_aclk => axi_prmry_aclk , -- p_reset_n => p_reset_n , -- -- -- MM2S Error -- mm2s_stop => cntrl_strm_stop , -- -- -- Control Stream input ---- cntrlstrm_fifo_wren => cntrlstrm_fifo_wren , -- cntrlstrm_fifo_full => cntrlstrm_fifo_full , -- cntrlstrm_fifo_din => cntrlstrm_fifo_din , -- -- -- Memory Map to Stream Control Stream Interface -- m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , -- m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , -- m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , -- m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , -- m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast -- -- ); end generate GEN_CNTRL_STREAM; -- MM2S Control Stream Excluded GEN_NO_CNTRL_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_INCLUDE_SG = 0 generate begin soft_reset_d1 <= '0'; soft_reset_d2 <= '0'; soft_reset_re <= '0'; cntrl_strm_stop <= '0'; end generate GEN_NO_CNTRL_STREAM; m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= (others => '0'); m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; end generate GEN_MM2S_DMA_CONTROL; ------------------------------------------------------------------------------- -- Exclude MM2S State Machine and support logic ------------------------------------------------------------------------------- GEN_NO_MM2S_DMA_CONTROL : if C_INCLUDE_MM2S = 0 generate begin m_axis_mm2s_ftch_tready <= '0'; s_axis_mm2s_updtptr_tdata <= (others =>'0'); s_axis_mm2s_updtptr_tvalid <= '0'; s_axis_mm2s_updtptr_tlast <= '0'; s_axis_mm2s_updtsts_tdata <= (others =>'0'); s_axis_mm2s_updtsts_tvalid <= '0'; s_axis_mm2s_updtsts_tlast <= '0'; mm2s_new_curdesc <= (others =>'0'); mm2s_new_curdesc_wren <= '0'; s_axis_mm2s_cmd_tvalid <= '0'; s_axis_mm2s_cmd_tdata <= (others =>'0'); m_axis_mm2s_sts_tready <= '0'; mm2s_halted_clr <= '0'; mm2s_halted_set <= '0'; mm2s_idle_set <= '0'; mm2s_idle_clr <= '0'; m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= (others => '0'); m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; mm2s_stop <= '0'; mm2s_desc_flush <= '0'; mm2s_all_idle <= '1'; mm2s_error <= '0'; -- CR#570587 mm2s_interr_set <= '0'; mm2s_slverr_set <= '0'; mm2s_decerr_set <= '0'; mm2s_smple_done <= '0'; cntrl_strm_stop <= '0'; end generate GEN_NO_MM2S_DMA_CONTROL; TDEST_FIFO : if (C_ENABLE_MULTI_CHANNEL = 1) generate process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (m_axi_sg_aresetn = '0') then desc_fetch_done_del <= '0'; else --if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then desc_fetch_done_del <= desc_fetch_done; end if; end if; end process; process (m_axis_mm2s_aclk) begin if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then if (m_axi_sg_aresetn = '0') then fifo_empty <= '0'; else fifo_empty <= info_fifo_empty; end if; end if; end process; process (m_axis_mm2s_aclk) begin if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then if (m_axi_sg_aresetn = '0') then fifo_empty_first <= '0'; fifo_empty_first1 <= '0'; else if (fifo_empty_first = '0' and (info_fifo_empty = '0' and fifo_empty = '1')) then fifo_empty_first <= '1'; end if; fifo_empty_first1 <= fifo_empty_first; end if; end if; end process; first_read_pulse <= fifo_empty_first and (not fifo_empty_first1); fifo_read <= first_read_pulse or rd_en_hold; mm2s_desc_info_int <= mm2s_desc_info (19 downto 16) & mm2s_desc_info (12 downto 8) & mm2s_desc_info (4 downto 0); -- mm2s_strm_tlast_int <= mm2s_strm_tlast and (not info_fifo_empty); -- process (m_axis_mm2s_aclk) -- begin -- if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then -- if (p_reset_n = '0') then -- rd_en_hold <= '0'; -- rd_en_hold_int <= '0'; -- else -- if (rd_en_hold = '1') then -- rd_en_hold <= '0'; -- elsif (info_fifo_empty = '0' and mm2s_strm_tlast = '1' and mm2s_strm_tready = '1') then -- rd_en_hold <= '1'; -- rd_en_hold_int <= '0'; -- else -- rd_en_hold <= rd_en_hold; -- rd_en_hold_int <= rd_en_hold_int; -- end if; -- end if; -- end if; -- end process; process (m_axis_mm2s_aclk) begin if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then if (p_reset_n = '0') then rd_en_hold <= '0'; rd_en_hold_int <= '0'; else if (info_fifo_empty = '1' and mm2s_strm_tlast = '1' and mm2s_strm_tready = '1') then rd_en_hold <= '1'; rd_en_hold_int <= '0'; elsif (info_fifo_empty = '0') then rd_en_hold <= mm2s_strm_tlast and mm2s_strm_tready; rd_en_hold_int <= rd_en_hold; else rd_en_hold <= rd_en_hold; rd_en_hold_int <= rd_en_hold_int; end if; end if; end if; end process; fifo_rst <= not (m_axi_sg_aresetn); -- Following FIFO is used to store the Tuser, Tid and xCache info I_INFO_FIFO : entity axi_dma_v7_1.axi_dma_afifo_autord generic map( C_DWIDTH => 14, C_DEPTH => 31 , C_CNT_WIDTH => 5 , C_USE_BLKMEM => 0, C_USE_AUTORD => 1, C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_FAMILY => C_FAMILY ) port map( -- Inputs AFIFO_Ainit => fifo_rst , AFIFO_Wr_clk => m_axi_sg_aclk , AFIFO_Wr_en => desc_fetch_done_del , AFIFO_Din => mm2s_desc_info_int , AFIFO_Rd_clk => m_axis_mm2s_aclk , AFIFO_Rd_en => rd_en_hold_int, --fifo_read, --mm2s_strm_tlast_int , AFIFO_Clr_Rd_Data_Valid => '0' , -- Outputs AFIFO_DValid => open , AFIFO_Dout => mm2s_axis_info , AFIFO_Full => info_fifo_full , AFIFO_Empty => info_fifo_empty , AFIFO_Almost_full => open , AFIFO_Almost_empty => open , AFIFO_Wr_count => open , AFIFO_Rd_count => open , AFIFO_Corr_Rd_count => open , AFIFO_Corr_Rd_count_minus1 => open , AFIFO_Rd_ack => open ); end generate TDEST_FIFO; NO_TDEST_FIFO : if (C_ENABLE_MULTI_CHANNEL = 0) generate mm2s_axis_info <= (others => '0'); end generate NO_TDEST_FIFO; end implementation;
gpl-3.0
4df1e218906d80a862f0dd6754d15b9b
0.407777
4.227232
false
false
false
false
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/median/prj/solution1/syn/vhdl/FIFO_image_filter_img_1_data_stream_1_V.vhd
4
4,629
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_img_1_data_stream_1_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_img_1_data_stream_1_V_shiftReg; architecture rtl of FIFO_image_filter_img_1_data_stream_1_V_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_img_1_data_stream_1_V is generic ( MEM_STYLE : string := "auto"; DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_img_1_data_stream_1_V is component FIFO_image_filter_img_1_data_stream_1_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_img_1_data_stream_1_V_shiftReg : FIFO_image_filter_img_1_data_stream_1_V_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
gpl-3.0
95f2536eb9f084b55ed78e2ce44fd6e3
0.537697
3.449329
false
false
false
false
Yuriu5/MiniBlaze
test/Simu/tb_sequencer_pkg.vhd
1
44,683
-- ********************************************************************************** -- Project : MiniBlaze -- Author : Benjamin Lemoine -- Module : tb_sequencer_pkg -- Date : 09/02/2017 -- -- Description : Package for the test bench of the Sequencer unit -- -- -------------------------------------------------------------------------------- -- Modifications -- -------------------------------------------------------------------------------- -- Date : Ver. : Author : Modification comments -- -------------------------------------------------------------------------------- -- : : : -- 09/02/2017 : 1.0 : B.Lemoine : First draft -- : : : -- ********************************************************************************** -- MIT License -- -- Copyright (c) 2017, Benjamin Lemoine -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in all -- copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. -- ********************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package tb_sequencer_pkg is -- Constant declaration constant D_WIDTH : integer := 32; constant SIZE_MEM : integer := 4; constant c_zero : std_logic_vector(D_WIDTH-1 downto 0) := (others => '0'); constant NB_COL : integer := 4; constant COL_WIDTH : integer := D_WIDTH/4; constant C_PERIOD : time := 8 ns; constant SIZE : natural := 2**SIZE_MEM; constant NB_WAIT_CLK : integer := 100; type ram_type is array (SIZE-1 downto 0) of std_logic_vector (D_WIDTH-1 downto 0); function instr_A(rD,rA,rB : integer; opcode : std_logic_vector(5 downto 0)) return std_logic_vector; function instr_B(rD,rA,imm : integer; opcode : std_logic_vector(5 downto 0)) return std_logic_vector; function instr_A_bsl(rD,rA,rB : integer; opcode : std_logic_vector(5 downto 0);S,T : std_logic) return std_logic_vector; function instr_B_bsl(rD,rA,imm : integer; opcode : std_logic_vector(5 downto 0);S,T : std_logic) return std_logic_vector; function instr_shift(rD,rA : integer; opcode : std_logic_vector(5 downto 0);S,T : std_logic) return std_logic_vector; function instr_sext(rD,rA : integer; opcode : std_logic_vector(5 downto 0);s16 : boolean) return std_logic_vector; function instr_A_branch_unc(rD,rB : integer; opcode : std_logic_vector(5 downto 0);D,A,L : std_logic) return std_logic_vector; function instr_A_branch_cond(rA,rB : integer; opcode : std_logic_vector(5 downto 0);opts : std_logic_vector(4 downto 0)) return std_logic_vector; type data_test is record program : ram_type; results : ram_type; end record; constant N : integer := 100; type vect_data_test is array(0 to N-1) of data_test; constant c_test : vect_data_test := ( 0 => ( -- ADD Rd,Ra,Rb ( 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_A( 2, 0, 1, "000000"), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"00120000", 15 => x"00003456", others => (others => '0') ), ( 0 => x"00123456", others => (others => '0') ) ), 1 => ( -- RSUB Rd,Ra,Rb ( 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_A( 2, 0, 1, "000001"), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"00000000", 15 => x"00000004", others => (others => '0') ), ( 0 => x"00000004", others => (others => '0') ) ), 2 => (( -- ADDC Rd,Ra,Rb 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_A( 5, 5, 0, "000001"), -- Set carry to one 3 => instr_A( 2, 0, 1, "000010"), -- Instruction to test (result in r2) 4 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 5 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"00003456", 15 => x"00120000", others => (others => '0')), ( 0 => x"00123457", others => (others => '0'))), 3 => (( -- RSUBC Rd,Ra,Rb 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_A( 5, 5, 0, "000001"), -- Set carry to one 3 => instr_A( 2, 0, 1, "000011"), -- Instruction to test (result in r2) 4 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 5 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"FFFFFFFF", 15 => x"00120000", others => (others => '0')), ( 0 => x"00120001", others => (others => '0'))), 4 => (( -- ADDK Rd,Ra,Rb 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_A( 5, 5, 0, "000100"), -- Set carry to one 3 => instr_A( 2, 0, 1, "000011"), -- Instruction to test (result in r2) 4 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 5 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"FFFFFFFF", 15 => x"00120000", others => (others => '0')), ( 0 => x"00120000", others => (others => '0'))), 5 => (( -- CMP Rd,Ra,Rb 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_A( 2, 0, 1, "000101"), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"00000012", 15 => x"00000011", others => (others => '0')), ( 0 => x"80000000", others => (others => '0'))), 6 => (( -- ADDI Rd,Ra,Imm 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_B( 2, 0, 1544, "001000"), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"10305070", 15 => x"00000011", others => (others => '0')), ( 0 => x"10305678", others => (others => '0'))), 7 => (( -- MUL Rd,Ra,Rb 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_A( 2, 0, 1, "010000"), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"00001234", 15 => x"00010000", others => (others => '0')), ( 0 => x"12340000", others => (others => '0'))), 8 => (( -- BSRA Rd,Ra,Rb 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_A_bsl( 2, 0, 1, "010001",'0','1'), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"FFF12345", 15 => x"00000004", others => (others => '0')), ( 0 => x"FFFF1234", others => (others => '0'))), 9 => (( -- BSLL Rd,Ra,Rb 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_A_bsl( 2, 0, 1, "010001",'1','0'), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"FFF12345", 15 => x"00000004", others => (others => '0')), ( 0 => x"FF123450", others => (others => '0'))), 10 => (( -- MULI Rd,Ra,Imm 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_B( 2, 0, 5, "011000"), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"00001234", 15 => x"00010000", others => (others => '0')), ( 0 => x"00005B04", others => (others => '0'))), 11 => (( -- BSRLI Rd,Ra,Imm 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_B_bsl( 2, 0, 6, "011001",'0','0'), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"01001234", 15 => x"00010000", others => (others => '0')), ( 0 => x"00040048", others => (others => '0'))), 12 => (( -- BSRAI Rd,Ra,Imm 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_B_bsl( 2, 0, 7, "011001",'0','1'), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"FF245231", 15 => x"00000000", others => (others => '0')), ( 0 => x"FFFE48A4", others => (others => '0'))), 13 => (( -- BSLLI Rd,Ra,Imm 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_B_bsl( 2, 0, 3, "011001",'1','0'), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"01001234", 15 => x"00010000", others => (others => '0')), ( 0 => x"080091A0", others => (others => '0'))), 14 => (( -- OR Rd,Ra,Rb 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_A( 2, 0, 1, "100000"), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"01235555", 15 => x"7020AAAA", others => (others => '0')), ( 0 => x"7123FFFF", others => (others => '0'))), 15 => (( -- AND Rd,Ra,Rb 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_A( 2, 0, 1, "100001"), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"01235555", 15 => x"702FAAAA", others => (others => '0')), ( 0 => x"00230000", others => (others => '0'))), 16 => (( -- XOR Rd,Ra,Rb 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_A( 2, 0, 1, "100010"), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"0123AAAA", 15 => x"702FCCCC", others => (others => '0')), ( 0 => x"710C6666", others => (others => '0'))), 17 => (( -- ANDN Rd,Ra,Rb 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_A( 2, 0, 1, "100011"), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"01235555", 15 => x"702FAAAA", others => (others => '0')), ( 0 => x"01005555", others => (others => '0'))), 18 => (( -- SRA Rd,Ra 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_shift( 2, 0, "100100", '0', '0'), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"F123AAA1", 15 => x"00000000", others => (others => '0')), ( 0 => x"F891D550", others => (others => '0'))), 19 => (( -- SRC Rd,Ra 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_shift( 2, 0, "100100", '0', '1'), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_shift( 3, 0, "100100", '0', '1'), -- Instruction to test (result in r2) 5 => instr_B( 3, 4, 48, "111110"), -- Store r2 at addr 0x14 6 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"F123AAA1", 15 => x"00000000", others => (others => '0')), ( 0 => x"7891D550", 1 => x"F891D550", others => (others => '0'))), 20 => ( -- SRL Rd,Ra ( 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_shift( 2, 0, "100100", '1', '0'), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_shift( 3, 0, "100100", '1', '0'), -- Instruction to test (result in r2) 5 => instr_B( 3, 4, 48, "111110"), -- Store r3 at addr 48 6 => instr_shift( 5, 0, "100100", '0', '1'), -- Instruction to test (result in r2) 7 => instr_B( 5, 4, 44, "111110"), -- Store r5 at addr 44 8 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"F123AAA1", 15 => x"00000000", others => (others => '0') ), ( 0 => x"7891D550", 1 => x"7891D550", 2 => x"F891D550", others => (others => '0') ) ), 21 => ( -- SEXT8 Rd,Ra ( 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_sext( 2, 0, "100100", false), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_sext( 3, 1, "100100", false), -- Instruction to test (result in r2) 5 => instr_B( 3, 4, 48, "111110"), -- Store r2 at addr 0x14 6 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"000000C8", 15 => x"00000068", others => (others => '0') ), ( 0 => x"FFFFFFC8", 1 => x"00000068", others => (others => '0') ) ), 22 => ( -- SEXT16 Rd,Ra ( 0 => instr_B( 0, 4, 56, "111010"), -- Load *(0x18) into r0 1 => instr_B( 1, 4, 60, "111010"), -- Load *(0x1C) into r1 2 => instr_sext( 2, 0, "100100", true), -- Instruction to test (result in r2) 3 => instr_B( 2, 4, 52, "111110"), -- Store r2 at addr 0x14 4 => instr_sext( 3, 1, "100100", true), -- Instruction to test (result in r2) 5 => instr_B( 3, 4, 48, "111110"), -- Store r2 at addr 0x14 6 => instr_B( 0, 0, 0, "101110"), -- Jump at 0x10 (while(1)) 13 => (others => '0'), 14 => x"0000C123", 15 => x"00005123", others => (others => '0') ), ( 0 => x"FFFFC123", 1 => x"00005123", others => (others => '0') ) ) , 23 => ( -- BR Rb ( 0 => instr_B( 0, 4, 56, "111010"), 1 => instr_B( 1, 4, 60, "111010"), 2 => instr_A( 7, 7, 1, "100110"), 3 => instr_B( 0, 4, 52, "111110"), 4 => instr_B( 0, 4, 48, "111110"), 5 => instr_B( 0, 0, 0, "101110"), 13 => (others => '0'), 14 => x"0000C123", 15 => x"00000008", others => (others => '0') ), ( 0 => x"00000000", 1 => x"0000C123", others => (others => '0') ) ), 24 => ( -- BRD Rb ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_unc(0, 1, "100110", '1','0','0'), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => (others => '0'), -- 16 5 => instr_B( 1, 4, 48, "111110"), -- 20 6 => instr_B( 0, 0, 0, "101110"), -- 24 13 => (others => '0'), 14 => x"0000C123", 15 => x"0000000C", others => (others => '0') ), ( 0 => x"0000C123", 1 => x"0000000C", others => (others => '0') ) ), 25 => ( -- BRLD Rb ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_unc(3, 1, "100110", '1','0','1'), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => (others => '0'), -- 16 5 => instr_B( 3, 4, 48, "111110"), -- 20 6 => instr_B( 0, 0, 0, "101110"), -- 24 13 => (others => '0'), 14 => x"0000C123", 15 => x"0000000C", others => (others => '0') ), ( 0 => x"0000C123", -- r0 1 => x"00000008", -- pc others => (others => '0') ) ), 26 => ( -- BRA Rb ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_unc(0, 1, "100110", '0','1','0'), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => (others => '0'), -- 16 5 => instr_B( 0, 4, 48, "111110"), -- 20 6 => instr_B( 0, 0, 0, "101110"), -- 24 13 => (others => '0'), 14 => x"0000C123", 15 => x"00000014", others => (others => '0') ), ( 0 => x"00000000", -- r0 1 => x"0000C123", -- pc others => (others => '0') ) ), 27 => ( -- BRAD Rb ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_unc(0, 1, "100110", '1','1','0'), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => (others => '0'), -- 16 5 => instr_B( 0, 4, 48, "111110"), -- 20 6 => instr_B( 0, 0, 0, "101110"), -- 24 13 => (others => '0'), 14 => x"0000C123", 15 => x"00000014", others => (others => '0') ), ( 0 => x"0000C123", 1 => x"0000C123", others => (others => '0') ) ), 28 => ( -- BRALD Rb ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_unc(3, 1, "100110", '1','1','1'), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => (others => '0'), -- 16 5 => instr_B( 3, 4, 48, "111110"), -- 20 6 => instr_B( 0, 0, 0, "101110"), -- 24 13 => (others => '0'), 14 => x"0000C123", 15 => x"00000014", others => (others => '0') ), ( 0 => x"0000C123", 1 => x"00000008", others => (others => '0') ) ), 29 => ( -- BEQ ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_cond(1, 0, "100111", "00000"), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => instr_A_branch_cond(0, 0, "100111", "00000"), -- 16 5 => instr_B( 0, 4, 48, "111110"), -- 20 6 => instr_B( 0, 0, 0, "101110"), -- 24 13 => (others => '0'), 14 => x"00000008", 15 => x"00000000", others => (others => '0') ), ( 0 => x"00000000", 1 => x"00000008", others => (others => '0') ) ), 30 => ( -- BNE ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_cond(1, 0, "100111", "00001"), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => instr_A_branch_cond(0, 0, "100111", "00001"), -- 16 5 => instr_B( 0, 4, 48, "111110"), -- 20 6 => instr_B( 0, 0, 0, "101110"), -- 24 13 => (others => '0'), 14 => x"00000008", 15 => x"00000000", others => (others => '0') ), ( 0 => x"00000008", 1 => x"00000000", others => (others => '0') ) ), 31 => ( -- BLT ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_cond(1, 0, "100111", "00010"), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => instr_A_branch_cond(0, 0, "100111", "00010"), -- 16 5 => instr_B( 0, 4, 48, "111110"), -- 20 6 => instr_B( 0, 0, 0, "101110"), -- 24 13 => (others => '0'), 14 => x"00000008", 15 => x"80000000", others => (others => '0') ), ( 0 => x"00000000", 1 => x"00000008", others => (others => '0') ) ), 32 => ( -- BLE ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_cond(4, 0, "100111", "00011"), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => instr_A_branch_cond(1, 0, "100111", "00011"), -- 16 5 => instr_B( 0, 4, 48, "111110"), -- 20 6 => instr_B( 0, 0, 0, "101110"), -- 24 13 => (others => '0'), 14 => x"00000008", 15 => x"80000000", others => (others => '0') ), ( 0 => x"00000000", 1 => x"00000000", others => (others => '0') ) ), 33 => ( -- BGT ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_cond(0, 0, "100111", "00100"), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => instr_A_branch_cond(1, 0, "100111", "00100"), -- 16 5 => instr_B( 0, 4, 48, "111110"), -- 20 6 => instr_B( 0, 0, 0, "101110"), -- 24 13 => (others => '0'), 14 => x"00000008", 15 => x"80000000", others => (others => '0') ), ( 0 => x"00000000", 1 => x"00000008", others => (others => '0') ) ), 34 => ( -- BGE ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_cond(4, 0, "100111", "00100"), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => instr_A_branch_cond(1, 0, "100111", "00100"), -- 16 5 => instr_B( 0, 4, 48, "111110"), -- 20 6 => instr_B( 0, 0, 0, "101110"), -- 24 13 => (others => '0'), 14 => x"00000008", 15 => x"80000000", others => (others => '0') ), ( 0 => x"00000000", 1 => x"00000008", others => (others => '0') ) ), 35 => ( -- BEQD ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_cond(4, 0, "100111", "10000"), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => instr_B( 1, 4, 48, "111110"), -- 16 5 => instr_B( 0, 0, 0, "101110"), -- 20 13 => (others => '0'), 14 => x"0000000C", 15 => x"F0000000", others => (others => '0') ), ( 0 => x"0000000C", 1 => x"00000000", others => (others => '0') ) ), 36 => ( -- BNED ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_cond(0, 0, "100111", "10001"), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => instr_B( 1, 4, 48, "111110"), -- 16 5 => instr_B( 0, 0, 0, "101110"), -- 20 13 => (others => '0'), 14 => x"0000000C", 15 => x"F0000000", others => (others => '0') ), ( 0 => x"0000000C", 1 => x"00000000", others => (others => '0') ) ), 37 => ( -- BLTD ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_cond(1, 0, "100111", "10010"), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => instr_B( 1, 4, 48, "111110"), -- 16 5 => instr_B( 0, 0, 0, "101110"), -- 20 13 => (others => '0'), 14 => x"0000000C", 15 => x"F0000000", others => (others => '0') ), ( 0 => x"0000000C", 1 => x"00000000", others => (others => '0') ) ), 38 => ( -- BLED ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_cond(4, 0, "100111", "10011"), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => instr_B( 1, 4, 48, "111110"), -- 16 5 => instr_B( 0, 0, 0, "101110"), -- 20 13 => (others => '0'), 14 => x"0000000C", 15 => x"F0000000", others => (others => '0') ), ( 0 => x"0000000C", 1 => x"00000000", others => (others => '0') ) ), 39 => ( -- BGTD ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_cond(0, 0, "100111", "10100"), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => instr_B( 1, 4, 48, "111110"), -- 16 5 => instr_B( 0, 0, 0, "101110"), -- 20 13 => (others => '0'), 14 => x"0000000C", 15 => x"F0000000", others => (others => '0') ), ( 0 => x"0000000C", 1 => x"00000000", others => (others => '0') ) ), 40 => ( -- BGED ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_A_branch_cond(4, 0, "100111", "10101"), -- 8 3 => instr_B( 0, 4, 52, "111110"), -- 12 4 => instr_B( 1, 4, 48, "111110"), -- 16 5 => instr_B( 0, 0, 0, "101110"), -- 20 13 => (others => '0'), 14 => x"0000000C", 15 => x"F0000000", others => (others => '0') ), ( 0 => x"0000000C", 1 => x"00000000", others => (others => '0') ) ), 41 => ( -- ORI ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_B( 2, 0, 1544, "101000"), -- 8 3 => instr_B( 2, 4, 52, "111110"), -- 12 4 => instr_B( 1, 4, 48, "111110"), -- 16 5 => instr_B( 0, 0, 0, "101110"), -- 20 13 => (others => '0'), 14 => x"10305070", 15 => x"00000000", others => (others => '0') ), ( 0 => x"10305678", 1 => x"00000000", others => (others => '0') ) ), 42 => ( -- ANDI ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_B( 2, 0, 61680, "101001"), -- 8 3 => instr_B( 2, 4, 52, "111110"), -- 12 4 => instr_B( 1, 4, 48, "111110"), -- 16 5 => instr_B( 0, 0, 0, "101110"), -- 20 13 => (others => '0'), 14 => x"10305678", 15 => x"00000000", others => (others => '0') ), ( 0 => x"00005070", 1 => x"00000000", others => (others => '0') ) ), 43 => ( -- XORI ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_B( 2, 0, 43981, "101010"), -- 8 3 => instr_B( 2, 4, 52, "111110"), -- 12 4 => instr_B( 1, 4, 48, "111110"), -- 16 5 => instr_B( 0, 0, 0, "101110"), -- 20 13 => (others => '0'), 14 => x"12345678", 15 => x"00000000", others => (others => '0') ), ( 0 => x"1234FDB5", 1 => x"00000000", others => (others => '0') ) ), 44 => ( -- ANDNI ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_B( 2, 0, 61680, "101011"), -- 8 3 => instr_B( 2, 4, 52, "111110"), -- 12 4 => instr_B( 1, 4, 48, "111110"), -- 16 5 => instr_B( 0, 0, 0, "101110"), -- 20 13 => (others => '0'), 14 => x"12345678", 15 => x"00000000", others => (others => '0') ), ( 0 => x"12340608", 1 => x"00000000", others => (others => '0') ) ), 45 => ( -- IMM (+ANDI) ( 0 => instr_B( 0, 4, 56, "111010"), -- 0 1 => instr_B( 1, 4, 60, "111010"), -- 4 2 => instr_B( 4, 4, 65535, "101100"), -- 8 3 => instr_B( 2, 0, 61680, "101001"), -- 12 4 => instr_B( 2, 4, 52, "111110"), -- 16 5 => instr_B( 1, 4, 48, "111110"), -- 20 6 => instr_B( 0, 0, 0, "101110"), -- 24 13 => (others => '0'), 14 => x"10305678", 15 => x"00000000", others => (others => '0') ), ( 0 => x"10305070", 1 => x"00000000", others => (others => '0') ) ), others => ((others => (others => '0')),(others => (others => '0'))) ); end tb_sequencer_pkg; package body tb_sequencer_pkg is function instr_A(rD,rA,rB : integer; opcode : std_logic_vector(5 downto 0)) return std_logic_vector is variable result : std_logic_vector(31 downto 0) := (others => '0'); begin result(31 downto 26) := opcode; result(25 downto 21) := std_logic_vector(to_unsigned(rD,5)); result(20 downto 16) := std_logic_vector(to_unsigned(rA,5)); result(15 downto 11) := std_logic_vector(to_unsigned(rB,5)); return result; end function; function instr_B(rD,rA,imm : integer; opcode : std_logic_vector(5 downto 0)) return std_logic_vector is variable result : std_logic_vector(31 downto 0) := (others => '0'); begin result(31 downto 26) := opcode; result(25 downto 21) := std_logic_vector(to_unsigned(rD,5)); result(20 downto 16) := std_logic_vector(to_unsigned(rA,5)); result(15 downto 0) := std_logic_vector(to_unsigned(imm,16)); return result; end function; function instr_A_bsl(rD,rA,rB : integer; opcode : std_logic_vector(5 downto 0);S,T : std_logic) return std_logic_vector is variable result : std_logic_vector(31 downto 0) := (others => '0'); begin result(31 downto 26) := opcode; result(25 downto 21) := std_logic_vector(to_unsigned(rD,5)); result(20 downto 16) := std_logic_vector(to_unsigned(rA,5)); result(15 downto 11) := std_logic_vector(to_unsigned(rB,5)); result(10) := S; result(9) := T; return result; end function; function instr_B_bsl(rD,rA,imm : integer; opcode : std_logic_vector(5 downto 0);S,T : std_logic) return std_logic_vector is variable result : std_logic_vector(31 downto 0) := (others => '0'); begin result(31 downto 26) := opcode; result(25 downto 21) := std_logic_vector(to_unsigned(rD,5)); result(20 downto 16) := std_logic_vector(to_unsigned(rA,5)); result(10) := S; result(9) := T; result(4 downto 0) := std_logic_vector(to_unsigned(imm,5)); return result; end function; function instr_shift(rD,rA : integer; opcode : std_logic_vector(5 downto 0);S,T : std_logic) return std_logic_vector is variable result : std_logic_vector(31 downto 0) := (others => '0'); begin result(31 downto 26) := opcode; result(25 downto 21) := std_logic_vector(to_unsigned(rD,5)); result(20 downto 16) := std_logic_vector(to_unsigned(rA,5)); result(6) := S; result(5) := T; result(0) := '1'; return result; end function; function instr_sext(rD,rA : integer; opcode : std_logic_vector(5 downto 0);s16 : boolean) return std_logic_vector is variable result : std_logic_vector(31 downto 0) := (others => '0'); begin result(31 downto 26) := opcode; result(25 downto 21) := std_logic_vector(to_unsigned(rD,5)); result(20 downto 16) := std_logic_vector(to_unsigned(rA,5)); result(6) := '1'; result(5) := '1'; if s16 then result(0) := '1'; else result(0) := '0'; end if; return result; end function; function instr_A_branch_unc(rD,rB : integer; opcode : std_logic_vector(5 downto 0);D,A,L : std_logic) return std_logic_vector is variable result : std_logic_vector(31 downto 0) := (others => '0'); begin result(31 downto 26) := opcode; result(25 downto 21) := std_logic_vector(to_unsigned(rD,5)); result(20) := D; result(19) := A; result(18) := L; result(15 downto 11) := std_logic_vector(to_unsigned(rB,5)); return result; end function; function instr_A_branch_cond(rA,rB : integer; opcode : std_logic_vector(5 downto 0);opts : std_logic_vector(4 downto 0)) return std_logic_vector is variable result : std_logic_vector(31 downto 0) := (others => '0'); begin result(31 downto 26) := opcode; result(25 downto 21) := opts; result(20 downto 16) := std_logic_vector(to_unsigned(rA,5)); result(15 downto 11) := std_logic_vector(to_unsigned(rB,5)); return result; end function; end;
mit
6b8b1354eedd2b211f05bc6b87f458ba
0.360383
3.749832
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-ztex-ufm-115/config.vhd
1
5,589
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := spartan6; constant CFG_MEMTECH : integer := spartan6; constant CFG_PADTECH : integer := spartan6; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := spartan6; constant CFG_CLKMUL : integer := (3); constant CFG_CLKDIV : integer := (2); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (2); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (2); constant CFG_NOTAG : integer := 1; constant CFG_NWP : integer := (0); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 4; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 2; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 4; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 2; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1 + 1 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 1; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Xilinx MIG constant CFG_MIG_DDR2 : integer := 1; constant CFG_MIG_RANKS : integer := (1); constant CFG_MIG_COLBITS : integer := (10); constant CFG_MIG_ROWBITS : integer := (13); constant CFG_MIG_BANKBITS: integer := (2); constant CFG_MIG_HMASK : integer := 16#F80#; -- AHB ROM constant CFG_AHBROMEN : integer := 1; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#100#; constant CFG_ROMMASK : integer := 16#E00# + 16#100#; -- AHB RAM constant CFG_AHBRAMEN : integer := 1; constant CFG_AHBRSZ : integer := 4; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 0; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := 1; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 1; constant CFG_SPICTRL_NUM : integer := (1); constant CFG_SPICTRL_SLVS : integer := (1); constant CFG_SPICTRL_FIFO : integer := (2); constant CFG_SPICTRL_SLVREG : integer := 1; constant CFG_SPICTRL_ODMODE : integer := 1; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 0; constant CFG_SPICTRL_MAXWLEN : integer := (0); constant CFG_SPICTRL_SYNCRAM : integer := 0; constant CFG_SPICTRL_FT : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
fa781ed1761ad3db216a2d80db44fdfa
0.643228
3.674556
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-asic/bschain.vhd
1
11,906
----------------------------------------------------------------------------- -- LEON3 Demonstration design -- Copyright (C) 2013, Aeroflex Gaisler AB ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.jtag.all; use work.config.all; entity bschain is generic (tech: integer := CFG_FABTECH; enable: integer range 0 to 1 := CFG_BOUNDSCAN_EN; hzsup: integer range 0 to 1 := 1); port ( -- Chain control signals chain_tck : in std_ulogic; chain_tckn : in std_ulogic; chain_tdi : in std_ulogic; chain_tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; bsupdi : in std_ulogic; bsupdo : in std_ulogic; bsdrive : in std_ulogic; bshighz : in std_ulogic; -- Pad-side signals Presetn : in std_ulogic; Pclksel : in std_logic_vector (1 downto 0); Pclk : in std_ulogic; Perrorn : out std_ulogic; Paddress : out std_logic_vector(27 downto 0); Pdatain : in std_logic_vector(31 downto 0); Pdataout : out std_logic_vector(31 downto 0); Pdataen : out std_logic_vector(31 downto 0); Pcbin : in std_logic_vector(7 downto 0); Pcbout : out std_logic_vector(7 downto 0); Pcben : out std_logic_vector(7 downto 0); Psdclk : out std_ulogic; Psdcsn : out std_logic_vector (1 downto 0); -- sdram chip select Psdwen : out std_ulogic; -- sdram write enable Psdrasn : out std_ulogic; -- sdram ras Psdcasn : out std_ulogic; -- sdram cas Psddqm : out std_logic_vector (3 downto 0); -- sdram dqm Pdsutx : out std_ulogic; -- DSU tx data Pdsurx : in std_ulogic; -- DSU rx data Pdsuen : in std_ulogic; Pdsubre : in std_ulogic; Pdsuact : out std_ulogic; Ptxd1 : out std_ulogic; -- UART1 tx data Prxd1 : in std_ulogic; -- UART1 rx data Ptxd2 : out std_ulogic; -- UART2 tx data Prxd2 : in std_ulogic; -- UART2 rx data Pramsn : out std_logic_vector (4 downto 0); Pramoen : out std_logic_vector (4 downto 0); Prwen : out std_logic_vector (3 downto 0); Poen : out std_ulogic; Pwriten : out std_ulogic; Pread : out std_ulogic; Piosn : out std_ulogic; Promsn : out std_logic_vector (1 downto 0); Pbrdyn : in std_ulogic; Pbexcn : in std_ulogic; Pwdogn : out std_ulogic; Pgpioin : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port Pgpioout : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port Pgpioen : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port Pprom32 : in std_ulogic; Ppromedac : in std_ulogic; Pspw_clksel : in std_logic_vector (1 downto 0); Pspw_clk : in std_ulogic; Pspw_rxd : in std_logic_vector(0 to CFG_SPW_NUM-1); Pspw_rxs : in std_logic_vector(0 to CFG_SPW_NUM-1); Pspw_txd : out std_logic_vector(0 to CFG_SPW_NUM-1); Pspw_txs : out std_logic_vector(0 to CFG_SPW_NUM-1); Pspw_ten : out std_logic_vector(0 to CFG_SPW_NUM-1); Plclk2x : in std_ulogic; Plclk4x : in std_ulogic; Plclkdis : out std_ulogic; Plclklock : in std_ulogic; Plock : out std_ulogic; Proen : in std_ulogic; Proout : out std_ulogic; -- Core-side signals Cresetn : out std_ulogic; Cclksel : out std_logic_vector (1 downto 0); Cclk : out std_ulogic; Cerrorn : in std_ulogic; Caddress : in std_logic_vector(27 downto 0); Cdatain : out std_logic_vector(31 downto 0); Cdataout : in std_logic_vector(31 downto 0); Cdataen : in std_logic_vector(31 downto 0); Ccbin : out std_logic_vector(7 downto 0); Ccbout : in std_logic_vector(7 downto 0); Ccben : in std_logic_vector(7 downto 0); Csdclk : in std_ulogic; Csdcsn : in std_logic_vector (1 downto 0); -- sdram chip select Csdwen : in std_ulogic; -- sdram write enable Csdrasn : in std_ulogic; -- sdram ras Csdcasn : in std_ulogic; -- sdram cas Csddqm : in std_logic_vector (3 downto 0); -- sdram dqm Cdsutx : in std_ulogic; -- DSU tx data Cdsurx : out std_ulogic; -- DSU rx data Cdsuen : out std_ulogic; Cdsubre : out std_ulogic; Cdsuact : in std_ulogic; Ctxd1 : in std_ulogic; -- UART1 tx data Crxd1 : out std_ulogic; -- UART1 rx data Ctxd2 : in std_ulogic; -- UART2 tx data Crxd2 : out std_ulogic; -- UART2 rx data Cramsn : in std_logic_vector (4 downto 0); Cramoen : in std_logic_vector (4 downto 0); Crwen : in std_logic_vector (3 downto 0); Coen : in std_ulogic; Cwriten : in std_ulogic; Cread : in std_ulogic; Ciosn : in std_ulogic; Cromsn : in std_logic_vector (1 downto 0); Cbrdyn : out std_ulogic; Cbexcn : out std_ulogic; Cwdogn : in std_ulogic; Cgpioin : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port Cgpioout : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port Cgpioen : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port Cprom32 : out std_ulogic; Cpromedac : out std_ulogic; Cspw_clksel : out std_logic_vector (1 downto 0); Cspw_clk : out std_ulogic; Cspw_rxd : out std_logic_vector(0 to CFG_SPW_NUM-1); Cspw_rxs : out std_logic_vector(0 to CFG_SPW_NUM-1); Cspw_txd : in std_logic_vector(0 to CFG_SPW_NUM-1); Cspw_txs : in std_logic_vector(0 to CFG_SPW_NUM-1); Cspw_ten : in std_logic_vector(0 to CFG_SPW_NUM-1); Clclk2x : out std_ulogic; Clclk4x : out std_ulogic; Clclkdis : in std_ulogic; Clclklock : out std_ulogic; Clock : in std_ulogic; Croen : out std_ulogic; Croout : in std_ulogic ); end; architecture rtl of bschain is signal sr1_tdi, sr1a_tdi, sr2a_tdi, sr2_tdi, sr3a_tdi, sr3_tdi, sr4_tdi: std_ulogic; signal sr1i, sr1o: std_logic_vector(4 downto 0); signal sr3i, sr3o: std_logic_vector(41 downto 0); signal sr5i, sr5o: std_logic_vector(11+5*CFG_SPW_NUM downto 0); begin ----------------------------------------------------------------------------- -- Scan chain registers (note: adjust order to match pad ring) sr1a: bscanregs generic map (tech => tech, nsigs => sr1i'length, dirmask => 2#00001#, enable => enable) port map (sr1i, sr1o, chain_tck, chain_tckn, sr1a_tdi, chain_tdo, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr1i <= Presetn & Pclksel & Pclk & Cerrorn; Cresetn <= sr1o(4); Cclksel <= sr1o(3 downto 2); Cclk <= sr1o(1); Perrorn <= sr1o(0); sr1b: bscanregs generic map (tech => tech, nsigs => Paddress'length, dirmask => 16#3FFFFFFF#, enable => enable) port map (Caddress, Paddress, chain_tck, chain_tckn, sr1_tdi, sr1a_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr2a: bscanregsbd generic map (tech => tech, nsigs => Pdataout'length, enable => enable, hzsup => hzsup) port map (Pdataout, Pdataen, Pdatain, Cdataout, Cdataen, Cdatain, chain_tck, chain_tckn, sr2a_tdi, sr1_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr2b: bscanregsbd generic map (tech => tech, nsigs => Pcbout'length, enable => enable, hzsup => hzsup) port map (Pcbout, Pcben, Pcbin, Ccbout, Ccben, Ccbin, chain_tck, chain_tckn, sr2_tdi, sr2a_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr3a: bscanregs generic map (tech => tech, nsigs => sr3i'length-30, dirmask => 2#11_11111111_10#, enable => enable) port map (sr3i(sr3i'high downto 30), sr3o(sr3i'high downto 30), chain_tck, chain_tckn, sr3a_tdi, sr2_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr3b: bscanregs generic map (tech => tech, nsigs => 30, dirmask => 2#001101_01111111_11111111_11111001#, enable => enable) port map (sr3i(29 downto 0), sr3o(29 downto 0), chain_tck, chain_tckn, sr3_tdi, sr3a_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr3i(41 downto 30) <= Csdclk & Csdcsn & Csdwen & Csdrasn & Csdcasn & Csddqm & Cdsutx & Pdsurx; sr3i(29 downto 23) <= Pdsuen & Pdsubre & Cdsuact & Ctxd1 & Prxd1 & Ctxd2 & Prxd2; sr3i(22 downto 9) <= Cramsn & Cramoen & Crwen; sr3i(8 downto 0) <= Coen & Cwriten & Cread & Ciosn & Cromsn(1 downto 0) & Pbrdyn & Pbexcn & Cwdogn; Psdclk <= sr3o(41); Psdcsn <= sr3o(40 downto 39); Psdwen <= sr3o(38); Psdrasn <= sr3o(37); Psdcasn <= sr3o(36); Psddqm <= sr3o(35 downto 32); Pdsutx <= sr3o(31); Cdsurx <= sr3o(30); Cdsuen <= sr3o(29); Cdsubre <= sr3o(28); Pdsuact <= sr3o(27); Ptxd1 <= sr3o(26); Crxd1 <= sr3o(25); Ptxd2 <= sr3o(24); Crxd2 <= sr3o(23); Pramsn <= sr3o(22 downto 18); Pramoen <= sr3o(17 downto 13); Prwen <= sr3o(12 downto 9); Poen <= sr3o(8); Pwriten <= sr3o(7); Pread <= sr3o(6); Piosn <= sr3o(5); Promsn <= sr3o(4 downto 3); Cbrdyn <= sr3o(2); Cbexcn <= sr3o(1); Pwdogn <= sr3o(0); sr4: bscanregsbd generic map (tech => tech, nsigs => Pgpioin'length, enable => enable, hzsup => hzsup) port map (Pgpioout, Pgpioen, Pgpioin, Cgpioout, Cgpioen, Cgpioin, chain_tck, chain_tckn, sr4_tdi, sr3_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr5: bscanregs generic map (tech => tech, nsigs => sr5i'length, dirmask => 2#00000011_10010101#, enable => enable) port map (sr5i, sr5o, chain_tck, chain_tckn, chain_tdi, sr4_tdi, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz); sr5i <= Pprom32 & Ppromedac & Pspw_clksel & Pspw_clk & Pspw_rxd & Pspw_rxs & Cspw_txd & Cspw_txs & Cspw_ten & Plclk2x & Plclk4x & Clclkdis & Plclklock & Clock & Proen & Croout; Cprom32 <= sr5o(11+5*CFG_SPW_NUM); Cpromedac <= sr5o(10+5*CFG_SPW_NUM); Cspw_clksel <= sr5o(9+5*CFG_SPW_NUM downto 8+5*CFG_SPW_NUM); Cspw_clk <= sr5o(7+5*CFG_SPW_NUM); Cspw_rxd <= sr5o(6+5*CFG_SPW_NUM downto 7+4*CFG_SPW_NUM); Cspw_rxs <= sr5o(6+4*CFG_SPW_NUM downto 7+3*CFG_SPW_NUM); Pspw_txd <= sr5o(6+3*CFG_SPW_NUM downto 7+2*CFG_SPW_NUM); Pspw_txs <= sr5o(6+2*CFG_SPW_NUM downto 7+CFG_SPW_NUM); Pspw_ten <= sr5o(6+CFG_SPW_NUM downto 7); Clclk2x <= sr5o(6); Clclk4x <= sr5o(5); Plclkdis <= sr5o(4); Clclklock <= sr5o(3); Plock <= sr5o(2); Croen <= sr5o(1); Proout <= sr5o(0); end;
gpl-2.0
3b962b4ce29a20729797352f119f0941
0.585503
3.045792
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-terasic-de0-nano/leon3mp.vhd
1
20,867
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- LEON3 Demonstration design -- Copyright (C) 2012 Aeroflex Gaisler ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib, techmap; use grlib.amba.all; use grlib.devices.all; use grlib.stdlib.all; use techmap.gencomp.all; library gaisler; use gaisler.memctrl.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.jtag.all; use gaisler.i2c.all; use gaisler.spi.all; -- pragma translate_off use gaisler.sim.all; -- pragma translate_on use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; dbguart : integer := CFG_DUART; pclow : integer := CFG_PCLOW ); port ( clock_50 : in std_logic; led : inout std_logic_vector(7 downto 0); key : in std_logic_vector(1 downto 0); sw : in std_logic_vector(3 downto 0); dram_ba : out std_logic_vector(1 downto 0); dram_dqm : out std_logic_vector(1 downto 0); dram_ras_n : out std_ulogic; dram_cas_n : out std_ulogic; dram_cke : out std_ulogic; dram_clk : out std_ulogic; dram_we_n : out std_ulogic; dram_cs_n : out std_ulogic; dram_dq : inout std_logic_vector(15 downto 0); dram_addr : out std_logic_vector(12 downto 0); epcs_data0 : in std_ulogic; epcs_dclk : out std_ulogic; epcs_ncso : out std_ulogic; epcs_asdo : out std_ulogic; i2c_sclk : inout std_logic; i2c_sdat : inout std_logic; g_sensor_cs_n : out std_ulogic; g_sensor_int : in std_ulogic; adc_cs_n : out std_ulogic; adc_saddr : out std_ulogic; adc_sclk : out std_ulogic; adc_sdat : in std_ulogic; gpio_2 : inout std_logic_vector(12 downto 0); gpio_2_in : in std_logic_vector(2 downto 0); gpio_1_in : in std_logic_vector(1 downto 0); gpio_1 : inout std_logic_vector(33 downto 0); gpio_0_in : in std_logic_vector(1 downto 0); gpio_0 : inout std_logic_vector(33 downto 0) ); end; architecture rtl of leon3mp is signal vcc, gnd : std_logic_vector(4 downto 0); signal clkm, rstn, rstraw, sdclkl, lclk, rst, clklck : std_ulogic; signal sdi : sdctrl_in_type; signal sdo : sdctrl_out_type; signal spmi : spimctrl_in_type; signal spmo : spimctrl_out_type; signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal u1i, dui : uart_in_type; signal u1o, duo : uart_out_type; signal irqi : irq_in_vector(0 to CFG_NCPU-1); signal irqo : irq_out_vector(0 to CFG_NCPU-1); signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1); signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal fpi : grfpu_in_vector_type; signal fpo : grfpu_out_vector_type; signal stati : ahbstat_in_type; signal gpti : gptimer_in_type; signal i2ci : i2c_in_type; signal i2co : i2c_out_type; signal spii : spi_in_type; signal spio : spi_out_type; signal slvsel : std_logic_vector(CFG_SPICTRL_SLVS-1 downto 0); signal gpio0i, gpio1i, gpio2i : gpio_in_type; signal gpio0o, gpio1o, gpio2o : gpio_out_type; signal dsubren : std_ulogic; signal tck, tms, tdi, tdo : std_logic; constant BOARD_FREQ : integer := 50000; -- Board frequency in KHz, used in clkgen constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; constant IOAEN : integer := 1; constant OEPOL : integer := padoen_polarity(padtech); begin ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= (others => '1'); gnd <= (others => '0'); clk_pad : clkpad generic map (tech => padtech) port map (clock_50, lclk); clkgen0 : entity work.clkgen_de0 generic map (clk_mul => CFG_CLKMUL, clk_div => CFG_CLKDIV, clk_freq => BOARD_FREQ, sdramen => CFG_SDCTRL) port map (inclk0 => lclk, c0 => clkm, c0_2x => open, e0 => sdclkl, locked => clklck); sdclk_pad : outpad generic map (tech => padtech, slew => 1) port map (dram_clk, sdclkl); resetn_pad : inpad generic map (tech => padtech) port map (key(0), rst); rst0 : rstgen -- reset generator (reset is active LOW) port map (rst, clkm, clklck, rstn, rstraw); ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => IOAEN, nahbm => CFG_NCPU+CFG_AHB_JTAG, nahbs => 6) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- ----- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- cpu : for i in 0 to CFG_NCPU-1 generate nosh : if CFG_GRFPUSH = 0 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU*(1-CFG_GRFPUSH), CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, 0, 0, CFG_MMU_PAGE, CFG_BP) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; end generate; sh : if CFG_GRFPUSH = 1 generate cpu : for i in 0 to CFG_NCPU-1 generate u0 : leon3sh -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, 0, 0, CFG_MMU_PAGE, CFG_BP) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i), fpi(i), fpo(i)); end generate; grfpush0 : grfpushwx generic map ((CFG_FPU-1), CFG_NCPU, fabtech) port map (clkm, rstn, fpi, fpo); end generate; errorn_pad : outpad generic map (tech => padtech) port map (led(6), dbgo(0).error); dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsuen_pad : inpad generic map (tech => padtech) port map (sw(0), dsui.enable); dsubre_pad : inpad generic map (tech => padtech) port map (key(1), dsubren); dsui.break <= not dsubren; dsuact_pad : outpad generic map (tech => padtech) port map (led(7), dsuo.active); end generate; nodsu : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0'; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU), open, open, open, open, open, open, open, gnd(0)); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- sdctrl0 : if CFG_SDCTRL = 1 generate -- 16-bit SDRAM controller sdc : entity work.sdctrl16 generic map (hindex => 3, haddr => 16#400#, hmask => 16#FE0#, ioaddr => 1, fast => 0, pwron => 0, invclk => 0, sdbits => 16, pageburst => 2) port map (rstn, clkm, ahbsi, ahbso(3), sdi, sdo); sa_pad : outpadv generic map (width => 13, tech => padtech) port map (dram_addr, sdo.address(14 downto 2)); ba0_pad : outpadv generic map (tech => padtech, width => 2) port map (dram_ba, sdo.address(16 downto 15)); sd_pad : iopadvv generic map (width => 16, tech => padtech, oepol => OEPOL) port map (dram_dq(15 downto 0), sdo.data(15 downto 0), sdo.vbdrive(15 downto 0), sdi.data(15 downto 0)); sdcke_pad : outpad generic map (tech => padtech) port map (dram_cke, sdo.sdcke(0)); sdwen_pad : outpad generic map (tech => padtech) port map (dram_we_n, sdo.sdwen); sdcsn_pad : outpad generic map (tech => padtech) port map (dram_cs_n, sdo.sdcsn(0)); sdras_pad : outpad generic map (tech => padtech) port map (dram_ras_n, sdo.rasn); sdcas_pad : outpad generic map (tech => padtech) port map (dram_cas_n, sdo.casn); sddqm_pad : outpadv generic map (tech => padtech, width => 2) port map (dram_dqm, sdo.dqm(1 downto 0)); end generate; spimctrl0: if CFG_SPIMCTRL /= 0 generate -- SPI Memory Controller spimc : spimctrl generic map (hindex => 0, hirq => 10, faddr => 16#000#, fmask => 16#f00#, ioaddr => 16#002#, iomask => 16#fff#, spliten => CFG_SPLIT, oepol => OEPOL,sdcard => CFG_SPIMCTRL_SDCARD, readcmd => CFG_SPIMCTRL_READCMD, dummybyte => CFG_SPIMCTRL_DUMMYBYTE, dualoutput => CFG_SPIMCTRL_DUALOUTPUT, scaler => CFG_SPIMCTRL_SCALER, altscaler => CFG_SPIMCTRL_ASCALER, pwrupcnt => CFG_SPIMCTRL_PWRUPCNT, offset => CFG_SPIMCTRL_OFFSET) port map (rstn, clkm, ahbsi, ahbso(0), spmi, spmo); end generate; nospimctrl0 : if CFG_SPIMCTRL = 0 generate spmo <= spimctrl_out_none; end generate; miso_pad : inpad generic map (tech => padtech) port map (epcs_data0, spmi.miso); mosi_pad : outpad generic map (tech => padtech) port map (epcs_asdo, spmo.mosi); sck_pad : outpad generic map (tech => padtech) port map (epcs_dclk, spmo.sck); slvsel0_pad : outpad generic map (tech => padtech) port map (epcs_ncso, spmo.csn); ---------------------------------------------------------------------- --- AHB ROM --------------------------------------------------------- ---------------------------------------------------------------------- bpromgen : if CFG_AHBROMEN /= 0 and CFG_SPIMCTRL = 0 generate brom : entity work.ahbrom generic map (hindex => 0, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP) port map (rstn, clkm, ahbsi, ahbso(0)); end generate; noprom : if CFG_AHBROMEN = 0 and CFG_SPIMCTRL = 0 generate ahbso(0) <= ahbs_none; end generate; ---------------------------------------------------------------------- --- APB Bridge and various peripherals ------------------------------ ---------------------------------------------------------------------- apb0 : apbctrl -- AHB/APB bridge generic map (hindex => 1, haddr => CFG_APBADDR) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo); apbo(0) <= apb_none; -- Typically occupied by memory controller ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, flow => 0, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.extclk <= '0'; u1i.rxd <= '1'; end generate; noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to CFG_NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(3), gpti, open); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; end generate; notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; i2cm: if CFG_I2C_ENABLE = 1 generate -- I2C master i2c0 : i2cmst generic map (pindex => 4, paddr => 4, pmask => 16#FFF#, pirq => 3, filter => 3, dynfilt => 1) port map (rstn, clkm, apbi, apbo(4), i2ci, i2co); end generate; noi2cm: if CFG_I2C_ENABLE = 0 generate i2co.scloen <= '1'; i2co.sdaoen <= '1'; i2co.scl <= '0'; i2co.sda <= '0'; end generate; i2c_scl_pad : iopad generic map (tech => padtech) port map (i2c_sclk, i2co.scl, i2co.scloen, i2ci.scl); i2c_sda_pad : iopad generic map (tech => padtech) port map (i2c_sdat, i2co.sda, i2co.sdaoen, i2ci.sda); spic: if CFG_SPICTRL_ENABLE = 1 generate -- SPI controller spi1 : spictrl generic map (pindex => 5, paddr => 5, pmask => 16#fff#, pirq => 5, fdepth => CFG_SPICTRL_FIFO, slvselen => CFG_SPICTRL_SLVREG, slvselsz => CFG_SPICTRL_SLVS, odmode => 0, netlist => 0, syncram => CFG_SPICTRL_SYNCRAM, ft => CFG_SPICTRL_FT) port map (rstn, clkm, apbi, apbo(5), spii, spio, slvsel); spii.spisel <= '1'; -- Master only spii.astart <= '0'; miso_pad : inpad generic map (tech => padtech) port map (adc_sdat, spii.miso); mosi_pad : outpad generic map (tech => padtech) port map (adc_saddr, spio.mosi); sck_pad : outpad generic map (tech => padtech) port map (adc_sclk, spio.sck); slvsel_pad : outpad generic map (tech => padtech) port map (adc_cs_n, slvsel(0)); end generate spic; nospi: if CFG_SPICTRL_ENABLE = 0 generate miso_pad : inpad generic map (tech => padtech) port map (adc_sdat, spii.miso); mosi_pad : outpad generic map (tech => padtech) port map (adc_saddr, vcc(0)); sck_pad : outpad generic map (tech => padtech) port map (adc_sclk, gnd(0)); slvsel_pad : outpad generic map (tech => padtech) port map (adc_cs_n, vcc(0)); end generate; gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GRGPIO0 port grgpio0: grgpio generic map( pindex => 9, paddr => 9, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH) port map( rstn, clkm, apbi, apbo(9), gpio0i, gpio0o); pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate pio_pad : iopad generic map (tech => padtech) port map (gpio_0(i), gpio0o.dout(i), gpio0o.oen(i), gpio0i.din(i)); end generate; end generate; nogpio0: if CFG_GRGPIO_ENABLE = 0 generate apbo(9) <= apb_none; end generate; gpio1 : if CFG_GRGPIO2_ENABLE /= 0 generate -- GRGPIO1 port grgpio1: grgpio generic map( pindex => 10, paddr => 10, imask => CFG_GRGPIO2_IMASK, nbits => CFG_GRGPIO2_WIDTH) port map( rstn, clkm, apbi, apbo(10), gpio1i, gpio1o); pio_pads : for i in 0 to CFG_GRGPIO2_WIDTH-1 generate pio_pad : iopad generic map (tech => padtech) port map (gpio_1(i), gpio1o.dout(i), gpio1o.oen(i), gpio1i.din(i)); end generate; end generate; nogpio1: if CFG_GRGPIO2_ENABLE = 0 generate apbo(10) <= apb_none; end generate; grgpio2: grgpio -- GRGPIO2 port generic map( pindex => 11, paddr => 11, imask => 2**30, nbits => 31) port map( rstn, clkm, apbi, apbo(11), gpio2i, gpio2o); gpio_2_pads : iopadvv generic map (tech => padtech, width => 13) port map (gpio_2(12 downto 0), gpio2o.dout(12 downto 0), gpio2o.oen(12 downto 0), gpio2i.din(12 downto 0)); gpio_2_inpads : inpadv generic map (tech => padtech, width => 3) port map (gpio_2_in, gpio2i.din(15 downto 13)); gpio_0_pads : iopadvv generic map (tech => padtech, width => 2) port map (gpio_0(33 downto 32), gpio2o.dout(17 downto 16), gpio2o.oen(17 downto 16), gpio2i.din(17 downto 16)); gpio_0_inpads : inpadv generic map (tech => padtech, width => 2) port map (gpio_0_in, gpio2i.din(19 downto 18)); gpio_1_pads : iopadvv generic map (tech => padtech, width => 2) port map (gpio_1(33 downto 32), gpio2o.dout(21 downto 20), gpio2o.oen(21 downto 20), gpio2i.din(21 downto 20)); gpio_1_inpads : inpadv generic map (tech => padtech, width => 2) port map (gpio_1_in, gpio2i.din(23 downto 22)); led_pads : iopadvv generic map (tech => padtech, width => 6) port map (led(5 downto 0), gpio2o.dout(29 downto 24), gpio2o.oen(29 downto 24), gpio2i.din(29 downto 24)); g_sensor_int_pad : inpad generic map (tech => padtech) port map (g_sensor_int, gpio2i.din(30)); -- g_sensor_cs_n_pad : outpad generic map (tech => padtech) -- port map (g_sensor_cs_n, gpio2o.dout(31)); g_sensor_cs_n <= '1'; -- gpio2i.din(31) <= gpio2o.dout(31); ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 1, nftslv => CFG_AHBSTATN) port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15)); end generate; nop2 : if CFG_AHBSTAT = 0 generate apbo(15) <= apb_none; end generate; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- ocram : if CFG_AHBRAMEN = 1 generate ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ) port map (rstn, clkm, ahbsi, ahbso(4)); end generate; nram : if CFG_AHBRAMEN = 0 generate ahbso(4) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- Test report module ---------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off test0 : ahbrep generic map (hindex => 5, haddr => 16#200#) port map (rstn, clkm, ahbsi, ahbso(5)); -- pragma translate_on ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3 Altera DE0-EP4CE22 Demonstration design", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
gpl-2.0
52053c4291b029b3b2ccb23d99b0d644
0.559592
3.60335
false
false
false
false
capitanov/Stupid_watch
src/rtl/vga_main/ctrl_vga640x480.vhd
1
5,453
-------------------------------------------------------------------------------- -- -- Title : k_vga_controller -- Design : Example -- Author : Kapitanov -- Company : InSys -- -- Version : 1.0 -------------------------------------------------------------------------------- -- -- Description : VGA controller for 60 Hz, 640x480 VGA Display -- -- R G B Color -- 0 0 0 Black -- 0 0 1 Blue -- 0 1 0 Green -- 0 1 1 Cyan -- 1 0 0 Red -- 1 0 1 Magenta -- 1 1 0 Yellow -- 1 1 1 White -- -- Sync: -- -- Ts + Tbp + Tdisp + Tfp = Ttotal -- T1 = Tbp + Tdisp + Tfp -- logic '1' for display time and front/back porch; -- T0 = Ts -- logic '0' for sync impulse; -- -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity vga_ctrl640x480 is port( clk : in std_logic; --! pixel clk - DCM should generate 25 MHz freq; reset : in std_logic; --! asycnchronous reset h_sync : out std_logic; --! horiztonal sync pulse v_sync : out std_logic; --! vertical sync pulse disp : out std_logic; --! display enable '1' x_out : out std_logic_vector(9 downto 0); --! X axis y_out : out std_logic_vector(8 downto 0) --! Y axis ); end vga_ctrl640x480; architecture vga640x480 of vga_ctrl640x480 is -- horizontal constant h_disp : integer := 640; -- display constant h_s : integer := 96; -- sync pulse constant h_fp : integer := 16; -- front porch constant h_bp : integer := 48; -- back porch constant h_t : integer := h_s + h_bp + h_disp + h_fp; -- vertical constant v_disp : integer := 480; -- display constant v_s : integer := 2; -- sync pulse constant v_fp : integer := 10; -- front porch constant v_bp : integer := 36; -- back porch ( --29 - XilinX, 33 -- VESA standard) constant v_t : integer := v_s + v_bp + v_disp + v_fp; -- counters signal cnt_h : integer range 0 to h_t - 1 := 0; signal cnt_v : integer range 0 to v_t - 1 := 0; signal vt, ht : std_logic; -- synopsys translate_off signal Tfp_h : std_logic; signal Tbp_h : std_logic; signal Tdi_h : std_logic; signal Tsc_h : std_logic; signal Ton_h : std_logic; signal Tfp_v : std_logic; signal Tbp_v : std_logic; signal Tdi_v : std_logic; signal Tsc_v : std_logic; signal Ton_v : std_logic; signal column : integer range 0 to 640-1 := 0; -- horizontal signal row : integer range 0 to 480-1 := 0; -- vertical -- synopsys translate_on begin pr_vga: process(reset, clk) is begin if reset = '0' then cnt_h <= 0; cnt_v <= 0; vt <= '1';--'Z'; -- 1 ht <= '1';--'Z'; -- 1 disp <= '0'; x_out <= (others => '0'); y_out <= (others => '0'); elsif rising_edge(clk) then -- counters if (cnt_h < h_t - 1) then cnt_h <= cnt_h + 1; else cnt_h <= 0; if(cnt_v < v_t - 1) then cnt_v <= cnt_v + 1; else cnt_v <= 0; end if; end if; -- sync pulses if (cnt_h < h_disp + h_fp or cnt_h >= h_disp + h_fp + h_s) then ht <= '1' after 1 ns; else ht <= '0' after 1 ns; end if; if (cnt_v < v_disp + v_fp or cnt_v >= v_disp + v_fp + v_s) then vt <= '1' after 1 ns; else vt <= '0' after 1 ns; end if; -- enable if(cnt_h < h_disp and cnt_v < v_disp) then disp <= '1' after 1 ns; else disp <= '0' after 1 ns; end if; -- row and colomn if(cnt_h < h_disp) then x_out <= std_logic_vector(to_unsigned(cnt_h,10)) after 1 ns; end if; if(cnt_v < v_disp) then y_out <= std_logic_vector(to_unsigned(cnt_v,9)) after 1 ns; end if; end if; end process; h_sync <= ht; v_sync <= vt; -- synopsys translate_off pr_coordinate: process(reset, clk) is begin if reset = '0' then column <= 0; row <= 0; elsif rising_edge(clk) then if(cnt_h < h_disp) then column <= cnt_h; end if; if(cnt_v < v_disp) then row <= cnt_v; end if; end if; end process; Ton_h <= Tfp_h or Tbp_h or Tdi_h; pr_Thoriz: process(reset, clk) is begin if reset = '0' then Tfp_h <= 'X'; Tbp_h <= 'X'; Tdi_h <= 'X'; Tsc_h <= 'X'; elsif rising_edge(clk) then -- display if (cnt_h < h_disp) then Tdi_h <= '1'; else Tdi_h <= '0'; end if; -- back porch if (cnt_h >= h_fp + h_disp + h_s) then Tbp_h <= '1'; else Tbp_h <= '0'; end if; -- front porch if (cnt_h >= h_disp and cnt_h < h_fp + h_disp) then Tfp_h <= '1'; else Tfp_h <= '0'; end if; -- sync pulse if (cnt_h >= h_disp + h_fp and cnt_h < h_fp + h_disp + h_s) then Tsc_h <= '0'; else Tsc_h <= 'Z'; end if; end if; end process; Ton_v <= Tfp_v or Tbp_v or Tdi_v; pr_Tvert: process(reset, clk) is begin if reset = '0' then Tfp_v <= 'X'; Tbp_v <= 'X'; Tdi_v <= 'X'; Tsc_v <= 'X'; elsif rising_edge(clk) then -- display if (cnt_v < v_disp) then Tdi_v <= '1'; else Tdi_v <= '0'; end if; -- back porch if (cnt_v >= v_fp + v_disp + v_s) then Tbp_v <= '1'; else Tbp_v <= '0'; end if; -- front porch if (cnt_v >= v_disp and cnt_v < v_fp + v_disp) then Tfp_v <= '1'; else Tfp_v <= '0'; end if; -- sync pulse if (cnt_v >= v_disp + v_fp and cnt_v < v_fp + v_disp + v_s) then Tsc_v <= '0'; else Tsc_v <= 'Z'; end if; end if; end process; -- synopsys translate_on end vga640x480;
mit
beaa4476a11f467f140d18fc5cdad1f3
0.519531
2.483151
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/techmap/gencomp/gencomp.vhd
1
84,202
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: gencomp -- File: gencomp.vhd -- Author: Jiri Gaisler et al. - Aeroflex Gaisler -- Description: Declaration of portable memory modules, pads, e.t.c. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.config.grlib_config_array; use grlib.config_types.grlib_techmap_testin_extra; package gencomp is --------------------------------------------------------------------------- -- BASIC DECLARATIONS --------------------------------------------------------------------------- -- technologies and libraries constant NTECH : integer := 54; type tech_ability_type is array (0 to NTECH) of integer; constant inferred : integer := 0; constant virtex : integer := 1; constant virtex2 : integer := 2; constant memvirage : integer := 3; constant axcel : integer := 4; constant proasic : integer := 5; constant atc18s : integer := 6; constant altera : integer := 7; constant umc : integer := 8; constant rhumc : integer := 9; constant apa3 : integer := 10; constant spartan3 : integer := 11; constant ihp25 : integer := 12; constant rhlib18t : integer := 13; constant virtex4 : integer := 14; constant lattice : integer := 15; constant ut25 : integer := 16; constant spartan3e : integer := 17; constant peregrine : integer := 18; constant memartisan : integer := 19; constant virtex5 : integer := 20; constant custom1 : integer := 21; constant ihp25rh : integer := 22; constant stratix1 : integer := 23; constant stratix2 : integer := 24; constant eclipse : integer := 25; constant stratix3 : integer := 26; constant cyclone3 : integer := 27; constant memvirage90 : integer := 28; constant tsmc90 : integer := 29; constant easic90 : integer := 30; constant atc18rha : integer := 31; constant smic013 : integer := 32; constant tm65gplus : integer := 33; constant axdsp : integer := 34; constant spartan6 : integer := 35; constant virtex6 : integer := 36; constant actfus : integer := 37; constant stratix4 : integer := 38; constant st65lp : integer := 39; constant st65gp : integer := 40; constant easic45 : integer := 41; constant cmos9sf : integer := 42; constant apa3e : integer := 43; constant apa3l : integer := 44; constant ut130 : integer := 45; constant ut90 : integer := 46; constant gf65 : integer := 47; constant virtex7 : integer := 48; constant kintex7 : integer := 49; constant artix7 : integer := 50; constant zynq7000 : integer := 51; constant rhlib13t : integer := 52; constant saed32 : integer := 53; constant dare : integer := 54; constant DEFMEMTECH : integer := inferred; constant DEFPADTECH : integer := inferred; constant DEFFABTECH : integer := inferred; constant is_fpga : tech_ability_type := (inferred => 1, virtex => 1, virtex2 => 1, axcel => 1, proasic => 1, altera => 1, apa3 => 1, spartan3 => 1, virtex4 => 1, lattice => 1, spartan3e => 1, virtex5 => 1, stratix1 => 1, stratix2 => 1, eclipse => 1, stratix3 => 1, cyclone3 => 1, axdsp => 1, spartan6 => 1, virtex6 => 1, actfus => 1, stratix4 => 1, apa3e => 1, apa3l => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant infer_mul : tech_ability_type := is_fpga; constant syncram_2p_write_through : tech_ability_type := (rhumc => 1, eclipse => 1, others => 0); constant regfile_3p_write_through : tech_ability_type := (rhumc => 1, ihp25 => 1, ihp25rh => 1, eclipse => 1, others => 0); constant regfile_3p_infer : tech_ability_type := (inferred => 1, rhumc => 1, ihp25 => 1, rhlib18t => 0, ut90 => 1, peregrine => 1, ihp25rh => 1, umc => 1, custom1 => 0, others => 0); constant syncram_2p_dest_rw_collision : tech_ability_type := (memartisan => 1, smic013 => 1, easic45 => 1, ut130 => 1, others => 0); constant syncram_dp_dest_rw_collision : tech_ability_type := (memartisan => 1, smic013 => 1, easic45 => 1, others => 0); constant syncram_has_customif : tech_ability_type := (others => 0); constant syncram_customif_maxwidth: integer := 64; -- Expand as needed constant has_sram : tech_ability_type := (atc18s => 0, others => 1); constant has_2pram : tech_ability_type := ( atc18s => 0, umc => 0, rhumc => 0, ihp25 => 0, others => 1); constant has_dpram : tech_ability_type := (virtex => 1, virtex2 => 1, memvirage => 1, axcel => 0, altera => 1, apa3 => 1, spartan3 => 1, virtex4 => 1, lattice => 1, spartan3e => 1, memartisan => 1, virtex5 => 1, custom1 => 1, stratix1 => 1, stratix2 => 1, stratix3 => 1, cyclone3 => 1, memvirage90 => 1, atc18rha => 1, smic013 => 1, tm65gplus => 1, axdsp => 0, spartan6 => 1, virtex6 => 1, actfus => 1, stratix4 => 1, easic45 => 1, apa3e => 1, apa3l => 1, ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, dare => 1, others => 0); constant has_sram64 : tech_ability_type := (inferred => 0, virtex2 => 1, spartan3 => 1, virtex4 => 1, spartan3e => 1, memartisan => 1, virtex5 => 1, smic013 => 1, spartan6 => 1, virtex6 => 1, easic45 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_sram128bw : tech_ability_type := ( virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1, altera => 1, cyclone3 => 1, stratix2 => 1, stratix3 => 1, stratix4 => 1, ut90 => 1, others => 0); constant has_sram128 : tech_ability_type := ( virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1, tm65gplus => 0, easic45 => 1, others => 0); constant has_sram156bw : tech_ability_type := ( virtex2 => 0, virtex4 => 0, virtex5 => 0, spartan3 => 0, spartan3e => 0, spartan6 => 0, virtex6 => 0, virtex7 => 0, kintex7 => 0, altera => 0, cyclone3 => 0, stratix2 => 0, stratix3 => 0, stratix4 => 0, tm65gplus => 0, custom1 => 1, ut90 => 1, others => 0); constant has_sram256bw : tech_ability_type := ( virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1, altera => 1, cyclone3 => 1, stratix2 => 1, stratix3 => 1, stratix4 => 1, tm65gplus => 0, cmos9sf => 1, others => 0); constant has_sram_2pbw : tech_ability_type := ( easic45 => 1, others => 0); constant has_srambw : tech_ability_type := (easic45 => 1, others => 0); -- ram_raw_latency - describes how many edges on the write-port clock that -- must pass before data is commited to memory. for example, if the write data -- is commited to memory on the falling edge after a write cycle, and is -- available to the read port after a short T_{raw} then ram_raw_latency -- should be set to 1. If the data is available to the read port immediately -- after the write-port clock rising edge that latches the write operation then -- ram_raw_latency(tech) should return 0. If T_{raw} cannot be assumed to be -- negligible (for instance, it is longer than a clock cycle on the read port) -- then the ram_raw_latency value should be increased to cover also T_{raw}. -- this value is important for cores that use DP or 2P memories in CDC. constant ram_raw_latency : tech_ability_type := (easic45 => 1, others => 0); constant padoen_polarity : tech_ability_type := (axcel => 1, proasic => 1, umc => 1, rhumc => 1, saed32 => 1, dare => 1, apa3 => 1, ihp25 => 1, ut25 => 1, peregrine => 1, easic90 => 1, axdsp => 1, actfus => 1, apa3e => 1, apa3l => 1, ut130 => 1, easic45 => 1, ut90 => 1, others => 0); constant has_pads : tech_ability_type := (inferred => 0, virtex => 1, virtex2 => 1, memvirage => 0, axcel => 1, proasic => 1, atc18s => 1, altera => 0, umc => 1, rhumc => 1, saed32 => 1, dare => 1, apa3 => 1, spartan3 => 1, ihp25 => 1, rhlib18t => 1, virtex4 => 1, lattice => 0, ut25 => 1, spartan3e => 1, peregrine => 1, virtex5 => 1, axdsp => 1, easic90 => 1, atc18rha => 1, spartan6 => 1, virtex6 => 1, actfus => 1, apa3e => 1, apa3l => 1, ut130 => 1, easic45 => 1, ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_ds_pads : tech_ability_type := (inferred => 0, virtex => 1, virtex2 => 1, memvirage => 0, axcel => 1, proasic => 0, atc18s => 0, altera => 0, umc => 0, rhumc => 0, saed32 => 0, dare => 0, apa3 => 1, spartan3 => 1, ihp25 => 0, rhlib18t => 1, virtex4 => 1, lattice => 0, ut25 => 1, spartan3e => 1, virtex5 => 1, axdsp => 1, spartan6 => 1, virtex6 => 1, actfus => 1, apa3e => 1, apa3l => 1, ut130 => 0, easic45 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_ds_combo : tech_ability_type := ( rhumc => 1, ut25 => 1, ut130 => 1, others => 0); constant has_clkand : tech_ability_type := ( virtex => 1, virtex2 => 1, spartan3 => 1, spartan3e => 1, virtex4 => 1, virtex5 => 1, ut25 => 1, rhlib18t => 1, spartan6 => 1, virtex6 => 1, ut130 => 1, easic45 => 1, ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, saed32 => 1, dare => 1, others => 0); constant has_clkmux : tech_ability_type := ( virtex => 1, virtex2 => 1, spartan3 => 1, spartan3e => 1, virtex4 => 1, virtex5 => 1, rhlib18t => 1, spartan6 => 1, virtex6 => 1, ut130 => 1, easic45 => 1, ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, saed32 => 1, dare => 1, rhumc => 1, others => 0); constant has_clkinv : tech_ability_type := ( saed32 => 1, dare => 1, others => 0); constant has_techbuf : tech_ability_type := ( virtex => 1, virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, axcel => 1, ut25 => 1, apa3 => 1, easic90 => 1, axdsp => 1, actfus => 1, apa3e => 1, apa3l => 1, ut130 => 1, easic45 => 1, ut90 => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_tapsel : tech_ability_type := ( virtex => 1, virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant tap_tck_gated : tech_ability_type := ( virtex => 1, virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, spartan6 => 0, others => 0); constant need_extra_sync_reset : tech_ability_type := (axcel => 1, atc18s => 1, ut25 => 1, rhumc => 1, saed32 => 1, dare => 1, tsmc90 => 1, rhlib18t => 1, atc18rha => 1, easic90 => 1, tm65gplus => 1, axdsp => 1, cmos9sf => 1, apa3 => 1, apa3e => 1, apa3l => 1, ut130 => 1, easic45 => 1, ut90 => 1, others => 0); constant is_unisim : tech_ability_type := ( virtex => 1, virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_tap : tech_ability_type := (inferred => 0, virtex => 1, virtex2 => 1, axcel => 0, proasic => 0, altera => 1, apa3 => 1, spartan3 => 1, virtex4 => 1, lattice => 0, spartan3e => 1, virtex5 => 1, stratix1 => 1, stratix2 => 1, eclipse => 0, stratix3 => 1, cyclone3 => 1, axdsp => 0, spartan6 => 1, virtex6 => 1, actfus => 1, stratix4 => 1, easic45 => 0, apa3e => 1, apa3l => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_clkgen : tech_ability_type := (inferred => 0, virtex => 1, virtex2 => 1, axcel => 1, proasic => 1, altera => 1, apa3 => 1, spartan3 => 1, virtex4 => 1, lattice => 0, spartan3e => 1, virtex5 => 1, stratix1 => 1, stratix2 => 1, eclipse => 0, rhumc => 1, saed32 => 1, dare => 1, stratix3 => 1, cyclone3 => 1, axdsp => 1, spartan6 => 1, virtex6 => 1, actfus => 1, easic90 => 1, stratix4 => 1, easic45 => 1, apa3e => 1, apa3l => 1, rhlib18t => 1, ut130 => 1, ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_ddr2phy: tech_ability_type := (inferred => 0, stratix2 => 1, stratix3 => 1, spartan3 => 1, easic90 => 1, spartan6 => 1, easic45 => 1, virtex4 => 1, virtex5 => 1, virtex6 => 1, others => 0); constant ddr2phy_builtin_pads: tech_ability_type := ( -- Wrapped DDR2 IP cores with builtin pads easic45 => 1, -- Below techs have builtin pads for legacy reasons, can be converted if needed easic90 => 1, spartan3 => 1, stratix3 => 1, stratix2 => 1, others => 0); constant ddr2phy_has_fbclk: tech_ability_type := (inferred => 1, others => 0); constant ddrphy_has_fbclk: tech_ability_type := (others => 0); constant ddr2phy_has_reg: tech_ability_type := (easic45 => 1, others => 0); constant ddr2phy_has_custom: tech_ability_type := (easic45 => 1, others => 0); constant ddr2phy_refclk_type: tech_ability_type := (virtex4 => 1, virtex5 => 1, virtex6 => 1, -- 1: 200 MHz reference easic45 => 2, -- 2: 270 degree shifted clock others => 0); -- 0: None constant ddr2phy_has_datavalid: tech_ability_type := (easic45 => 1, others => 0); constant ddrphy_has_datavalid: tech_ability_type := (ut90 => 1, others => 0); constant ddrphy_builtin_pads: tech_ability_type := ( inferred => 0, -- Most techs have builtin pads for legacy reasons, can be converted if needed others => 1); constant ddrphy_latency: tech_ability_type := ( -- extra read latency, only used when not datavalid signal is available inferred => 1, others => 0 ); -- If the PHY passes through the control signals directly to the pads -- and therefore needs them to be set asynchronously at reset constant ddr2phy_ptctrl: tech_ability_type := ( inferred => 1, others => 0 ); constant ddrphy_ptctrl: tech_ability_type := ( inferred => 1, others => 0 ); constant has_syncreg: tech_ability_type := ( inferred => 0, others => 0); -- pragma translate_off subtype tech_description is string(1 to 10); type tech_table_type is array (0 to NTECH) of tech_description; ------------------------------------------------------------------------------- constant tech_table : tech_table_type := ( inferred => "inferred ", virtex => "virtex ", virtex2 => "virtex2 ", memvirage => "virage ", axcel => "axcel ", proasic => "proasic ", atc18s => "atc18s ", altera => "altera ", umc => "umc18 ", rhumc => "rhumc ", apa3 => "proasic3 ", spartan3 => "spartan3 ", ihp25 => "ihp25 ", rhlib18t => "rhlib18t ", virtex4 => "virtex4 ", lattice => "lattice ", ut25 => "ut025crh ", spartan3e => "spartan3e ", peregrine => "peregrine ", memartisan => "artisan ", virtex5 => "virtex5 ", custom1 => "custom1 ", ihp25rh => "ihp25rh ", stratix1 => "stratix ", stratix2 => "stratixii ", eclipse => "eclipse ", stratix3 => "stratixiii", cyclone3 => "cycloneiii", memvirage90 => "virage90 ", tsmc90 => "tsmc90 ", easic90 => "nextreme ", atc18rha => "atc18rha ", smic013 => "smic13 ", tm65gplus => "tm65gplus ", axdsp => "axdsp ", spartan6 => "spartan6 ", virtex6 => "virtex6 ", actfus => "fusion ", stratix4 => "stratix4 ", st65lp => "st65lp ", st65gp => "st65gp ", easic45 => "nextreme2 ", cmos9sf => "cmos9sf ", apa3e => "proasic3e ", apa3l => "proasic3l ", ut130 => "ut130hbd ", ut90 => "ut90nhbd ", gf65 => "gf65g ", virtex7 => "virtex7 ", kintex7 => "kintex7 ", artix7 => "artix7 ", zynq7000 => "zynq7000 ", rhlib13t => "rhlib13t ", saed32 => "saed32 ", dare => "dare "); -- pragma translate_on -- input/output voltage constant x12v : integer := 12; constant x15v : integer := 15; constant x18v : integer := 1; constant x25v : integer := 2; constant x33v : integer := 3; constant x50v : integer := 5; -- input/output levels constant ttl : integer := 0; constant cmos : integer := 1; constant pci33 : integer := 2; constant pci66 : integer := 3; constant lvds : integer := 4; constant sstl2_i : integer := 5; constant sstl2_ii : integer := 6; constant sstl3_i : integer := 7; constant sstl3_ii : integer := 8; constant sstl18_i : integer := 9; constant sstl18_ii: integer := 10; constant lvpecl : integer := 11; constant sstl : integer := 12; -- pad types constant normal : integer := 0; constant pullup : integer := 1; constant pulldown : integer := 2; constant opendrain: integer := 3; constant schmitt : integer := 4; constant dci : integer := 5; --------------------------------------------------------------------------- -- MEMORY --------------------------------------------------------------------------- -- testin vector is testen & scanen & (tech-dependent...) constant TESTIN_WIDTH : integer := 4 + GRLIB_CONFIG_ARRAY(grlib_techmap_testin_extra); constant testin_none : std_logic_vector(TESTIN_WIDTH-1 downto 0) := (others => '0'); -- synchronous single-port ram component syncram generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; testen : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic; testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(custombits-1 downto 0)); end component; -- synchronous two-port ram (1 read, 1 write port) component syncram_2p generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0; testen : integer := 0; words : integer := 0; custombits : integer := 1); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(custombits-1 downto 0)); end component; -- synchronous dual-port ram (2 read/write ports) component syncram_dp generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; testen : integer := 0; custombits : integer := 1); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic; testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(custombits-1 downto 0)); end component; -- synchronous 3-port regfile (2 read, 1 write port) component regfile_3p generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; wrfst : integer := 0; numregs : integer := 64; testen : integer := 0; custombits : integer := 1); port ( wclk : in std_ulogic; waddr : in std_logic_vector((abits -1) downto 0); wdata : in std_logic_vector((dbits -1) downto 0); we : in std_ulogic; rclk : in std_ulogic; raddr1 : in std_logic_vector((abits -1) downto 0); re1 : in std_ulogic; rdata1 : out std_logic_vector((dbits -1) downto 0); raddr2 : in std_logic_vector((abits -1) downto 0); re2 : in std_ulogic; rdata2 : out std_logic_vector((dbits -1) downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(2*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(2*custombits-1 downto 0)); end component; -- 64-bit synchronous single-port ram with 32-bit write strobe component syncram64 generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; paren : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (63+8*paren downto 0); dataout : out std_logic_vector (63+8*paren downto 0); enable : in std_logic_vector (1 downto 0); write : in std_logic_vector (1 downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(2*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(2*custombits-1 downto 0)); end component; -- 128-bit synchronous single-port ram with 32-bit write strobe component syncram128 generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; paren : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (127+16*paren downto 0); dataout : out std_logic_vector (127+16*paren downto 0); enable : in std_logic_vector (3 downto 0); write : in std_logic_vector (3 downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(4*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(4*custombits-1 downto 0)); end component; component syncramft generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; ft : integer range 0 to 3 := 0; testen : integer := 0; custombits : integer := 1 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); write : in std_ulogic; enable : in std_ulogic; error : out std_logic_vector(((dbits + 7) / 8)-1 downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; errinj : in std_logic_vector(((dbits + 7)/8)*2-1 downto 0) := (others => '0'); customclk: in std_ulogic := '0'; customin : in std_logic_vector(3*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(3*custombits-1 downto 0)); end component; component syncram_2pft generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0; ft : integer := 0; testen : integer := 0; words : integer := 0; custombits : integer := 1); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); error : out std_logic_vector(((dbits + 7) / 8)-1 downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(3*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(3*custombits-1 downto 0)); end component; component syncram128bw generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (127 downto 0); dataout : out std_logic_vector (127 downto 0); enable : in std_logic_vector (15 downto 0); write : in std_logic_vector (15 downto 0); testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(16*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(16*custombits-1 downto 0)); end component; component syncram156bw generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (155 downto 0); dataout : out std_logic_vector (155 downto 0); enable : in std_logic_vector (15 downto 0); write : in std_logic_vector (15 downto 0); testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(20*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(20*custombits-1 downto 0)); end component; component syncram256bw is generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (255 downto 0); dataout : out std_logic_vector (255 downto 0); enable : in std_logic_vector (31 downto 0); write : in std_logic_vector (31 downto 0); testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(32*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(32*custombits-1 downto 0)); end component; component syncrambw generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; testen : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits-1 downto 0); datain : in std_logic_vector (dbits-1 downto 0); dataout : out std_logic_vector (dbits-1 downto 0); enable : in std_logic_vector (dbits/8-1 downto 0); write : in std_logic_vector (dbits/8-1 downto 0); testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector((dbits/8)*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector((dbits/8)*custombits-1 downto 0)); end component; component syncram_2pbw generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0; testen : integer := 0; words : integer := 0; custombits : integer := 1); port ( rclk : in std_ulogic; renable : in std_logic_vector((dbits/8-1) downto 0); raddress : in std_logic_vector((abits-1) downto 0); dataout : out std_logic_vector((dbits-1) downto 0); wclk : in std_ulogic; write : in std_logic_vector((dbits/8-1) downto 0); waddress : in std_logic_vector((abits-1) downto 0); datain : in std_logic_vector((dbits-1) downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector((dbits/8)*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector((dbits/8)*custombits-1 downto 0)); end component; component syncrambwft is generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; ft : integer range 0 to 3 := 0; testen : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits-1 downto 0); datain : in std_logic_vector (dbits-1 downto 0); dataout : out std_logic_vector (dbits-1 downto 0); enable : in std_logic_vector (dbits/8-1 downto 0); write : in std_logic_vector (dbits/8-1 downto 0); error : out std_logic_vector (dbits/8-1 downto 0); testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none; errinj : in std_logic_vector((dbits/8)*2-1 downto 0) := (others => '0'); customclk : in std_ulogic := '0'; customin : in std_logic_vector(3*(dbits/8)*custombits-1 downto 0) := (others => '0'); customout : out std_logic_vector(3*(dbits/8)*custombits-1 downto 0)); end component; component from is generic ( timingcheckson: boolean := True; instancepath: string := "*"; xon: boolean := False; msgon: boolean := True; data_x: integer := 1; memoryfile: string := ""; progfile: string := ""); port ( clk: in std_ulogic; addr: in std_logic_vector(6 downto 0); data: out std_logic_vector(7 downto 0)); end component; --------------------------------------------------------------------------- -- PADS --------------------------------------------------------------------------- component inpad generic (tech : integer := 0; level : integer := 0; voltage : integer := x33v; filter : integer := 0; strength : integer := 0); port (pad : in std_ulogic; o : out std_ulogic); end component; component inpadv generic (tech : integer := 0; level : integer := 0; voltage : integer := x33v; width : integer := 1; filter : integer := 0; strength : integer := 0); port ( pad : in std_logic_vector(width-1 downto 0); o : out std_logic_vector(width-1 downto 0)); end component; component iopad generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0; filter : integer := 0); port (pad : inout std_ulogic; i, en : in std_ulogic; o : out std_ulogic; cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component iopadv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0; filter : integer := 0); port ( pad : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_ulogic; o : out std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component iopadvv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0; filter : integer := 0); port ( pad : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0); o : out std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000" ); end component; component iodpad generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port (pad : inout std_ulogic; i : in std_ulogic; o : out std_ulogic); end component; component iodpadv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( pad : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); o : out std_logic_vector(width-1 downto 0)); end component; component outpad generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12); port (pad : out std_ulogic; i : in std_ulogic; cfgi : in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component outpadv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1); port ( pad : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component odpad generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port (pad : out std_ulogic; i : in std_ulogic; cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component odpadv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( pad : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component toutpad generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port (pad : out std_ulogic; i, en : in std_ulogic; cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component toutpadv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( pad : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_ulogic; cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component toutpadvv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( pad : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component toutpad_ds generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port (padp, padn : out std_ulogic; i, en : in std_ulogic); end component; component toutpad_dsv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( padp : out std_logic_vector(width-1 downto 0); padn : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_ulogic); end component; component toutpad_dsvv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( padp : out std_logic_vector(width-1 downto 0); padn : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0)); end component; component skew_outpad generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; skew : integer := 0); port (pad : out std_ulogic; i : in std_ulogic; rst : in std_ulogic; o : out std_ulogic); end component; component clkpad generic (tech : integer := 0; level : integer := 0; voltage : integer := x33v; arch : integer := 0; hf : integer := 0; filter : integer := 0); port (pad : in std_ulogic; o : out std_ulogic; rstn : std_ulogic := '1'; lock : out std_ulogic); end component; component inpad_ds generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; term : integer := 0); port (padp, padn : in std_ulogic; o : out std_ulogic); end component; component clkpad_ds generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; term : integer := 0); port (padp, padn : in std_ulogic; o : out std_ulogic); end component; component inpad_dsv generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; width : integer := 1; term : integer := 0); port ( padp : in std_logic_vector(width-1 downto 0); padn : in std_logic_vector(width-1 downto 0); o : out std_logic_vector(width-1 downto 0)); end component; component iopad_ds generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0; term : integer := 0); port (padp, padn : inout std_ulogic; i, en : in std_ulogic; o : out std_ulogic); end component; component iopad_dsv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( padp, padn : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_ulogic; o : out std_logic_vector(width-1 downto 0)); end component; component iopad_dsvv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( padp, padn : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0); o : out std_logic_vector(width-1 downto 0)); end component; component outpad_ds generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; oepol : integer := 0; slew : integer := 0); port (padp, padn : out std_ulogic; i, en : in std_ulogic); end component; component outpad_dsv generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; width : integer := 1; slew : integer := 0); port ( padp : out std_logic_vector(width-1 downto 0); padn : out std_logic_vector(width-1 downto 0); i, en: in std_logic_vector(width-1 downto 0)); end component; component lvds_combo is generic (tech : integer := 0; voltage : integer := 0; width : integer := 1; oepol : integer := 0; term : integer := 0); port (odpadp, odpadn, ospadp, ospadn : out std_logic_vector(0 to width-1); odval, osval, en : in std_logic_vector(0 to width-1); idpadp, idpadn, ispadp, ispadn : in std_logic_vector(0 to width-1); idval, isval : out std_logic_vector(0 to width-1); lvdsref : in std_logic := '1' ); end component; ------------------------------------------------------------------------------- -- DDR PADS (bundles PAD and DDR register(s)) ------------------------------------------------------------------------------- component inpad_ddr generic (tech : integer := 0; level : integer := 0; voltage : integer := x33v; filter : integer := 0; strength : integer := 0 ); port (pad : in std_ulogic; o1, o2 : out std_ulogic; c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end component; component inpad_ddrv generic (tech : integer := 0; level : integer := 0; voltage : integer := 0; filter : integer := 0; strength : integer := 0; width : integer := 1); port (pad : in std_logic_vector(width-1 downto 0); o1, o2 : out std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic; ce : in std_ulogic; r: in std_ulogic; s : in std_ulogic); end component; component outpad_ddr generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12); port (pad : out std_ulogic; i1, i2 : in std_ulogic; c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end component; component outpad_ddrv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := 0; strength : integer := 12; width : integer := 1); port (pad : out std_logic_vector(width-1 downto 0); i1, i2 : in std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end component; component iopad_ddr generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port (pad : inout std_ulogic; i1, i2 : in std_ulogic; en : in std_ulogic; o1, o2 : out std_ulogic; c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end component; component iopad_ddrv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port (pad : inout std_logic_vector(width-1 downto 0); i1, i2 : in std_logic_vector(width-1 downto 0); en : in std_ulogic; o1, o2 : out std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end component; component iopad_ddrvv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port (pad : inout std_logic_vector(width-1 downto 0); i1, i2 : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0); o1, o2 : out std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end component; --------------------------------------------------------------------------- -- BUFFERS --------------------------------------------------------------------------- component techbuf is generic( buftype : integer range 0 to 6 := 0; tech : integer range 0 to NTECH := inferred); port( i : in std_ulogic; o : out std_ulogic ); end component; --------------------------------------------------------------------------- -- CLOCK GENERATION --------------------------------------------------------------------------- type clkgen_in_type is record pllref : std_logic; -- optional reference for PLL pllrst : std_logic; -- optional reset for PLL pllctrl : std_logic_vector(1 downto 0); -- optional control for PLL clksel : std_logic_vector(1 downto 0); -- optional clock select end record; type clkgen_out_type is record clklock : std_logic; pcilock : std_logic; end record; component clkgen generic ( tech : integer := DEFFABTECH; clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; noclkfb : integer := 1; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; clk2xen : integer := 0; clksel : integer := 0; -- enable clock select clk_odiv : integer := 1; -- Proasic3/Fusion output divider clkA clkb_odiv: integer := 0; -- Proasic3/Fusion output divider clkB clkc_odiv: integer := 0); -- Proasic3/Fusion output divider clkC port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock clk2x : out std_logic; -- 2x clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk4x : out std_logic; -- 4x clock clk1xu : out std_logic; -- unscaled 1X clock clk2xu : out std_logic; -- unscaled 2X clock clkb : out std_logic; -- Proasic3/Fusion clkB clkc : out std_logic; -- Proasic3/Fusion clkC clk8x : out std_logic); -- 8x clock end component; component clkand generic( tech : integer := 0; ren : integer range 0 to 1 := 0); -- registered enable port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic := '0' ); end component; component clkmux generic( tech : integer := 0; rsel : integer range 0 to 1 := 0); -- registered sel port( i0, i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic; rst : in std_ulogic := '1' ); end component; component clkinv generic( tech : integer := 0); port( i : in std_ulogic; o : out std_ulogic ); end component; component clkrand is generic( tech : integer := 0); port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic := '0' ); end component; --------------------------------------------------------------------------- -- TAP controller and boundary scan --------------------------------------------------------------------------- component tap generic ( tech : integer := 0; irlen : integer range 2 to 8 := 4; idcode : integer range 0 to 255 := 9; manf : integer range 0 to 2047 := 804; part : integer range 0 to 65535 := 0; ver : integer range 0 to 15 := 0; trsten : integer range 0 to 1 := 1; scantest : integer := 0; oepol : integer := 1; tcknen : integer := 0); port ( trst : in std_ulogic; tck : in std_ulogic; tms : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; tapo_tck : out std_ulogic; tapo_tdi : out std_ulogic; tapo_inst : out std_logic_vector(7 downto 0); tapo_rst : out std_ulogic; tapo_capt : out std_ulogic; tapo_shft : out std_ulogic; tapo_upd : out std_ulogic; tapo_xsel1 : out std_ulogic; tapo_xsel2 : out std_ulogic; tapi_en1 : in std_ulogic; tapi_tdo1 : in std_ulogic; tapi_tdo2 : in std_ulogic; tapo_ninst : out std_logic_vector(7 downto 0); tapo_iupd : out std_ulogic; tapo_tckn : out std_ulogic; testen : in std_ulogic := '0'; testrst : in std_ulogic := '1'; testoen : in std_ulogic := '0'; tdoen : out std_ulogic; tckn : in std_ulogic := '0' ); end component; component scanregi generic ( tech : integer := 0; intesten: integer := 1 ); port ( pad : in std_ulogic; core : out std_ulogic; tck : in std_ulogic; tckn : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; -- capture signal to scan reg on next tck edge bsupd : in std_ulogic; -- update data reg from scan reg on next tck edge bsdrive : in std_ulogic; -- drive data reg to core bshighz : in std_ulogic ); end component; component scanrego generic ( tech : integer := 0 ); port ( pad : out std_ulogic; core : in std_ulogic; samp : in std_ulogic; -- normally same as core unless outpad has feedback tck : in std_ulogic; tckn : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; -- capture signal to scan reg on next tck edge bsupd : in std_ulogic; -- update data reg from scan reg on next tck edge bsdrive : in std_ulogic -- drive data reg to pad ); end component; component scanregto -- 2 scan registers: tdo<---output<--outputen<--tdi generic ( tech : integer := 0; hzsup: integer range 0 to 1 := 1; oepol: integer range 0 to 1 := 1 ); port ( pado : out std_ulogic; padoen : out std_ulogic; samp : in std_ulogic; -- normally same as core unless outpad has feedback coreo : in std_ulogic; coreoen : in std_ulogic; tck : in std_ulogic; tckn : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; -- capture signal to scan reg on next tck edge bsupdo : in std_ulogic; -- update data reg from scan reg on next tck edge bsdrive : in std_ulogic; -- drive data reg to pad bshighz : in std_ulogic -- tri-state output ); end component; component scanregio -- 3 scan registers: tdo<--input<--output<--outputen<--tdi generic ( tech : integer := 0; hzsup: integer range 0 to 1 := 1; oepol: integer range 0 to 1 := 1; intesten: integer range 0 to 1 := 1 ); port ( pado : out std_ulogic; padoen : out std_ulogic; padi : in std_ulogic; coreo : in std_ulogic; coreoen : in std_ulogic; corei : out std_ulogic; tck : in std_ulogic; tckn : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; -- capture signals to scan regs on next tck edge bsupdi : in std_ulogic; -- update indata reg from scan reg on next tck edge bsupdo : in std_ulogic; -- update outdata reg from scan reg on next tck edge bsdrive : in std_ulogic; -- drive outdata regs to pad, -- drive datareg(coreoen=0) or coreo(coreoen=1) to corei bshighz : in std_ulogic -- tri-state output ); end component; --------------------------------------------------------------------------- -- DDR registers and PHY --------------------------------------------------------------------------- component ddr_ireg is generic ( tech : integer; arch : integer := 0); port ( Q1 : out std_ulogic; Q2 : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component ddr_oreg is generic (tech : integer; arch : integer := 0); port ( Q : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component ddrphy generic (tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer :=0; mobile : integer := 0; abits: integer := 14; nclk: integer := 3; ncs: integer := 2; scantest : integer := 0; phyiconf : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return clkread : out std_ulogic; -- read clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (13 downto 0); -- data mask ba : in std_logic_vector ( 1 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(1 downto 0); cke : in std_logic_vector(1 downto 0); ck : in std_logic_vector(2 downto 0); moben : in std_logic; dqvalid : out std_ulogic; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; component ddrphy_wo_pads generic (tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; rskew : integer := 0; mobile: integer := 0; abits : integer := 14; nclk: integer := 3; ncs: integer := 2; scantest : integer := 0; phyiconf : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock returned clkread : out std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (abits-1 downto 0); ba : in std_logic_vector (1 downto 0); dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); ck : in std_logic_vector(2 downto 0); moben : in std_logic; dqvalid : out std_ulogic; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; component ddr2phy generic ( tech : integer := virtex5; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; ddelayb8 : integer := 0; ddelayb9 : integer := 0; ddelayb10: integer := 0; ddelayb11: integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk: integer := 3; ncs: integer := 2; ctrl2en: integer := 0; resync: integer := 0; custombits: integer := 8; extraio: integer := 0; scantest : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref : in std_logic; -- input reference clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return clkresync : in std_ulogic; -- resync clock (if resync/=0) lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (extraio+dbits/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); addr : in std_logic_vector (abits-1 downto 0); ba : in std_logic_vector ( 2 downto 0); dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; noen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); cal_en : in std_logic_vector(dbits/8-1 downto 0); cal_inc : in std_logic_vector(dbits/8-1 downto 0); cal_pll : in std_logic_vector(1 downto 0); cal_rst : in std_logic; odt : in std_logic_vector(ncs-1 downto 0); oct : in std_logic; read_pend : in std_logic_vector(7 downto 0); regwdata : in std_logic_vector(63 downto 0); regwrite : in std_logic_vector(1 downto 0); regrdata : out std_logic_vector(63 downto 0); dqin_valid : out std_ulogic; customclk : in std_ulogic; customdin : in std_logic_vector(custombits-1 downto 0); customdout : out std_logic_vector(custombits-1 downto 0); -- Copy of control signals for 2nd DIMM ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; component ddr2phy_wo_pads generic (tech : integer := virtex5; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; ddelayb8: integer := 0; ddelayb9: integer := 0; ddelayb10: integer := 0; ddelayb11: integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk: integer := 3; ncs: integer := 2; resync : integer := 0; custombits: integer := 8; scantest: integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref : in std_logic; -- input 200MHz clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock returned clkresync : in std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); addr : in std_logic_vector (abits-1 downto 0); ba : in std_logic_vector ( 2 downto 0); dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; noen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); cal_en : in std_logic_vector(dbits/8-1 downto 0); cal_inc : in std_logic_vector(dbits/8-1 downto 0); cal_pll : in std_logic_vector(1 downto 0); cal_rst : in std_logic; odt : in std_logic_vector(ncs-1 downto 0); oct : in std_logic; read_pend : in std_logic_vector(7 downto 0); regwdata : in std_logic_vector(63 downto 0); regwrite : in std_logic_vector(1 downto 0); regrdata : out std_logic_vector(63 downto 0); dqin_valid : out std_ulogic; customclk : in std_ulogic; customdin : in std_logic_vector(custombits-1 downto 0); customdout : out std_logic_vector(custombits-1 downto 0); testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; component lpddr2phy_wo_pads generic ( tech : integer := virtex5; dbits : integer := 16; nclk: integer := 3; ncs: integer := 2; clkratio: integer := 1; scantest: integer := 0); port ( rst : in std_ulogic; clkin : in std_ulogic; clkin2 : in std_ulogic; clkout : out std_ulogic; clkoutret : in std_ulogic; -- ckkout returned clkout2 : out std_ulogic; lock : out std_ulogic; ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_ca : out std_logic_vector(9 downto 0); ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data ca : in std_logic_vector (10*2*clkratio-1 downto 0); cke : in std_logic_vector (ncs*clkratio-1 downto 0); csn : in std_logic_vector (ncs*clkratio-1 downto 0); dqin : out std_logic_vector (dbits*2*clkratio-1 downto 0); -- ddr output data dqout : in std_logic_vector (dbits*2*clkratio-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4*clkratio-1 downto 0); -- data mask ckstop : in std_ulogic; boot : in std_ulogic; wrpend : in std_logic_vector(7 downto 0); rdpend : in std_logic_vector(7 downto 0); wrreq : out std_logic_vector(clkratio-1 downto 0); rdvalid : out std_logic_vector(clkratio-1 downto 0); refcal : in std_ulogic; refcalwu : in std_ulogic; refcaldone : out std_ulogic; phycmd : in std_logic_vector(7 downto 0); phycmden : in std_ulogic; phycmdin : in std_logic_vector(31 downto 0); phycmdout : out std_logic_vector(31 downto 0); testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; component ddr2pads is generic (tech: integer := virtex5; dbits: integer := 16; eightbanks: integer := 0; dqsse: integer range 0 to 1 := 0; abits: integer := 14; nclk: integer := 3; ncs: integer := 2; ctrl2en: integer := 0); port ( ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); -- Copy of control signals for 2nd DIMM (if ctrl2en /= 0) ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address lddr_clk : in std_logic_vector(nclk-1 downto 0); lddr_clkb : in std_logic_vector(nclk-1 downto 0); lddr_clk_fb_out : in std_logic; lddr_clk_fb : out std_logic; lddr_cke : in std_logic_vector(ncs-1 downto 0); lddr_csb : in std_logic_vector(ncs-1 downto 0); lddr_web : in std_ulogic; -- ddr write enable lddr_rasb : in std_ulogic; -- ddr ras lddr_casb : in std_ulogic; -- ddr cas lddr_dm : in std_logic_vector (dbits/8-1 downto 0); -- ddr dm lddr_dqs_in : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_dqs_out : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_dqs_oen : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_ad : in std_logic_vector (abits-1 downto 0); -- ddr address lddr_ba : in std_logic_vector (1+eightbanks downto 0); -- ddr bank address lddr_dq_in : out std_logic_vector (dbits-1 downto 0); -- ddr data lddr_dq_out : in std_logic_vector (dbits-1 downto 0); -- ddr data lddr_dq_oen : in std_logic_vector (dbits-1 downto 0); -- ddr data lddr_odt : in std_logic_vector(ncs-1 downto 0) ); end component; component ddrpads is generic (tech: integer := virtex5; dbits: integer := 16; abits: integer := 14; nclk: integer := 3; ncs: integer := 2; ctrl2en: integer := 0); port ( ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data -- Copy of control signals for 2nd DIMM (if ctrl2en /= 0) ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1 downto 0); -- ddr bank address lddr_clk : in std_logic_vector(nclk-1 downto 0); lddr_clkb : in std_logic_vector(nclk-1 downto 0); lddr_clk_fb_out : in std_logic; lddr_clk_fb : out std_logic; lddr_cke : in std_logic_vector(ncs-1 downto 0); lddr_csb : in std_logic_vector(ncs-1 downto 0); lddr_web : in std_ulogic; -- ddr write enable lddr_rasb : in std_ulogic; -- ddr ras lddr_casb : in std_ulogic; -- ddr cas lddr_dm : in std_logic_vector (dbits/8-1 downto 0); -- ddr dm lddr_dqs_in : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_dqs_out : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_dqs_oen : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_ad : in std_logic_vector (abits-1 downto 0); -- ddr address lddr_ba : in std_logic_vector (1 downto 0); -- ddr bank address lddr_dq_in : out std_logic_vector (dbits-1 downto 0); -- ddr data lddr_dq_out : in std_logic_vector (dbits-1 downto 0); -- ddr data lddr_dq_oen : in std_logic_vector (dbits-1 downto 0) -- ddr data ); end component; component ddrphy_datapath is generic ( regtech: integer := 0; dbits: integer; abits: integer; bankbits: integer range 2 to 3 := 2; ncs: integer; nclk: integer; resync: integer range 0 to 2 := 0 ); port ( clk0: in std_ulogic; clk90: in std_ulogic; clk180: in std_ulogic; clk270: in std_ulogic; clkresync: in std_ulogic; ddr_clk: out std_logic_vector(nclk-1 downto 0); ddr_clkb: out std_logic_vector(nclk-1 downto 0); ddr_dq_in: in std_logic_vector(dbits-1 downto 0); ddr_dq_out: out std_logic_vector(dbits-1 downto 0); ddr_dq_oen: out std_logic_vector(dbits-1 downto 0); ddr_dqs_in90: in std_logic_vector(dbits/8-1 downto 0); ddr_dqs_in90n: in std_logic_vector(dbits/8-1 downto 0); ddr_dqs_out: out std_logic_vector(dbits/8-1 downto 0); ddr_dqs_oen: out std_logic_vector(dbits/8-1 downto 0); ddr_cke: out std_logic_vector(ncs-1 downto 0); ddr_csb: out std_logic_vector(ncs-1 downto 0); ddr_web: out std_ulogic; ddr_rasb: out std_ulogic; ddr_casb: out std_ulogic; ddr_ad: out std_logic_vector(abits-1 downto 0); ddr_ba: out std_logic_vector(bankbits-1 downto 0); ddr_dm: out std_logic_vector(dbits/8-1 downto 0); ddr_odt: out std_logic_vector(ncs-1 downto 0); dqin: out std_logic_vector(dbits*2-1 downto 0); dqout: in std_logic_vector(dbits*2-1 downto 0); addr : in std_logic_vector (abits-1 downto 0); ba : in std_logic_vector (bankbits-1 downto 0); dm : in std_logic_vector (dbits/4-1 downto 0); oen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); odt : in std_logic_vector(ncs-1 downto 0); dqs_en : in std_ulogic; dqs_oen : in std_ulogic; ddrclk_en : in std_logic_vector(nclk-1 downto 0) ); end component; --------------------------------------------------------------------------- -- 61x61 Multiplier --------------------------------------------------------------------------- component mul_61x61 generic (multech : integer := 0; fabtech : integer := 0); port(A : in std_logic_vector(60 downto 0); B : in std_logic_vector(60 downto 0); EN : in std_logic; CLK : in std_logic; PRODUCT : out std_logic_vector(121 downto 0)); end component; --------------------------------------------------------------------------- -- Ring oscillator --------------------------------------------------------------------------- component ringosc generic (tech : integer := 0); port ( roen : in Std_ULogic; roout : out Std_ULogic); end component; --------------------------------------------------------------------------- -- System monitor --------------------------------------------------------------------------- component system_monitor generic ( -- GRLIB generics tech : integer := DEFFABTECH; -- Virtex 5 SYSMON generics INIT_40 : bit_vector := X"0000"; INIT_41 : bit_vector := X"0000"; INIT_42 : bit_vector := X"0800"; INIT_43 : bit_vector := X"0000"; INIT_44 : bit_vector := X"0000"; INIT_45 : bit_vector := X"0000"; INIT_46 : bit_vector := X"0000"; INIT_47 : bit_vector := X"0000"; INIT_48 : bit_vector := X"0000"; INIT_49 : bit_vector := X"0000"; INIT_4A : bit_vector := X"0000"; INIT_4B : bit_vector := X"0000"; INIT_4C : bit_vector := X"0000"; INIT_4D : bit_vector := X"0000"; INIT_4E : bit_vector := X"0000"; INIT_4F : bit_vector := X"0000"; INIT_50 : bit_vector := X"0000"; INIT_51 : bit_vector := X"0000"; INIT_52 : bit_vector := X"0000"; INIT_53 : bit_vector := X"0000"; INIT_54 : bit_vector := X"0000"; INIT_55 : bit_vector := X"0000"; INIT_56 : bit_vector := X"0000"; INIT_57 : bit_vector := X"0000"; SIM_MONITOR_FILE : string := "design.txt"); port ( alm : out std_logic_vector(2 downto 0); busy : out std_ulogic; channel : out std_logic_vector(4 downto 0); do : out std_logic_vector(15 downto 0); drdy : out std_ulogic; eoc : out std_ulogic; eos : out std_ulogic; jtagbusy : out std_ulogic; jtaglocked : out std_ulogic; jtagmodified : out std_ulogic; ot : out std_ulogic; convst : in std_ulogic; convstclk : in std_ulogic; daddr : in std_logic_vector(6 downto 0); dclk : in std_ulogic; den : in std_ulogic; di : in std_logic_vector(15 downto 0); dwe : in std_ulogic; reset : in std_ulogic; vauxn : in std_logic_vector(15 downto 0); vauxp : in std_logic_vector(15 downto 0); vn : in std_ulogic; vp : in std_ulogic); end component; component nandtree generic( tech : integer := inferred; width : integer := 2; imp : integer := 0 ); port( i : in std_logic_vector(width-1 downto 0); o : out std_ulogic; en : in std_ulogic ); end component; component grmux2 is generic( tech : integer := inferred; imp : integer := 0); port( ip0, ip1, sel : in std_logic; op : out std_ulogic); end component; component grmux2v is generic( tech : integer := inferred; bits : integer := 2; imp : integer := 0); port( ip0, ip1 : in std_logic_vector(bits-1 downto 0); sel : in std_logic; op : out std_logic_vector(bits-1 downto 0)); end component; component grdff is generic( tech : integer := inferred; imp : integer := 0); port( clk, d : in std_ulogic; q : out std_ulogic); end component; component gror2 is generic( tech : integer := inferred; imp : integer := 0); port( i0, i1 : in std_ulogic; q : out std_ulogic); end component; component grand12 is generic( tech : integer := inferred; imp : integer := 0); port( i0, i1 : in std_ulogic; q : out std_ulogic); end component; component grnand2 is generic (tech: integer := inferred; imp: integer := 0); port( i0, i1 : in std_ulogic; q : out std_ulogic); end component; component techmult generic ( tech : integer := 0; arch : integer := 0; a_width : positive := 2; -- multiplier word width b_width : positive := 2; -- multiplicand word width num_stages : positive := 2; -- number of pipeline stages stall_mode : natural range 0 to 1 := 1 -- '0': non-stallable; '1': stallable ); port(a : in std_logic_vector(a_width-1 downto 0); b : in std_logic_vector(b_width-1 downto 0); clk : in std_logic; en : in std_logic; sign : in std_logic; product : out std_logic_vector(a_width+b_width-1 downto 0)); end component; component syncreg generic ( tech : integer := 0; stages : integer range 1 to 5 := 2 ); port ( clk : in std_ulogic; d : in std_ulogic; q : out std_ulogic ); end component; ------------------------------------------------------------------------------- -- SDRAM PHY ------------------------------------------------------------------------------- component sdram_phy generic ( tech : integer := spartan3; oepol : integer := 0; level : integer := 0; voltage : integer := x33v; strength : integer := 12; aw : integer := 15; -- # address bits dw : integer := 32; -- # data bits ncs : integer := 2; reg : integer := 0); -- 1: include registers on all signals port ( -- SDRAM interface addr : out std_logic_vector(aw-1 downto 0); dq : inout std_logic_vector(dw-1 downto 0); cke : out std_logic_vector(ncs-1 downto 0); sn : out std_logic_vector(ncs-1 downto 0); wen : out std_ulogic; rasn : out std_ulogic; casn : out std_ulogic; dqm : out std_logic_vector(dw/8-1 downto 0); -- Interface toward memory controller laddr : in std_logic_vector(aw-1 downto 0); ldq_din : out std_logic_vector(dw-1 downto 0); ldq_dout : in std_logic_vector(dw-1 downto 0); ldq_oen : in std_logic_vector(dw-1 downto 0); lcke : in std_logic_vector(ncs-1 downto 0); lsn : in std_logic_vector(ncs-1 downto 0); lwen : in std_ulogic; lrasn : in std_ulogic; lcasn : in std_ulogic; ldqm : in std_logic_vector(dw/8-1 downto 0); -- Only used when reg generic is non-zero rstn : in std_ulogic; -- Registered pads reset clk : in std_ulogic; -- SDRAM clock for registered pads -- Optional pad configuration inputs cfgi_cmd : in std_logic_vector(19 downto 0) := "00000000000000000000"; -- CMD pads cfgi_dq : in std_logic_vector(19 downto 0) := "00000000000000000000" -- DQ pads ); end component; end;
gpl-2.0
0f4e7b721e3f988e817aebe3b2134ff3
0.564856
3.417983
false
false
false
false
CogPy/cog
xUnit/vhdl/A.vhd
1
3,141
------------------------------------------------------------------------------- -- Title : test1 -- Project : ------------------------------------------------------------------------------- -- File : test1.vhd -- Author : <kristoffer.nordstrom@HELVNB0100> -- Company : -- Created : 2015-04-27 -- Last update: 2015-05-12 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2015 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2015-04-27 1.0 kn Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity A is port ( Clk : in std_logic; Clr : in std_logic; A_A : in std_logic_vector(3 downto 0); A_B : in std_logic_vector(3 downto 0); A_AB : out std_logic_vector(4 downto 0) ); end entity A; ------------------------------------------------------------------------------- architecture str of A is signal Cents_A : std_logic_vector(3 downto 0); signal Cents_B : std_logic_vector(3 downto 0); signal Cents_AB : std_logic_vector(4 downto 0); signal B_A : std_logic_vector(3 downto 0); signal B_B : std_logic_vector(3 downto 0); signal B_AB : std_logic_vector(4 downto 0); ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- signal AB : unsigned(A_AB'range); begin -- architecture str ----------------------------------------------------------------------------- -- Output assignments ----------------------------------------------------------------------------- A_AB <= std_logic_vector(AB); ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- p_addAandB: process (Clk) is begin -- process p_addAandB if Clk'event and Clk = '1' then -- rising clock edge if Clr = '1' then AB <= to_unsigned(0, AB'length); else AB <= resize(unsigned(A_A), AB'length) + resize(unsigned(A_B), AB'length); end if; end if; end process p_addAandB; i_B_1: entity work.B port map ( Clk => Clk, Clr => Clr, B_A => B_A, B_B => B_B, B_AB => B_AB); i_Cents_1: entity work.Cents port map ( Clk => Clk, Clr => Clr, Cents_A => Cents_A, Cents_B => Cents_B, Cents_AB => Cents_AB); end architecture str; -------------------------------------------------------------------------------
lgpl-3.0
d31bb02073683cf9d5aa91557f73e527
0.340656
4.702096
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/leon3v3/grfpwxsh.vhd
1
9,680
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: grfpwxsh -- File: grfpwxsh.vhd -- Author: Edvin Catovic - Gaisler Research -- Description: GRFPU/GRFPC wrapper and FP register file ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.leon3.all; use gaisler.libleon3.all; use gaisler.libfpu.all; entity grfpwxsh is generic ( tech : integer range 0 to NTECH := 0; pclow : integer range 0 to 2 := 2; dsu : integer range 0 to 1 := 0; disas : integer range 0 to 2 := 0; id : integer range 0 to 7 := 0 ); port ( rst : in std_ulogic; -- Reset clk : in std_ulogic; holdn : in std_ulogic; -- pipeline hold cpi : in fpc_in_type; cpo : out fpc_out_type; fpui : out grfpu_in_type; fpuo : in grfpu_out_type ); end; architecture rtl of grfpwxsh is signal rfi1, rfi2 : fp_rf_in_type; signal rfo1, rfo2 : fp_rf_out_type; component grfpwsh generic ( tech : integer range 0 to NTECH := 0; pclow : integer range 0 to 2 := 2; dsu : integer range 0 to 1 := 0; disas : integer range 0 to 2 := 0; id : integer range 0 to 7 := 0 ); port ( rst : in std_ulogic; -- Reset clk : in std_ulogic; holdn : in std_ulogic; -- pipeline hold cpi_flush : in std_ulogic; -- pipeline flush cpi_exack : in std_ulogic; -- FP exception acknowledge cpi_a_rs1 : in std_logic_vector(4 downto 0); cpi_d_pc : in std_logic_vector(31 downto 0); cpi_d_inst : in std_logic_vector(31 downto 0); cpi_d_cnt : in std_logic_vector(1 downto 0); cpi_d_trap : in std_ulogic; cpi_d_annul : in std_ulogic; cpi_d_pv : in std_ulogic; cpi_a_pc : in std_logic_vector(31 downto 0); cpi_a_inst : in std_logic_vector(31 downto 0); cpi_a_cnt : in std_logic_vector(1 downto 0); cpi_a_trap : in std_ulogic; cpi_a_annul : in std_ulogic; cpi_a_pv : in std_ulogic; cpi_e_pc : in std_logic_vector(31 downto 0); cpi_e_inst : in std_logic_vector(31 downto 0); cpi_e_cnt : in std_logic_vector(1 downto 0); cpi_e_trap : in std_ulogic; cpi_e_annul : in std_ulogic; cpi_e_pv : in std_ulogic; cpi_m_pc : in std_logic_vector(31 downto 0); cpi_m_inst : in std_logic_vector(31 downto 0); cpi_m_cnt : in std_logic_vector(1 downto 0); cpi_m_trap : in std_ulogic; cpi_m_annul : in std_ulogic; cpi_m_pv : in std_ulogic; cpi_x_pc : in std_logic_vector(31 downto 0); cpi_x_inst : in std_logic_vector(31 downto 0); cpi_x_cnt : in std_logic_vector(1 downto 0); cpi_x_trap : in std_ulogic; cpi_x_annul : in std_ulogic; cpi_x_pv : in std_ulogic; cpi_lddata : in std_logic_vector(31 downto 0); -- load data cpi_dbg_enable : in std_ulogic; cpi_dbg_write : in std_ulogic; cpi_dbg_fsr : in std_ulogic; -- FSR access cpi_dbg_addr : in std_logic_vector(4 downto 0); cpi_dbg_data : in std_logic_vector(31 downto 0); cpo_data : out std_logic_vector(31 downto 0); -- store data cpo_exc : out std_logic; -- FP exception cpo_cc : out std_logic_vector(1 downto 0); -- FP condition codes cpo_ccv : out std_ulogic; -- FP condition codes valid cpo_ldlock : out std_logic; -- FP pipeline hold cpo_holdn : out std_ulogic; cpo_dbg_data : out std_logic_vector(31 downto 0); rfi1_rd1addr : out std_logic_vector(3 downto 0); rfi1_rd2addr : out std_logic_vector(3 downto 0); rfi1_wraddr : out std_logic_vector(3 downto 0); rfi1_wrdata : out std_logic_vector(31 downto 0); rfi1_ren1 : out std_ulogic; rfi1_ren2 : out std_ulogic; rfi1_wren : out std_ulogic; rfi2_rd1addr : out std_logic_vector(3 downto 0); rfi2_rd2addr : out std_logic_vector(3 downto 0); rfi2_wraddr : out std_logic_vector(3 downto 0); rfi2_wrdata : out std_logic_vector(31 downto 0); rfi2_ren1 : out std_ulogic; rfi2_ren2 : out std_ulogic; rfi2_wren : out std_ulogic; rfo1_data1 : in std_logic_vector(31 downto 0); rfo1_data2 : in std_logic_vector(31 downto 0); rfo2_data1 : in std_logic_vector(31 downto 0); rfo2_data2 : in std_logic_vector(31 downto 0); start : out std_logic; nonstd : out std_logic; flop : out std_logic_vector(8 downto 0); op1 : out std_logic_vector(63 downto 0); op2 : out std_logic_vector(63 downto 0); opid : out std_logic_vector(7 downto 0); flush : out std_logic; flushid : out std_logic_vector(5 downto 0); rndmode : out std_logic_vector(1 downto 0); req : out std_logic_vector(2 downto 0); res : in std_logic_vector(63 downto 0); exc : in std_logic_vector(5 downto 0); allow : in std_logic_vector(2 downto 0); rdy : in std_logic; cc : in std_logic_vector(1 downto 0); idout : in std_logic_vector(7 downto 0) ); end component; begin x0 : grfpwsh generic map (tech, pclow, dsu, disas, id) port map (rst, clk, holdn, cpi.flush , cpi.exack , cpi.a_rs1 , cpi.d.pc , cpi.d.inst , cpi.d.cnt , cpi.d.trap , cpi.d.annul , cpi.d.pv , cpi.a.pc , cpi.a.inst , cpi.a.cnt , cpi.a.trap , cpi.a.annul , cpi.a.pv , cpi.e.pc , cpi.e.inst , cpi.e.cnt , cpi.e.trap , cpi.e.annul , cpi.e.pv , cpi.m.pc , cpi.m.inst , cpi.m.cnt , cpi.m.trap , cpi.m.annul , cpi.m.pv , cpi.x.pc , cpi.x.inst , cpi.x.cnt , cpi.x.trap , cpi.x.annul , cpi.x.pv , cpi.lddata , cpi.dbg.enable , cpi.dbg.write , cpi.dbg.fsr , cpi.dbg.addr , cpi.dbg.data , cpo.data , cpo.exc , cpo.cc , cpo.ccv , cpo.ldlock , cpo.holdn , cpo.dbg.data , rfi1.rd1addr , rfi1.rd2addr , rfi1.wraddr , rfi1.wrdata , rfi1.ren1 , rfi1.ren2 , rfi1.wren , rfi2.rd1addr , rfi2.rd2addr , rfi2.wraddr , rfi2.wrdata , rfi2.ren1 , rfi2.ren2 , rfi2.wren , rfo1.data1 , rfo1.data2 , rfo2.data1 , rfo2.data2 , fpui.start , fpui.nonstd , fpui.flop , fpui.op1 , fpui.op2 , fpui.opid , fpui.flush , fpui.flushid , fpui.rndmode , fpui.req , fpuo.res , fpuo.exc , fpuo.allow , fpuo.rdy , fpuo.cc , fpuo.idout ); rf1 : regfile_3p_l3 generic map (tech, 4, 32, 1, 16 ) port map (clk, rfi1.wraddr, rfi1.wrdata, rfi1.wren, clk, rfi1.rd1addr, rfi1.ren1, rfo1.data1, rfi1.rd2addr, rfi1.ren2, rfo1.data2 ); rf2 : regfile_3p_l3 generic map (tech, 4, 32, 1, 16 ) port map (clk, rfi2.wraddr, rfi2.wrdata, rfi2.wren, clk, rfi2.rd1addr, rfi2.ren1, rfo2.data1, rfi2.rd2addr, rfi2.ren2, rfo2.data2 ); end;
gpl-2.0
e7ba3e6734094fe97ec516a360930629
0.481198
3.522562
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-gr-xc6s/ahb2mig_grxc6s_2p.vhd
1
23,061
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: ahb2mig_grxc6s_2p -- File: ahb2mig_grxc6s_2p.vhd -- Author: Jiri Gaisler - Aeroflex Gaisler AB -- -- This is a AHB-2.0 interface for the Xilinx Spartan-6 MIG. -- One bidir 32-bit port is used for the main AHB bus, while -- a second read-only port can be enabled for a VGA frame buffer. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; entity ahb2mig_grxc6s_2p is generic( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; vgamst : integer := 0; vgaburst : integer := 0; clkdiv : integer := 2 ); port( mcb3_dram_dq : inout std_logic_vector(15 downto 0); mcb3_dram_a : out std_logic_vector(12 downto 0); mcb3_dram_ba : out std_logic_vector(2 downto 0); mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; mcb3_dram_odt : out std_logic; mcb3_dram_cke : out std_logic; mcb3_dram_dm : out std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_rzq : inout std_logic; mcb3_zio : inout std_logic; mcb3_dram_udm : out std_logic; mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic; ahbso : out ahb_slv_out_type; ahbsi : in ahb_slv_in_type; ahbmi : out ahb_mst_in_type; ahbmo : in ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; calib_done : out std_logic; test_error : out std_logic; rst_n_syn : out std_logic; rst_n_async : in std_logic; clk_amba : out std_logic; clk_mem_n : in std_logic; clk_mem_p : in std_logic; clk_125 : out std_logic; clk_100 : out std_logic ); end ; architecture rtl of ahb2mig_grxc6s_2p is component mig_37 generic ( C3_P0_MASK_SIZE : integer := 4; C3_P0_DATA_PORT_SIZE : integer := 32; C3_P1_MASK_SIZE : integer := 4; C3_P1_DATA_PORT_SIZE : integer := 32; C3_MEMCLK_PERIOD : integer := 5000; -- Memory data transfer clock period. C3_RST_ACT_LOW : integer := 0; -- # = 1 for active low reset, -- # = 0 for active high reset. C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED"; -- input clock type DIFFERENTIAL or SINGLE_ENDED. C3_CALIB_SOFT_IP : string := "TRUE"; -- # = TRUE, Enables the soft calibration logic, -- # = FALSE, Disables the soft calibration logic. C3_SIMULATION : string := "FALSE"; -- # = TRUE, Simulating the design. Useful to reduce the simulation time, -- # = FALSE, Implementing the design. DEBUG_EN : integer := 0; -- # = 1, Enable debug signals/controls, -- = 0, Disable debug signals/controls. C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN"; -- The order in which user address is provided to the memory controller, -- ROW_BANK_COLUMN or BANK_ROW_COLUMN. C3_NUM_DQ_PINS : integer := 16; -- External memory data width. C3_MEM_ADDR_WIDTH : integer := 13; -- External memory address width. C3_MEM_BANKADDR_WIDTH : integer := 3; -- External memory bank address width. C3_CLKOUT5_DIVIDE : integer := 10 -- Extra clock divider ); port ( mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0); mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0); mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0); mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; mcb3_dram_odt : out std_logic; mcb3_dram_cke : out std_logic; mcb3_dram_dm : out std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_rzq : inout std_logic; mcb3_zio : inout std_logic; mcb3_dram_udm : out std_logic; c3_sys_clk : in std_logic; c3_sys_rst_n : in std_logic; c3_calib_done : out std_logic; c3_clk0 : out std_logic; c3_rst0 : out std_logic; clk_125 : out std_logic; -- 125 MHz for RGMII clk_100 : out std_logic; -- Extra clock mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic; c3_p0_cmd_clk : in std_logic; c3_p0_cmd_en : in std_logic; c3_p0_cmd_instr : in std_logic_vector(2 downto 0); c3_p0_cmd_bl : in std_logic_vector(5 downto 0); c3_p0_cmd_byte_addr : in std_logic_vector(29 downto 0); c3_p0_cmd_empty : out std_logic; c3_p0_cmd_full : out std_logic; c3_p0_wr_clk : in std_logic; c3_p0_wr_en : in std_logic; c3_p0_wr_mask : in std_logic_vector(C3_P0_MASK_SIZE - 1 downto 0); c3_p0_wr_data : in std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0); c3_p0_wr_full : out std_logic; c3_p0_wr_empty : out std_logic; c3_p0_wr_count : out std_logic_vector(6 downto 0); c3_p0_wr_underrun : out std_logic; c3_p0_wr_error : out std_logic; c3_p0_rd_clk : in std_logic; c3_p0_rd_en : in std_logic; c3_p0_rd_data : out std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0); c3_p0_rd_full : out std_logic; c3_p0_rd_empty : out std_logic; c3_p0_rd_count : out std_logic_vector(6 downto 0); c3_p0_rd_overflow : out std_logic; c3_p0_rd_error : out std_logic; c3_p2_cmd_clk : in std_logic; c3_p2_cmd_en : in std_logic; c3_p2_cmd_instr : in std_logic_vector(2 downto 0); c3_p2_cmd_bl : in std_logic_vector(5 downto 0); c3_p2_cmd_byte_addr : in std_logic_vector(29 downto 0); c3_p2_cmd_empty : out std_logic; c3_p2_cmd_full : out std_logic; c3_p2_rd_clk : in std_logic; c3_p2_rd_en : in std_logic; c3_p2_rd_data : out std_logic_vector(31 downto 0); c3_p2_rd_full : out std_logic; c3_p2_rd_empty : out std_logic; c3_p2_rd_count : out std_logic_vector(6 downto 0); c3_p2_rd_overflow : out std_logic; c3_p2_rd_error : out std_logic ); end component; type bstate_type is (idle, start, read1); constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_MIGDDR2, 0, 0, 0), 4 => ahb_membar(haddr, '1', '1', hmask), -- 5 => ahb_iobar(ioaddr, iomask), others => zero32); constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_MIGDDR2, 0, 0, 0), 1 => apb_iobar(paddr, pmask)); type reg_type is record bstate : bstate_type; cmd_bl : std_logic_vector(5 downto 0); wr_count : std_logic_vector(6 downto 0); rd_cnt : std_logic_vector(5 downto 0); hready : std_logic; hsel : std_logic; hwrite : std_logic; htrans : std_logic_vector(1 downto 0); hburst : std_logic_vector(2 downto 0); hsize : std_logic_vector(2 downto 0); hrdata : std_logic_vector(31 downto 0); haddr : std_logic_vector(31 downto 0); hmaster : std_logic_vector(3 downto 0); end record; type mcb_type is record cmd_en : std_logic; cmd_instr : std_logic_vector(2 downto 0); cmd_empty : std_logic; cmd_full : std_logic; cmd_bl : std_logic_vector(5 downto 0); cmd_byte_addr : std_logic_vector(29 downto 0); wr_full : std_logic; wr_empty : std_logic; wr_underrun : std_logic; wr_error : std_logic; wr_mask : std_logic_vector(3 downto 0); wr_en : std_logic; wr_data : std_logic_vector(31 downto 0); wr_count : std_logic_vector(6 downto 0); rd_data : std_logic_vector(31 downto 0); rd_full : std_logic; rd_empty : std_logic; rd_count : std_logic_vector(6 downto 0); rd_overflow : std_logic; rd_error : std_logic; rd_en : std_logic; end record; type reg2_type is record bstate : bstate_type; cmd_bl : std_logic_vector(5 downto 0); rd_cnt : std_logic_vector(5 downto 0); hready : std_logic; hsel : std_logic; hrdata : std_logic_vector(31 downto 0); haddr : std_logic_vector(31 downto 0); end record; type p2_if_type is record cmd_en : std_logic; cmd_instr : std_logic_vector(2 downto 0); cmd_bl : std_logic_vector(5 downto 0); cmd_empty : std_logic; cmd_full : std_logic; rd_en : std_logic; rd_data : std_logic_vector(31 downto 0); rd_full : std_logic; rd_empty : std_logic; rd_count : std_logic_vector(6 downto 0); rd_overflow : std_logic; rd_error : std_logic; end record; signal r, rin : reg_type; signal r2, r2in : reg2_type; signal i : mcb_type; signal p2 : p2_if_type; signal clk_amba_i : std_logic; signal rst_n_syn_i : std_logic; signal rst_syn : std_logic; signal calib_done_i : std_logic; begin clk_amba <= clk_amba_i; rst_n_syn <= rst_n_syn_i and calib_done_i; rst_n_syn_i <= not rst_syn; calib_done <= calib_done_i; comb: process( rst_n_syn_i, r, ahbsi, i ) variable v : reg_type; variable wmask : std_logic_vector(3 downto 0); variable wr_en : std_logic; variable cmd_en : std_logic; variable cmd_instr : std_logic_vector(2 downto 0); variable rd_en : std_logic; variable cmd_bl : std_logic_vector(5 downto 0); variable hwdata : std_logic_vector(31 downto 0); variable readdata : std_logic_vector(31 downto 0); begin v := r; wr_en := '0'; cmd_en := '0'; cmd_instr := "000"; rd_en := '0'; if (ahbsi.hready = '1') then if (ahbsi.hsel(hindex) and ahbsi.htrans(1)) = '1' then v.hsel := '1'; v.hburst := ahbsi.hburst; v.hwrite := ahbsi.hwrite; v.hsize := ahbsi.hsize; v.hmaster := ahbsi.hmaster; v.hready := '0'; if ahbsi.htrans(0) = '0' then v.haddr := ahbsi.haddr; end if; else v.hsel := '0'; v.hready := '1'; end if; v.htrans := ahbsi.htrans; end if; hwdata := ahbsi.hwdata(15 downto 0) & ahbsi.hwdata(31 downto 16); case r.hsize(1 downto 0) is when "00" => wmask := not decode(r.haddr(1 downto 0)); case r.haddr(1 downto 0) is when "00" => wmask := "1101"; when "01" => wmask := "1110"; when "10" => wmask := "0111"; when others => wmask := "1011"; end case; when "01" => wmask := not decode(r.haddr(1 downto 0)); wmask(3) := wmask(2); wmask(1) := wmask(0); when others => wmask := "0000"; end case; i.wr_mask <= wmask; cmd_bl := r.cmd_bl; case r.bstate is when idle => if v.hsel = '1' then v.bstate := start; v.hready := ahbsi.hwrite and not i.cmd_full and not i.wr_full; v.haddr := ahbsi.haddr; end if; v.cmd_bl := (others => '0'); when start => if r.hwrite = '1' then v.haddr := r.haddr; if r.hready = '1' then v.cmd_bl := r.cmd_bl + 1; v.hready := '1'; wr_en := '1'; if (ahbsi.htrans /= "11") then if v.hsel = '1' then if (ahbsi.hwrite = '0') or (i.wr_count >= "0000100") then v.hready := '0'; else v.hready := '1'; end if; else v.bstate := idle; end if; v.cmd_bl := (others => '0'); v.haddr := ahbsi.haddr; cmd_en := '1'; elsif (i.cmd_full = '1') then v.hready := '0'; elsif (i.wr_count >= "0101111") then v.hready := '0'; cmd_en := '1'; v.cmd_bl := (others => '0'); v.haddr := ahbsi.haddr; end if; else if (i.cmd_full = '0') and (i.wr_count <= "0001111") then v.hready := '1'; end if; end if; else if i.cmd_full = '0' then cmd_en := '1'; cmd_instr(0) := '1'; v.cmd_bl := "000" & not r.haddr(4 downto 2); cmd_bl := v.cmd_bl; v.bstate := read1; end if; end if; when read1 => v.hready := '0'; if (r.rd_cnt = "000000") then -- flush data from previous line if (i.rd_empty = '0') or ((r.hready = '1') and (ahbsi.htrans /= "11")) then v.hrdata(31 downto 0) := i.rd_data(15 downto 0) & i.rd_data(31 downto 16); v.hready := '1'; if (i.rd_empty = '0') then v.cmd_bl := r.cmd_bl - 1; rd_en := '1'; end if; if (r.cmd_bl = "000000") or (ahbsi.htrans /= "11") then if (ahbsi.hsel(hindex) = '1') and (ahbsi.htrans = "10") and (r.hready = '1') then v.bstate := start; v.hready := ahbsi.hwrite and not i.cmd_full and not i.wr_full; v.cmd_bl := (others => '0'); else v.bstate := idle; end if; if (i.rd_empty = '1') then v.rd_cnt := r.cmd_bl + 1; else v.rd_cnt := r.cmd_bl; end if; end if; end if; end if; when others => end case; readdata := (others => '0'); -- case apbi.paddr(5 downto 2) is -- when "0000" => readdata(nbits-1 downto 0) := r.din2; -- when "0001" => readdata(nbits-1 downto 0) := r.dout; -- when others => -- end case; readdata(20 downto 0) := i.rd_error & i.rd_overflow & i.wr_error & i.wr_underrun & i.cmd_full & i.rd_full & i.rd_empty & i.wr_full & i.wr_empty & r.rd_cnt & r.cmd_bl; if (r.rd_cnt /= "000000") and (i.rd_empty = '0') then rd_en := '1'; v.rd_cnt := r.rd_cnt - 1; end if; if rst_n_syn_i = '0' then v.rd_cnt := "000000"; v.bstate := idle; v.hready := '1'; end if; rin <= v; apbo.prdata <= readdata; i.rd_en <= rd_en; i.wr_en <= wr_en; i.cmd_bl <= cmd_bl; i.cmd_en <= cmd_en; i.cmd_instr <= cmd_instr; i.wr_data <= hwdata; end process; i.cmd_byte_addr <= r.haddr(29 downto 2) & "00"; ahbso.hready <= r.hready; ahbso.hresp <= "00"; --r.hresp; ahbso.hrdata <= r.hrdata; ahbso.hconfig <= hconfig; ahbso.hirq <= (others => '0'); ahbso.hindex <= hindex; ahbso.hsplit <= (others => '0'); apbo.pindex <= pindex; apbo.pconfig <= pconfig; apbo.pirq <= (others => '0'); regs : process(clk_amba_i) begin if rising_edge(clk_amba_i) then r <= rin; end if; end process; port2 : if vgamst /= 0 generate comb2: process( rst_n_syn_i, r2, ahbmo, p2 ) variable v2 : reg2_type; variable cmd_en : std_logic; variable rd_en : std_logic; begin v2 := r2; cmd_en := '0'; rd_en := '0'; case r2.bstate is when idle => if ahbmo.htrans(1) = '1' then v2.bstate := start; v2.hready := '0'; v2.haddr := ahbmo.haddr; else v2.hready := '1'; end if; v2.cmd_bl := (others => '0'); when start => if p2.cmd_full = '0' then cmd_en := '1'; v2.cmd_bl := conv_std_logic_vector(vgaburst-1, 6); v2.bstate := read1; end if; when read1 => v2.hready := '0'; if (r2.rd_cnt = "000000") then -- flush data from previous line if (p2.rd_empty = '0') or ((r2.hready = '1') and (ahbmo.htrans /= "11")) then v2.hrdata(31 downto 0) := p2.rd_data(15 downto 0) & p2.rd_data(31 downto 16); v2.hready := '1'; if (p2.rd_empty = '0') then v2.cmd_bl := r2.cmd_bl - 1; rd_en := '1'; end if; if (r2.cmd_bl = "000000") or (ahbmo.htrans /= "11") then if (ahbmo.htrans = "10") and (r2.hready = '1') then v2.bstate := start; v2.hready := '0'; v2.cmd_bl := (others => '0'); else v2.bstate := idle; end if; if (p2.rd_empty = '1') then v2.rd_cnt := r2.cmd_bl + 1; else v2.rd_cnt := r2.cmd_bl; end if; end if; end if; end if; when others => end case; if (r2.rd_cnt /= "000000") and (p2.rd_empty = '0') then rd_en := '1'; v2.rd_cnt := r2.rd_cnt - 1; end if; v2.haddr(1 downto 0) := "00"; if rst_n_syn_i = '0' then v2.rd_cnt := "000000"; v2.bstate := idle; v2.hready := '1'; end if; r2in <= v2; p2.rd_en <= rd_en; p2.cmd_bl <= v2.cmd_bl; p2.cmd_en <= cmd_en; p2.cmd_instr <= "001"; end process; ahbmi.hrdata <= r2.hrdata; ahbmi.hresp <= "00"; ahbmi.hgrant <= (others => '1'); ahbmi.hready <= r2.hready; ahbmi.testen <= '0'; ahbmi.testrst <= '0'; ahbmi.scanen <= '0'; ahbmi.testoen <= '0'; ahbmi.hirq <= (others => '0'); ahbmi.testin <= (others => '0'); regs : process(clk_amba_i) begin if rising_edge(clk_amba_i) then r2 <= r2in; end if; end process; end generate; noport2 : if vgamst = 0 generate p2.cmd_en <= '0'; p2.rd_en <= '0'; end generate; MCB_inst : mig_37 generic map( C3_P0_MASK_SIZE => 4, C3_P0_DATA_PORT_SIZE => 32, C3_P1_MASK_SIZE => 4, C3_P1_DATA_PORT_SIZE => 32, C3_MEMCLK_PERIOD => 4000, C3_RST_ACT_LOW => 1, -- C3_INPUT_CLK_TYPE => "DIFFERENTIAL", C3_CALIB_SOFT_IP => "TRUE", -- pragma translate_off C3_SIMULATION => "TRUE", -- pragma translate_on C3_MEM_ADDR_ORDER => "BANK_ROW_COLUMN", C3_NUM_DQ_PINS => 16, C3_MEM_ADDR_WIDTH => 13, C3_MEM_BANKADDR_WIDTH => 3, C3_CLKOUT5_DIVIDE => clkdiv -- C3_MC_CALIB_BYPASS => "YES" ) port map ( mcb3_dram_dq => mcb3_dram_dq, mcb3_dram_a => mcb3_dram_a, mcb3_dram_ba => mcb3_dram_ba, mcb3_dram_ras_n => mcb3_dram_ras_n, mcb3_dram_cas_n => mcb3_dram_cas_n, mcb3_dram_we_n => mcb3_dram_we_n, mcb3_dram_odt => mcb3_dram_odt, mcb3_dram_cke => mcb3_dram_cke, mcb3_dram_dm => mcb3_dram_dm, mcb3_dram_udqs => mcb3_dram_udqs, mcb3_dram_udqs_n => mcb3_dram_udqs_n, mcb3_rzq => mcb3_rzq, mcb3_zio => mcb3_zio, mcb3_dram_udm => mcb3_dram_udm, -- c3_sys_clk_p => clk_mem_p, -- c3_sys_clk_n => clk_mem_n, c3_sys_clk => clk_mem_p, c3_sys_rst_n => rst_n_async, c3_calib_done => calib_done_i, c3_clk0 => clk_amba_i, c3_rst0 => rst_syn, clk_125 => clk_125, clk_100 => clk_100, mcb3_dram_dqs => mcb3_dram_dqs, mcb3_dram_dqs_n => mcb3_dram_dqs_n, mcb3_dram_ck => mcb3_dram_ck, mcb3_dram_ck_n => mcb3_dram_ck_n, c3_p0_cmd_clk => clk_amba_i, c3_p0_cmd_en => i.cmd_en, c3_p0_cmd_instr => i.cmd_instr, c3_p0_cmd_bl => i.cmd_bl, c3_p0_cmd_byte_addr => i.cmd_byte_addr, c3_p0_cmd_empty => i.cmd_empty, c3_p0_cmd_full => i.cmd_full, c3_p0_wr_clk => clk_amba_i, c3_p0_wr_en => i.wr_en, c3_p0_wr_mask => i.wr_mask, c3_p0_wr_data => i.wr_data, c3_p0_wr_full => i.wr_full, c3_p0_wr_empty => i.wr_empty, c3_p0_wr_count => i.wr_count, c3_p0_wr_underrun => i.wr_underrun, c3_p0_wr_error => i.wr_error, c3_p0_rd_clk => clk_amba_i, c3_p0_rd_en => i.rd_en, c3_p0_rd_data => i.rd_data, c3_p0_rd_full => i.rd_full, c3_p0_rd_empty => i.rd_empty, c3_p0_rd_count => i.rd_count, c3_p0_rd_overflow => i.rd_overflow, c3_p0_rd_error => i.rd_error, c3_p2_cmd_clk => clk_amba_i, c3_p2_cmd_en => p2.cmd_en, c3_p2_cmd_instr => p2.cmd_instr, c3_p2_cmd_bl => p2.cmd_bl, c3_p2_cmd_byte_addr => r2.haddr(29 downto 0), c3_p2_cmd_empty => p2.cmd_empty, c3_p2_cmd_full => p2.cmd_full, c3_p2_rd_clk => clk_amba_i, c3_p2_rd_en => p2.rd_en, c3_p2_rd_data => p2.rd_data, c3_p2_rd_full => p2.rd_full, c3_p2_rd_empty => p2.rd_empty, c3_p2_rd_count => p2.rd_count, c3_p2_rd_overflow => p2.rd_overflow, c3_p2_rd_error => p2.rd_error ); end;
gpl-2.0
3fed9b566d76459cf7c5694b62d8bd0d
0.50193
3.033943
false
false
false
false
borti4938/sd2snes
verilog/sd2snes_sdd1/Probability_Estimator.vhd
2
10,565
---------------------------------------------------------------------------------- -- Company: Traducciones Magno -- Engineer: Magno -- -- Create Date: 22.03.2018 18:59:09 -- Design Name: -- Module Name: Probability_Estimator - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Probability_Estimator is Port( clk : in STD_LOGIC; -- control data DMA_In_Progress : in STD_LOGIC; Header_Valid : in STD_LOGIC; Header_Context : in STD_LOGIC_VECTOR(1 downto 0); -- run data from input manager Decoded_Bit_tready : out STD_LOGIC; Decoded_Bit_tuser : out STD_LOGIC_VECTOR(7 downto 0); Decoded_Bit_tvalid : in STD_LOGIC; Decoded_Bit_tdata : in STD_LOGIC; Decoded_Bit_tlast : in STD_LOGIC; -- estimated bit value BPP_Bit_tready : in STD_LOGIC; BPP_Bit_tuser : in STD_LOGIC_VECTOR(9 downto 0); BPP_Bit_tvalid : out STD_LOGIC; BPP_Bit_tdata : out STD_LOGIC); end Probability_Estimator; architecture Behavioral of Probability_Estimator is type TipoEstado is( WAIT_START, WAIT_END); signal estado : TipoEstado := WAIT_START; type RAM_Reg_Status is array(0 to 31) of integer range 0 to 32; signal RAM_STAT : RAM_Reg_Status := (others => 0); type RAM_Reg_MPS is array(0 to 31) of STD_LOGIC; signal RAM_MPS : RAM_Reg_MPS := (others => '0'); signal Context_Type : STD_LOGIC_VECTOR(1 downto 0) := "00"; signal Context : STD_LOGIC_VECTOR(4 downto 0) := "00000"; signal Context_tuser : STD_LOGIC_VECTOR(4 downto 0) := "00000"; signal Curr_MPS : STD_LOGIC := '0'; signal Next_MPS : STD_LOGIC := '0'; signal Curr_State : integer range 0 to 32 := 0; signal Next_State : integer range 0 to 32 := 0; signal Decoded_Bit_tready_i : STD_LOGIC := '0'; signal Decoded_Bit_tready_reg : STD_LOGIC := '0'; signal Decoded_Bit_tdata_reg : STD_LOGIC := '0'; signal Decoded_Bit_tlast_reg : STD_LOGIC := '0'; signal FSM_Reset : STD_LOGIC := '1'; begin -- capture header data and IM data Process( clk ) Begin if rising_edge( clk ) then if( Header_Valid = '1' ) then Context_Type <= Header_Context; end if; if( FSM_Reset = '1' ) then Decoded_Bit_tready_i <= '0'; Decoded_Bit_tdata_reg <= '0'; Decoded_Bit_tlast_reg <= '0'; Decoded_Bit_tready_reg <= '0'; else -- when context is registered, we ask a new bit to IM Decoded_Bit_tready_i <= BPP_Bit_tready; -- IM pre-fecthes each bit, so the data is available in the next cycle if( Decoded_Bit_tvalid = '1' ) then Decoded_Bit_tdata_reg <= Decoded_Bit_tdata; Decoded_Bit_tlast_reg <= Decoded_Bit_tlast; end if; Decoded_Bit_tready_reg <= Decoded_Bit_tvalid; end if; end if; End Process; -- output bit is ready 1 cycle after IM returns a Golomb decoded bit BPP_Bit_tvalid <= Decoded_Bit_tready_reg; -- decode previous bits into context depending on header config -- BPP_Bit_tuser(9) -> BPP0 / BPP1 -- BPP_Bit_tuser(8) -> upper-left pixel -- BPP_Bit_tuser(7) -> upper pixel -- BPP_Bit_tuser(6) -> upper-right pixel -- BPP_Bit_tuser(1) -> before-last decoded pixel -- BPP_Bit_tuser(0) -> last decoded pixel Process( BPP_Bit_tuser, Context_Type ) Begin case Context_Type is -- use previous, upper-left, upper and upper-rigtht pixels when "00" => Context_tuser <= BPP_Bit_tuser(9) & BPP_Bit_tuser(8) & BPP_Bit_tuser(7) & BPP_Bit_tuser(6) & BPP_Bit_tuser(0); -- use previous, upper-left and upper pixels when "01" => Context_tuser <= BPP_Bit_tuser(9) & '0' & BPP_Bit_tuser(8) & BPP_Bit_tuser(7) & BPP_Bit_tuser(0); -- use previous, upper-right and upper pixels when "10" => Context_tuser <= BPP_Bit_tuser(9) & '0' & BPP_Bit_tuser(7) & BPP_Bit_tuser(6) & BPP_Bit_tuser(0); -- use previous, before-previous, upper-left and upper pixels when others => Context_tuser <= BPP_Bit_tuser(9) & BPP_Bit_tuser(8) & BPP_Bit_tuser(7) & BPP_Bit_tuser(1) & BPP_Bit_tuser(0); end case; End Process; -- register "Context_tuser" decoded from "BPP_Bit_tuser" for internal use; "Context" is aligned to "Decoded_Bit_tready_i" Process( clk ) Begin if rising_edge( clk ) then if( FSM_Reset = '1' ) then Context <= "00000"; elsif( BPP_Bit_tready = '1' ) then Context <= Context_tuser; end if; end if; End Process; -- MPS is updated in state 0 or 1 Next_MPS <= NOT Curr_MPS when (Curr_State = 0 OR Curr_State = 1) AND Decoded_Bit_tdata_reg = '1' else Curr_MPS; -- RAM for storing Most-Probable-Symbol for each context when Golomb run ends Process( clk ) Begin if rising_edge( clk ) then if( FSM_Reset = '1' ) then RAM_MPS <= (others => '0'); elsif( Decoded_Bit_tready_reg = '1' AND Decoded_Bit_tlast_reg = '1' ) then RAM_MPS(conv_integer(Context)) <= Next_MPS; end if; end if; End Process; -- read from MPS RAM Curr_MPS <= RAM_MPS(conv_integer(Context)); -- next state in evolution table depending on current state and last bit in run Process( Curr_State, Decoded_Bit_tdata_reg ) Begin case Curr_State is when 0 => Next_State <= 25; when 1 => if( Decoded_Bit_tdata_reg = '0' ) then Next_State <= 2; else Next_State <= 1; end if; when 24 => if( Decoded_Bit_tdata_reg = '0' ) then Next_State <= 24; else Next_State <= 23; end if; when 25 => if( Decoded_Bit_tdata_reg = '0' ) then Next_State <= 26; else Next_State <= 1; end if; when 26 => if( Decoded_Bit_tdata_reg = '0' ) then Next_State <= 27; else Next_State <= 2; end if; when 27 => if( Decoded_Bit_tdata_reg = '0' ) then Next_State <= 28; else Next_State <= 4; end if; when 28 => if( Decoded_Bit_tdata_reg = '0' ) then Next_State <= 29; else Next_State <= 8; end if; when 29 => if( Decoded_Bit_tdata_reg = '0' ) then Next_State <= 30; else Next_State <= 12; end if; when 30 => if( Decoded_Bit_tdata_reg = '0' ) then Next_State <= 31; else Next_State <= 16; end if; when 31 => if( Decoded_Bit_tdata_reg = '0' ) then Next_State <= 32; else Next_State <= 18; end if; when 32 => if( Decoded_Bit_tdata_reg = '0' ) then Next_State <= 24; else Next_State <= 22; end if; when others => if( Decoded_Bit_tdata_reg = '0' ) then Next_State <= Curr_State+1; else Next_State <= Curr_State-1; end if; end case; End Process; -- RAM for storing next evolution state for each context when Golomb run ends Process( clk ) Begin if rising_edge( clk ) then if( FSM_Reset = '1' ) then RAM_STAT <= (others => 0); elsif( Decoded_Bit_tready_reg = '1' AND Decoded_Bit_tlast_reg = '1' ) then RAM_STAT(conv_integer(Context)) <= Next_State; end if; end if; End Process; -- output request to IM is done when context is registered from OM Decoded_Bit_tready <= Decoded_Bit_tready_i; Process( clk ) Begin if rising_edge( clk ) then -- read state from RAM; Curr_State is valid 1 cycle after OM asks for a new bit Curr_State <= RAM_STAT(conv_integer(Context_tuser)); -- get Colomb decoder order from precalculated current state case RAM_STAT(conv_integer(Context_tuser)) is when 5 => Decoded_Bit_tuser <= "00000010"; when 6 => Decoded_Bit_tuser <= "00000010"; when 7 => Decoded_Bit_tuser <= "00000010"; when 8 => Decoded_Bit_tuser <= "00000010"; when 9 => Decoded_Bit_tuser <= "00000100"; when 10 => Decoded_Bit_tuser <= "00000100"; when 11 => Decoded_Bit_tuser <= "00000100"; when 12 => Decoded_Bit_tuser <= "00000100"; when 13 => Decoded_Bit_tuser <= "00001000"; when 14 => Decoded_Bit_tuser <= "00001000"; when 15 => Decoded_Bit_tuser <= "00001000"; when 16 => Decoded_Bit_tuser <= "00001000"; when 17 => Decoded_Bit_tuser <= "00010000"; when 18 => Decoded_Bit_tuser <= "00010000"; when 19 => Decoded_Bit_tuser <= "00100000"; when 20 => Decoded_Bit_tuser <= "00100000"; when 21 => Decoded_Bit_tuser <= "01000000"; when 22 => Decoded_Bit_tuser <= "01000000"; when 23 => Decoded_Bit_tuser <= "10000000"; when 24 => Decoded_Bit_tuser <= "10000000"; when 26 => Decoded_Bit_tuser <= "00000010"; when 27 => Decoded_Bit_tuser <= "00000100"; when 28 => Decoded_Bit_tuser <= "00001000"; when 29 => Decoded_Bit_tuser <= "00010000"; when 30 => Decoded_Bit_tuser <= "00100000"; when 31 => Decoded_Bit_tuser <= "01000000"; when 32 => Decoded_Bit_tuser <= "10000000"; when others => Decoded_Bit_tuser <= "00000001"; end case; end if; End Process; -- output pixel is XOR from current MPS and decoded golomb bit BPP_Bit_tdata <= Decoded_Bit_tdata_reg XOR Curr_MPS; -- FSM for controlling input data into the FIFO and serialized data to -- Golomb decoders Process( clk ) Begin if rising_edge( clk ) then case estado is -- reset RAM register until there is a valid header when WAIT_START => if( Header_Valid = '1' ) then estado <= WAIT_END; end if; -- monitor serializer's bit pointer to ask for new data; if DMA transfer -- ends, go to reset state when WAIT_END => if( DMA_In_Progress = '0' ) then estado <= WAIT_START; end if; end case; end if; end Process; -- reset FIFO while decompression is stopped FSM_Reset <= '1' when estado = WAIT_START else '0'; end Behavioral;
gpl-2.0
7f36cafadda1e08565a58471f8af71e1
0.589304
2.947001
false
false
false
false
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_dma_v7_1/2a047f91/hdl/src/vhdl/axi_dma_s2mm_sg_if.vhd
2
79,697
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_s2mm_sg_if.vhd -- Description: This entity is the S2MM Scatter Gather Interface for Descriptor -- Fetches and Updates. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; library lib_cdc_v1_0; library lib_srl_fifo_v1_0; use lib_srl_fifo_v1_0.srl_fifo_f; ------------------------------------------------------------------------------- entity axi_dma_s2mm_sg_if is generic ( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Any one of the 4 clock inputs is not -- synchronous to the other ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1 ; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0 ; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1; -- Enable or Disable use of Status Stream Rx Length. Only valid -- if C_SG_INCLUDE_STSCNTRL_STRM = 1 -- 0 = Don't use Rx Length -- 1 = Use Rx Length C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14 ; -- Descriptor Buffer Length, Transferred Bytes, and Status Stream -- Rx Length Width. Indicates the least significant valid bits of -- descriptor buffer length, transferred bytes, or Rx Length value -- in the status word coincident with tlast. C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- AXI Master Stream in for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33 ; -- 1 IOC bit + 32 Update Status Bits C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Address Width for S2MM Write Port C_S_AXIS_S2MM_STS_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- Slave AXI Status Stream Data Width C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1 ; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_MICRO_DMA : integer range 0 to 1 := 0; C_FAMILY : string := "virtex5" -- Target FPGA Device Family ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- s2mm_desc_info_in : in std_logic_vector (13 downto 0) ; -- -- SG S2MM Descriptor Fetch AXI Stream In -- m_axis_s2mm_ftch_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_s2mm_ftch_tvalid : in std_logic ; -- m_axis_s2mm_ftch_tready : out std_logic ; -- m_axis_s2mm_ftch_tlast : in std_logic ; -- m_axis_s2mm_ftch_tdata_new : in std_logic_vector -- (96 downto 0); -- m_axis_s2mm_ftch_tdata_mcdma_new : in std_logic_vector -- (63 downto 0); -- m_axis_s2mm_ftch_tdata_mcdma_nxt : in std_logic_vector -- (31 downto 0); -- m_axis_s2mm_ftch_tvalid_new : in std_logic ; -- m_axis_ftch2_desc_available : in std_logic; -- -- -- SG S2MM Descriptor Update AXI Stream Out -- s_axis_s2mm_updtptr_tdata : out std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) ; -- s_axis_s2mm_updtptr_tvalid : out std_logic ; -- s_axis_s2mm_updtptr_tready : in std_logic ; -- s_axis_s2mm_updtptr_tlast : out std_logic ; -- -- s_axis_s2mm_updtsts_tdata : out std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) ; -- s_axis_s2mm_updtsts_tvalid : out std_logic ; -- s_axis_s2mm_updtsts_tready : in std_logic ; -- s_axis_s2mm_updtsts_tlast : out std_logic ; -- -- -- S2MM Descriptor Fetch Request (from s2mm_sm) -- desc_available : out std_logic ; -- desc_fetch_req : in std_logic ; -- updt_pending : out std_logic ; desc_fetch_done : out std_logic ; -- -- -- S2MM Descriptor Update Request (from s2mm_sm) -- desc_update_done : out std_logic ; -- s2mm_sts_received_clr : out std_logic ; -- s2mm_sts_received : in std_logic ; -- -- -- Scatter Gather Update Status -- s2mm_done : in std_logic ; -- s2mm_interr : in std_logic ; -- s2mm_slverr : in std_logic ; -- s2mm_decerr : in std_logic ; -- s2mm_tag : in std_logic_vector(3 downto 0) ; -- s2mm_brcvd : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- s2mm_eof_set : in std_logic ; -- s2mm_packet_eof : in std_logic ; -- s2mm_halt : in std_logic ; -- -- -- S2MM Status Stream Interface -- stsstrm_fifo_rden : out std_logic ; -- stsstrm_fifo_empty : in std_logic ; -- stsstrm_fifo_dout : in std_logic_vector -- (C_S_AXIS_S2MM_STS_TDATA_WIDTH downto 0); -- -- -- DataMover Command -- s2mm_cmnd_wr : in std_logic ; -- s2mm_cmnd_data : in std_logic_vector -- (((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_S2MM_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- S2MM Descriptor Field Output -- s2mm_new_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- s2mm_new_curdesc_wren : out std_logic ; -- -- s2mm_desc_info : out std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- s2mm_desc_baddress : out std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- s2mm_desc_blength : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- s2mm_desc_blength_v : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- s2mm_desc_blength_s : out std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- s2mm_desc_cmplt : out std_logic ; -- s2mm_eof_micro : out std_logic ; s2mm_sof_micro : out std_logic ; s2mm_desc_app0 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- s2mm_desc_app1 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- s2mm_desc_app2 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- s2mm_desc_app3 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- s2mm_desc_app4 : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) -- ); end axi_dma_s2mm_sg_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_s2mm_sg_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Status reserved bits constant RESERVED_STS : std_logic_vector(2 downto 0) := (others => '0'); -- Zero value constant constant ZERO_VALUE : std_logic_vector(31 downto 0) := (others => '0'); -- Zero length constant constant ZERO_LENGTH : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ftch_shftenbl : std_logic := '0'; -- fetch descriptor holding registers signal desc_reg12 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg11 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg10 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg9 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg8 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg7 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg6 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg5 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_curdesc_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_curdesc_lsb_nxt : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_curdesc_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_curdesc_msb_nxt : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_baddr_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_baddr_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_pending_update : std_logic := '0'; signal s2mm_new_curdesc_wren_i : std_logic := '0'; signal s2mm_ioc : std_logic := '0'; signal s2mm_pending_pntr_updt : std_logic := '0'; -- Descriptor Update Signals signal s2mm_complete : std_logic := '0'; signal s2mm_xferd_bytes : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_desc_blength_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_blength_v_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); signal s2mm_desc_blength_s_i : std_logic_vector(BUFFER_LENGTH_WIDTH - 1 downto 0) := (others => '0'); -- Signals for pointer support -- Make 1 bit wider to allow tagging of LAST for use in generating tlast signal updt_desc_reg0 : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH downto 0) := (others => '0'); signal updt_desc_reg1 : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH downto 0) := (others => '0'); signal updt_shftenbl : std_logic := '0'; signal updtptr_tvalid : std_logic := '0'; signal updtptr_tlast : std_logic := '0'; signal updtptr_tdata : std_logic_vector(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) := (others => '0'); -- Signals for Status Stream Support signal updt_desc_sts : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_desc_reg3 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg3 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg4 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg5 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg6 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal updt_zero_reg7 : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0'); signal writing_app_fields : std_logic := '0'; signal stsstrm_fifo_rden_i : std_logic := '0'; signal sts_shftenbl : std_logic := '0'; signal sts_received : std_logic := '0'; signal sts_received_d1 : std_logic := '0'; signal sts_received_re : std_logic := '0'; -- Queued Update signals signal updt_data_clr : std_logic := '0'; signal updt_sts_clr : std_logic := '0'; signal updt_data : std_logic := '0'; signal updt_sts : std_logic := '0'; signal ioc_tag : std_logic := '0'; signal s2mm_sof_set : std_logic := '0'; signal s2mm_in_progress : std_logic := '0'; signal eof_received : std_logic := '0'; signal sof_received : std_logic := '0'; signal updtsts_tvalid : std_logic := '0'; signal updtsts_tlast : std_logic := '0'; signal updtsts_tdata : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_halt_d1_cdc_tig : std_logic := '0'; signal s2mm_halt_cdc_d2 : std_logic := '0'; signal s2mm_halt_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF s2mm_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s2mm_halt_cdc_d2 : SIGNAL IS "true"; signal desc_fetch_done_i : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Drive buffer length out s2mm_desc_blength <= s2mm_desc_blength_i; s2mm_desc_blength_v <= s2mm_desc_blength_v_i; s2mm_desc_blength_s <= s2mm_desc_blength_s_i; updt_pending <= s2mm_pending_update; -- Drive ready if descriptor fetch request is being made m_axis_s2mm_ftch_tready <= desc_fetch_req -- Request descriptor fetch and not s2mm_pending_update; -- No pending pointer updates desc_fetch_done <= desc_fetch_done_i; -- Shift in data from SG engine if tvalid and fetch request ftch_shftenbl <= m_axis_s2mm_ftch_tvalid_new and desc_fetch_req and not s2mm_pending_update; -- Passed curdes write out to register module s2mm_new_curdesc_wren <= s2mm_new_curdesc_wren_i; -- tvalid asserted means descriptor availble desc_available <= m_axis_ftch2_desc_available; --m_axis_s2mm_ftch_tvalid_new; --***************************************************************************-- --** Register DataMover Halt to secondary if needed --***************************************************************************-- GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Double register to secondary clock domain. This is sufficient -- because halt will remain asserted until halt_cmplt detected in -- reset module in secondary clock domain. REG_TO_SECONDARY : entity lib_cdc_v1_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s2mm_halt, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s2mm_halt_cdc_d2, scndry_vect_out => open ); -- REG_TO_SECONDARY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- if(m_axi_sg_aresetn = '0')then -- -- s2mm_halt_d1_cdc_tig <= '0'; -- -- s2mm_halt_d2 <= '0'; -- -- else -- s2mm_halt_d1_cdc_tig <= s2mm_halt; -- s2mm_halt_cdc_d2 <= s2mm_halt_d1_cdc_tig; -- -- end if; -- end if; -- end process REG_TO_SECONDARY; s2mm_halt_d2 <= s2mm_halt_cdc_d2; end generate GEN_FOR_ASYNC; GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- No clock crossing required therefore simple pass through s2mm_halt_d2 <= s2mm_halt; end generate GEN_FOR_SYNC; --***************************************************************************-- --** Descriptor Fetch Logic **-- --***************************************************************************-- s2mm_desc_curdesc_lsb <= desc_reg0; s2mm_desc_curdesc_msb <= (others => '0'); --desc_reg1; --s2mm_desc_curdesc_lsb_nxt <= desc_reg2; --s2mm_desc_curdesc_msb_nxt <= desc_reg3; s2mm_desc_baddr_lsb <= desc_reg4; s2mm_desc_baddr_msb <= (others => '0'); --desc_reg5; GEN_NO_MCDMA : if C_ENABLE_MULTI_CHANNEL = 0 generate desc_fetch_done_i <= m_axis_s2mm_ftch_tvalid_new; desc_reg0 <= m_axis_s2mm_ftch_tdata_new (96 downto 65); desc_reg4 <= m_axis_s2mm_ftch_tdata_new (31 downto 0); desc_reg8 <= m_axis_s2mm_ftch_tdata_new (63 downto 32); desc_reg9( DESC_STS_CMPLTD_BIT) <= m_axis_s2mm_ftch_tdata_new (64); desc_reg9(30 downto 0) <= (others => '0'); s2mm_desc_curdesc_lsb_nxt <= desc_reg0; s2mm_desc_curdesc_msb_nxt <= (others => '0'); --desc_reg1; s2mm_desc_info <= (others => '0'); -- desc 4 and desc 5 are reserved and thus don't care s2mm_sof_micro <= desc_reg8 (DESC_SOF_BIT); s2mm_eof_micro <= desc_reg8 (DESC_EOF_BIT); s2mm_desc_blength_i <= desc_reg8(DESC_BLENGTH_MSB_BIT downto DESC_BLENGTH_LSB_BIT); s2mm_desc_blength_v_i <= (others => '0'); s2mm_desc_blength_s_i <= (others => '0') ; end generate GEN_NO_MCDMA; GEN_MCDMA : if C_ENABLE_MULTI_CHANNEL = 1 generate desc_fetch_done_i <= m_axis_s2mm_ftch_tvalid_new; --ftch_shftenbl; desc_reg0 <= m_axis_s2mm_ftch_tdata_new (96 downto 65); --127 downto 96); desc_reg4 <= m_axis_s2mm_ftch_tdata_new (31 downto 0); desc_reg8 <= m_axis_s2mm_ftch_tdata_new (63 downto 32); desc_reg9(DESC_STS_CMPLTD_BIT) <= m_axis_s2mm_ftch_tdata_new (64); --95 downto 64); desc_reg9(30 downto 0) <= (others => '0'); desc_reg2 <= m_axis_s2mm_ftch_tdata_mcdma_nxt (31 downto 0); desc_reg6 <= m_axis_s2mm_ftch_tdata_mcdma_new (31 downto 0); desc_reg7 <= m_axis_s2mm_ftch_tdata_mcdma_new (63 downto 32); s2mm_desc_curdesc_lsb_nxt <= desc_reg2; s2mm_desc_curdesc_msb_nxt <= desc_reg3; s2mm_desc_info <= desc_reg6 (31 downto 24) & desc_reg9 (23 downto 0); -- desc 4 and desc 5 are reserved and thus don't care s2mm_desc_blength_i <= "0000000" & desc_reg8(15 downto 0); s2mm_desc_blength_v_i <= "0000000000" & desc_reg7(31 downto 19); s2mm_desc_blength_s_i <= "0000000" & desc_reg7(15 downto 0); end generate GEN_MCDMA; s2mm_desc_cmplt <= desc_reg9(DESC_STS_CMPLTD_BIT); s2mm_desc_app0 <= (others => '0'); s2mm_desc_app1 <= (others => '0'); s2mm_desc_app2 <= (others => '0'); s2mm_desc_app3 <= (others => '0'); s2mm_desc_app4 <= (others => '0'); ------------------------------------------------------------------------------- -- BUFFER ADDRESS ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_BUFADDR : if C_M_AXI_S2MM_ADDR_WIDTH = 64 generate s2mm_desc_baddress <= s2mm_desc_baddr_msb & s2mm_desc_baddr_lsb; end generate GEN_NEW_64BIT_BUFADDR; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_BUFADDR : if C_M_AXI_S2MM_ADDR_WIDTH = 32 generate s2mm_desc_baddress <= s2mm_desc_baddr_lsb; end generate GEN_NEW_32BIT_BUFADDR; ------------------------------------------------------------------------------- -- NEW CURRENT DESCRIPTOR ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate s2mm_new_curdesc <= s2mm_desc_curdesc_msb_nxt & s2mm_desc_curdesc_lsb_nxt; end generate GEN_NEW_64BIT_CURDESC; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate s2mm_new_curdesc <= s2mm_desc_curdesc_lsb_nxt; end generate GEN_NEW_32BIT_CURDESC; s2mm_new_curdesc_wren_i <= desc_fetch_done_i; --ftch_shftenbl; --***************************************************************************-- --** Descriptor Update Logic **-- --***************************************************************************-- -- SOF Flagging logic for when descriptor queues are enabled in SG Engine GEN_SOF_QUEUE_MODE : if C_SG_INCLUDE_DESC_QUEUE = 1 generate -- SOF Queued one count value constant ONE_COUNT : std_logic_vector(2 downto 0) := "001"; signal incr_sof_count : std_logic := '0'; signal decr_sof_count : std_logic := '0'; signal sof_count : std_logic_vector(2 downto 0) := (others => '0'); signal sof_received_set : std_logic := '0'; signal sof_received_clr : std_logic := '0'; signal cmd_wr_mask : std_logic := '0'; begin -- Keep track of number of commands queued up in data mover to -- allow proper setting of SOF's and EOF's when associated -- descriptor is updated. REG_SOF_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sof_count <= (others => '0'); elsif(incr_sof_count = '1')then sof_count <= std_logic_vector(unsigned(sof_count(2 downto 0)) + 1); elsif(decr_sof_count = '1')then sof_count <= std_logic_vector(unsigned(sof_count(2 downto 0)) - 1); end if; end if; end process REG_SOF_COUNT; -- Increment count on each command write that does NOT occur -- coincident with a status received incr_sof_count <= s2mm_cmnd_wr and not sts_received_re; -- Decrement count on each status received that does NOT -- occur coincident with a command write decr_sof_count <= sts_received_re and not s2mm_cmnd_wr; -- Drive sof and eof setting to interrupt module for delay interrupt --s2mm_packet_sof <= s2mm_sof_set; REG_SOF_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sof_received <= '0'; elsif(sof_received_set = '1')then sof_received <= '1'; elsif(sof_received_clr = '1')then sof_received <= '0'; end if; end if; end process REG_SOF_STATUS; -- SOF Received -- Case 1 (i.e. already running): EOF received therefore next has to be SOF -- Case 2 (i.e. initial command): No commands in queue (count=0) therefore this must be an SOF command sof_received_set <= '1' when (sts_received_re = '1' -- Status back from Datamover and eof_received = '1') -- End of packet received -- OR... or (s2mm_cmnd_wr = '1' -- Command written to datamover and cmd_wr_mask = '0' -- Not inner-packet command and sof_count = ZERO_VALUE(2 downto 0)) -- No Queued SOF cmnds else '0'; -- Done with SOF's -- Status received and EOF received flag not set -- Or status received and EOF received flag set and last SOF sof_received_clr <= '1' when (sts_received_re = '1' and eof_received = '0') or (sts_received_re = '1' and eof_received = '1' and sof_count = ONE_COUNT) else '0'; -- Mask command writes if inner-packet command written. An inner packet -- command is one where status if received and eof_received is not asserted. -- This mask is only used for when a cmd_wr occurs and sof_count is zero, meaning -- no commands happen to be queued in datamover. WR_MASK : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then cmd_wr_mask <= '0'; -- received data mover status, mask if EOF not set -- clear mask if EOF set. elsif(sts_received_re = '1')then cmd_wr_mask <= not eof_received; end if; end if; end process WR_MASK; end generate GEN_SOF_QUEUE_MODE; -- SOF Flagging logic for when descriptor queues are disabled in SG Engine GEN_SOF_NO_QUEUE_MODE : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin ----------------------------------------------------------------------- -- Assert window around receive packet in order to properly set -- SOF and EOF bits in descriptor -- -- SOF for S2MM determined by new command write to datamover, i.e. -- command write receive packet not already in progress. ----------------------------------------------------------------------- RX_IN_PROG_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or s2mm_packet_eof = '1')then s2mm_in_progress <= '0'; s2mm_sof_set <= '0'; elsif(s2mm_in_progress = '0' and s2mm_cmnd_wr = '1')then s2mm_in_progress <= '1'; s2mm_sof_set <= '1'; else s2mm_in_progress <= s2mm_in_progress; s2mm_sof_set <= '0'; end if; end if; end process RX_IN_PROG_PROCESS; -- Drive sof and eof setting to interrupt module for delay interrupt --s2mm_packet_sof <= s2mm_sof_set; REG_SOF_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then sof_received <= '0'; elsif(s2mm_sof_set = '1')then sof_received <= '1'; end if; end if; end process REG_SOF_STATUS; end generate GEN_SOF_NO_QUEUE_MODE; -- IOC and EOF bits in desc update both set via packet eof flag from -- command/status interface. eof_received <= s2mm_packet_eof; s2mm_ioc <= s2mm_packet_eof; --***************************************************************************-- --** Descriptor Update Logic **-- --***************************************************************************-- --***************************************************************************** --** Pointer Update Logic --***************************************************************************** ----------------------------------------------------------------------- -- Capture LSB cur descriptor on write for use on descriptor update. -- This will be the address the descriptor is updated to ----------------------------------------------------------------------- UPDT_DESC_WRD0: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg0 <= (others => '0'); elsif(s2mm_new_curdesc_wren_i = '1')then updt_desc_reg0 <= DESC_LAST & s2mm_desc_curdesc_lsb; end if; end if; end process UPDT_DESC_WRD0; --------------------------------------------------------------------------- -- Capture MSB cur descriptor on write for use on descriptor update. -- This will be the address the descriptor is updated to --------------------------------------------------------------------------- UPDT_DESC_WRD1: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_reg1 <= (others => '0'); elsif(s2mm_new_curdesc_wren_i = '1')then updt_desc_reg1 <= DESC_LAST & s2mm_desc_curdesc_msb; end if; end if; end process UPDT_DESC_WRD1; -- Shift in pointer to SG engine if tvalid, tready, and not on last word updt_shftenbl <= updt_data and updtptr_tvalid and s_axis_s2mm_updtptr_tready; -- Update data done when updating data and tlast received and target -- (i.e. SG Engine) is ready updt_data_clr <= '1' when updtptr_tvalid = '1' and updtptr_tlast = '1' and s_axis_s2mm_updtptr_tready = '1' else '0'; --------------------------------------------------------------------------- -- When desc data ready for update set and hold flag until -- data can be updated to queue. Note it may -- be held off due to update of status --------------------------------------------------------------------------- UPDT_DATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_data_clr = '1')then updt_data <= '0'; -- clear flag when data update complete -- elsif(updt_data_clr = '1')then -- updt_data <= '0'; -- -- set flag when desc fetched as indicated -- -- by curdesc wren elsif(s2mm_new_curdesc_wren_i = '1')then updt_data <= '1'; end if; end if; end process UPDT_DATA_PROCESS; updtptr_tvalid <= updt_data; updtptr_tlast <= updt_desc_reg0(C_S_AXIS_UPDPTR_TDATA_WIDTH); updtptr_tdata <= updt_desc_reg0(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- Pass out to sg engine s_axis_s2mm_updtptr_tdata <= updtptr_tdata; s_axis_s2mm_updtptr_tlast <= updtptr_tlast and updtptr_tvalid; s_axis_s2mm_updtptr_tvalid <= updtptr_tvalid; --***************************************************************************** --** Status Update Logic - DESCRIPTOR QUEUES INCLUDED ** --***************************************************************************** GEN_DESC_UPDT_QUEUE : if C_SG_INCLUDE_DESC_QUEUE = 1 generate signal xb_fifo_reset : std_logic := '0'; signal xb_fifo_full : std_logic := '0'; begin s2mm_complete <= '1'; -- Fixed at '1' ----------------------------------------------------------------------- -- Need to flag a pending point update to prevent subsequent fetch of -- descriptor from stepping on the stored pointer, and buffer length ----------------------------------------------------------------------- REG_PENDING_UPDT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_data_clr = '1')then s2mm_pending_pntr_updt <= '0'; elsif(s2mm_new_curdesc_wren_i = '1')then s2mm_pending_pntr_updt <= '1'; end if; end if; end process REG_PENDING_UPDT; -- Pending update on pointer not updated yet or xfer'ed bytes fifo full s2mm_pending_update <= s2mm_pending_pntr_updt or xb_fifo_full; -- Clear status received flag in cmdsts_if to -- allow more status to be received from datamover s2mm_sts_received_clr <= updt_sts_clr; -- Generate a rising edge off status received in order to -- flag status update REG_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_received_d1 <= '0'; else sts_received_d1 <= s2mm_sts_received; end if; end if; end process REG_STATUS; -- CR 566306 Status invalid during halt -- sts_received_re <= s2mm_sts_received and not sts_received_d1; sts_received_re <= s2mm_sts_received and not sts_received_d1 and not s2mm_halt_d2; --------------------------------------------------------------------------- -- When status received set and hold flag until -- status can be updated to queue. Note it may -- be held off due to update of data --------------------------------------------------------------------------- UPDT_STS_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_sts_clr = '1')then updt_sts <= '0'; -- clear flag when status update done or -- datamover halted -- elsif(updt_sts_clr = '1')then -- updt_sts <= '0'; -- set flag when status received elsif(sts_received_re = '1')then updt_sts <= '1'; end if; end if; end process UPDT_STS_PROCESS; updt_sts_clr <= '1' when updt_sts = '1' and updtsts_tvalid = '1' and updtsts_tlast = '1' and s_axis_s2mm_updtsts_tready = '1' else '0'; -- for queue case used to keep track of number of datamover queued cmnds UPDT_DONE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_update_done <= '0'; else desc_update_done <= updt_sts_clr; end if; end if; end process UPDT_DONE_PROCESS; --***********************************************************************-- --** Descriptor Update Logic - DESCRIPTOR QUEUES - NO STS APP **-- --***********************************************************************-- --------------------------------------------------------------------------- -- Generate Descriptor Update Signaling for NO Status App Stream --------------------------------------------------------------------------- GEN_DESC_UPDT_NO_STSAPP : if C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin stsstrm_fifo_rden <= '0'; -- Not used in the NO sts stream configuration xb_fifo_full <= '0'; -- Not used for indeterminate BTT mode -- Transferred byte length from status is equal to bytes transferred field -- in descriptor status GEN_EQ_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH = 23 generate begin s2mm_xferd_bytes <= s2mm_brcvd; end generate GEN_EQ_23BIT_BYTE_XFERED; -- Transferred byte length from status is less than bytes transferred field -- in descriptor status therefore need to pad value. GEN_LESSTHN_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin s2mm_xferd_bytes <= PAD_VALUE & s2mm_brcvd; end generate GEN_LESSTHN_23BIT_BYTE_XFERED; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_sts <= (others => '0'); elsif(sts_received_re = '1')then updt_desc_sts <= DESC_LAST & s2mm_ioc & s2mm_complete & s2mm_decerr & s2mm_slverr & s2mm_interr & sof_received -- If asserted also set SOF & eof_received -- If asserted also set EOF & RESERVED_STS & s2mm_xferd_bytes; end if; end if; end process UPDT_DESC_STATUS; -- Drive TVALID updtsts_tvalid <= updt_sts; -- Drive TLast updtsts_tlast <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH); -- Drive TData GEN_DESC_UPDT_MCDMA : if C_ENABLE_MULTI_CHANNEL = 1 generate updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 20) & s2mm_desc_info_in (13 downto 10) & "000" & s2mm_desc_info_in (9 downto 5) & "000" & s2mm_desc_info_in (4 downto 0); end generate GEN_DESC_UPDT_MCDMA; GEN_DESC_UPDT_DMA : if C_ENABLE_MULTI_CHANNEL = 0 generate updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); end generate GEN_DESC_UPDT_DMA; end generate GEN_DESC_UPDT_NO_STSAPP; --***********************************************************************-- --** Descriptor Update Logic - DESCRIPTOR QUEUES - STS APP **-- --***********************************************************************-- --------------------------------------------------------------------------- -- Generate Descriptor Update Signaling for Status App Stream --------------------------------------------------------------------------- GEN_DESC_UPDT_STSAPP : if C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- Get rx length is identical to command written, therefor store -- the BTT value from the command written to be used as the xferd bytes. GEN_USING_STSAPP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 1 generate begin ----------------------------------------------------------------------- -- On S2MM transferred bytes equals buffer length. Capture length -- on curdesc write. ----------------------------------------------------------------------- XFERRED_BYTE_FIFO : entity lib_srl_fifo_v1_0.srl_fifo_f generic map( C_DWIDTH => BUFFER_LENGTH_WIDTH , C_DEPTH => 16 , C_FAMILY => C_FAMILY ) port map( Clk => m_axi_sg_aclk , Reset => xb_fifo_reset , FIFO_Write => s2mm_cmnd_wr , Data_In => s2mm_cmnd_data(BUFFER_LENGTH_WIDTH-1 downto 0) , FIFO_Read => sts_received_re , Data_Out => s2mm_xferd_bytes , FIFO_Empty => open , FIFO_Full => xb_fifo_full , Addr => open ); xb_fifo_reset <= not m_axi_sg_aresetn; end generate GEN_USING_STSAPP_LENGTH; -- Not using status app length field therefore primary S2MM DataMover is -- configured as a store and forward channel (i.e. indeterminate BTT mode) -- Receive length will be reported in datamover status. GEN_NOT_USING_STSAPP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 0 generate begin xb_fifo_full <= '0'; -- Not used in Indeterminate BTT mode -- Transferred byte length from status is equal to bytes transferred field -- in descriptor status GEN_EQ_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH = 23 generate begin s2mm_xferd_bytes <= s2mm_brcvd; end generate GEN_EQ_23BIT_BYTE_XFERED; -- Transferred byte length from status is less than bytes transferred field -- in descriptor status therefore need to pad value. GEN_LESSTHN_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin s2mm_xferd_bytes <= PAD_VALUE & s2mm_brcvd; end generate GEN_LESSTHN_23BIT_BYTE_XFERED; end generate GEN_NOT_USING_STSAPP_LENGTH; ----------------------------------------------------------------------- -- For EOF Descriptor then need to update APP fields from Status -- Stream FIFO ----------------------------------------------------------------------- WRITE_APP_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then writing_app_fields <= '0'; -- If writing app fields and reach LAST then stop writing -- app fields elsif(writing_app_fields = '1' -- Writing app fields and stsstrm_fifo_dout (C_S_AXIS_S2MM_STS_TDATA_WIDTH) = '1' -- Last app word (tlast=1) and stsstrm_fifo_rden_i = '1')then -- Fifo read writing_app_fields <= '0'; -- ON EOF Descriptor, then need to write application fields on desc -- update elsif(s2mm_packet_eof = '1' and s2mm_xferd_bytes /= ZERO_LENGTH) then writing_app_fields <= '1'; end if; end if; end process WRITE_APP_PROCESS; -- Shift in apps to SG engine if tvalid, tready, and not on last word sts_shftenbl <= updt_sts and updtsts_tvalid and s_axis_s2mm_updtsts_tready; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_sts <= (others => '0'); elsif(sts_received_re = '1')then updt_desc_sts <= DESC_NOT_LAST & s2mm_ioc & s2mm_complete & s2mm_decerr & s2mm_slverr & s2mm_interr & sof_received -- If asserted also set SOF & eof_received -- If asserted also set EOF & RESERVED_STS & s2mm_xferd_bytes; elsif(sts_shftenbl='1')then updt_desc_sts <= updt_desc_reg3; end if; end if; end process UPDT_DESC_STATUS; ----------------------------------------------------------------------- -- If EOF Descriptor (writing_app_fields=1) then pass data from -- status stream FIFO into descriptor update shift registers -- Else pass zeros ----------------------------------------------------------------------- UPDT_REG3_MUX : process(writing_app_fields, stsstrm_fifo_dout, updt_zero_reg3, sts_shftenbl) begin if(writing_app_fields = '1')then updt_desc_reg3 <= stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH) -- Update LAST setting & '0' & stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0); -- Update Word stsstrm_fifo_rden_i <= sts_shftenbl; else updt_desc_reg3 <= updt_zero_reg3; stsstrm_fifo_rden_i <= '0'; end if; end process UPDT_REG3_MUX; stsstrm_fifo_rden <= stsstrm_fifo_rden_i; ----------------------------------------------------------------------- -- APP 0 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD3 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg3 <= DESC_NOT_LAST -- Not last word of stream & '0' -- Don't set IOC & ZERO_VALUE; -- Remainder is zero -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg3 <= updt_zero_reg4; end if; end if; end process UPDT_ZERO_WRD3; ----------------------------------------------------------------------- -- APP 1 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD4 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg4 <= DESC_NOT_LAST -- Not last word of stream & '0' -- Don't set IOC & ZERO_VALUE; -- Remainder is zero -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg4 <= updt_zero_reg5; end if; end if; end process UPDT_ZERO_WRD4; ----------------------------------------------------------------------- -- APP 2 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD5 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg5 <= DESC_NOT_LAST -- Not last word of stream & '0' -- Don't set IOC & ZERO_VALUE; -- Remainder is zero -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg5 <= updt_zero_reg6; end if; end if; end process UPDT_ZERO_WRD5; ----------------------------------------------------------------------- -- APP 3 and APP 4 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD6 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg6 <= DESC_NOT_LAST -- Not last word of stream & '0' -- Don't set IOC & ZERO_VALUE; -- Remainder is zero -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg6 <= DESC_LAST -- Last word of stream & s2mm_ioc & ZERO_VALUE; -- Remainder is zero end if; end if; end process UPDT_ZERO_WRD6; ----------------------------------------------------------------------- -- Drive TVALID -- If writing app then base on stsstrm fifo empty flag -- If writing datamover status then base simply assert on updt_sts ----------------------------------------------------------------------- TVALID_MUX : process(writing_app_fields,updt_sts,stsstrm_fifo_empty) begin if(updt_sts = '1' and writing_app_fields = '1')then updtsts_tvalid <= not stsstrm_fifo_empty; else updtsts_tvalid <= updt_sts; end if; end process TVALID_MUX; -- Drive TLAST updtsts_tlast <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH); -- Drive TDATA updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); end generate GEN_DESC_UPDT_STSAPP; -- Pass out to sg engine s_axis_s2mm_updtsts_tdata <= updtsts_tdata; s_axis_s2mm_updtsts_tvalid <= updtsts_tvalid; s_axis_s2mm_updtsts_tlast <= updtsts_tlast and updtsts_tvalid; end generate GEN_DESC_UPDT_QUEUE; --***************************************************************************-- --** Status Update Logic - NO DESCRIPTOR QUEUES **-- --***************************************************************************-- GEN_DESC_UPDT_NO_QUEUE : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin s2mm_sts_received_clr <= '1'; -- Not needed for the No Queue configuration s2mm_complete <= '1'; -- Fixed at '1' for the No Queue configuration s2mm_pending_update <= '0'; -- Not needed for the No Queue configuration -- Status received based on a DONE or an ERROR from DataMover sts_received <= s2mm_done or s2mm_interr or s2mm_decerr or s2mm_slverr; -- Generate a rising edge off done for use in triggering an -- update to the SG engine REG_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_received_d1 <= '0'; else sts_received_d1 <= sts_received; end if; end if; end process REG_STATUS; -- CR 566306 Status invalid during halt -- sts_received_re <= sts_received and not sts_received_d1; sts_received_re <= sts_received and not sts_received_d1 and not s2mm_halt_d2; --------------------------------------------------------------------------- -- When status received set and hold flag until -- status can be updated to queue. Note it may -- be held off due to update of data --------------------------------------------------------------------------- UPDT_STS_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_sts <= '0'; -- clear flag when status update done elsif(updt_sts_clr = '1')then updt_sts <= '0'; -- set flag when status received elsif(sts_received_re = '1')then updt_sts <= '1'; end if; end if; end process UPDT_STS_PROCESS; -- Clear status update on acceptance of tlast by sg engine updt_sts_clr <= '1' when updt_sts = '1' and updtsts_tvalid = '1' and updtsts_tlast = '1' and s_axis_s2mm_updtsts_tready = '1' else '0'; -- for queue case used to keep track of number of datamover queued cmnds UPDT_DONE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_update_done <= '0'; else desc_update_done <= updt_sts_clr; end if; end if; end process UPDT_DONE_PROCESS; --***********************************************************************-- --** Descriptor Update Logic - NO DESCRIPTOR QUEUES - NO STS APP **-- --***********************************************************************-- --------------------------------------------------------------------------- -- Generate Descriptor Update Signaling for NO Status App Stream --------------------------------------------------------------------------- GEN_DESC_UPDT_NO_STSAPP : if C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin stsstrm_fifo_rden <= '0'; -- Not used in the NO sts stream configuration GEN_NO_MICRO_DMA : if C_MICRO_DMA = 0 generate begin -- Transferred byte length from status is equal to bytes transferred field -- in descriptor status GEN_EQ_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH = 23 generate begin s2mm_xferd_bytes <= s2mm_brcvd; end generate GEN_EQ_23BIT_BYTE_XFERED; -- Transferred byte length from status is less than bytes transferred field -- in descriptor status therefore need to pad value. GEN_LESSTHN_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin s2mm_xferd_bytes <= PAD_VALUE & s2mm_brcvd; end generate GEN_LESSTHN_23BIT_BYTE_XFERED; end generate GEN_NO_MICRO_DMA; GEN_MICRO_DMA : if C_MICRO_DMA = 1 generate begin s2mm_xferd_bytes <= (others => '0'); end generate GEN_MICRO_DMA; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_WRD2 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_sts <= (others => '0'); -- Register Status on status received rising edge elsif(sts_received_re = '1')then updt_desc_sts <= DESC_LAST & s2mm_ioc & s2mm_complete & s2mm_decerr & s2mm_slverr & s2mm_interr & sof_received -- If asserted also set SOF & eof_received -- If asserted also set EOF & RESERVED_STS & s2mm_xferd_bytes; end if; end if; end process UPDT_DESC_WRD2; GEN_DESC_UPDT_MCDMA_NOQUEUE : if C_ENABLE_MULTI_CHANNEL = 1 generate updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 20) & s2mm_desc_info_in (13 downto 10) & "000" & s2mm_desc_info_in (9 downto 5) & "000" & s2mm_desc_info_in (4 downto 0); end generate GEN_DESC_UPDT_MCDMA_NOQUEUE; GEN_DESC_UPDT_DMA_NOQUEUE : if C_ENABLE_MULTI_CHANNEL = 0 generate updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); end generate GEN_DESC_UPDT_DMA_NOQUEUE; -- Drive TVALID updtsts_tvalid <= updt_sts; -- Drive TLAST updtsts_tlast <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH); -- Drive TData -- updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH - 1 downto 0); end generate GEN_DESC_UPDT_NO_STSAPP; --***********************************************************************-- --** Descriptor Update Logic - NO DESCRIPTOR QUEUES - STS APP **-- --***********************************************************************-- --------------------------------------------------------------------------- -- Generate Descriptor Update Signaling for NO Status App Stream --------------------------------------------------------------------------- GEN_DESC_UPDT_STSAPP : if C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- Rx length is identical to command written, therefore store -- the BTT value from the command written to be used as the xferd bytes. GEN_USING_STSAPP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 1 generate begin ----------------------------------------------------------------------- -- On S2MM transferred bytes equals buffer length. Capture length -- on curdesc write. ----------------------------------------------------------------------- REG_XFERRED_BYTES : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_xferd_bytes <= (others => '0'); elsif(s2mm_cmnd_wr = '1')then s2mm_xferd_bytes <= s2mm_cmnd_data(BUFFER_LENGTH_WIDTH-1 downto 0); end if; end if; end process REG_XFERRED_BYTES; end generate GEN_USING_STSAPP_LENGTH; -- Configured as a store and forward channel (i.e. indeterminate BTT mode) -- Receive length will be reported in datamover status. GEN_NOT_USING_STSAPP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 0 generate begin -- Transferred byte length from status is equal to bytes transferred field -- in descriptor status GEN_EQ_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH = 23 generate begin s2mm_xferd_bytes <= s2mm_brcvd; end generate GEN_EQ_23BIT_BYTE_XFERED; -- Transferred byte length from status is less than bytes transferred field -- in descriptor status therefore need to pad value. GEN_LESSTHN_23BIT_BYTE_XFERED : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin s2mm_xferd_bytes <= PAD_VALUE & s2mm_brcvd; end generate GEN_LESSTHN_23BIT_BYTE_XFERED; end generate GEN_NOT_USING_STSAPP_LENGTH; ----------------------------------------------------------------------- -- For EOF Descriptor then need to update APP fields from Status -- Stream FIFO ----------------------------------------------------------------------- WRITE_APP_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then writing_app_fields <= '0'; -- If writing app fields and reach LAST then stop writing -- app fields elsif(writing_app_fields = '1' -- Writing app fields and stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH) = '1' -- Last app word (tlast=1) and stsstrm_fifo_rden_i = '1')then -- Fifo read writing_app_fields <= '0'; -- ON EOF Descriptor, then need to write application fields on desc -- update elsif(eof_received = '1' and s2mm_xferd_bytes /= ZERO_LENGTH) then writing_app_fields <= '1'; end if; end if; end process WRITE_APP_PROCESS; -- Shift in apps to SG engine if tvalid, tready, and not on last word sts_shftenbl <= updt_sts and updtsts_tvalid and s_axis_s2mm_updtsts_tready; ----------------------------------------------------------------------- -- Catpure Status. Status is built from status word from DataMover -- and from transferred bytes value. ----------------------------------------------------------------------- UPDT_DESC_WRD2 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_desc_sts <= (others => '0'); -- Status from Prmry Datamover received elsif(sts_received_re = '1')then updt_desc_sts <= DESC_NOT_LAST & s2mm_ioc & s2mm_complete & s2mm_decerr & s2mm_slverr & s2mm_interr & sof_received -- If asserted also set SOF & eof_received -- If asserted also set EOF & RESERVED_STS & s2mm_xferd_bytes; -- Shift on descriptor update elsif(sts_shftenbl = '1')then updt_desc_sts <= updt_desc_reg3; end if; end if; end process UPDT_DESC_WRD2; ----------------------------------------------------------------------- -- If EOF Descriptor (writing_app_fields=1) then pass data from -- status stream FIFO into descriptor update shift registers -- Else pass zeros ----------------------------------------------------------------------- UPDT_REG3_MUX : process(writing_app_fields, stsstrm_fifo_dout, updt_zero_reg3, sts_shftenbl) begin if(writing_app_fields = '1')then updt_desc_reg3 <= stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH) -- Update LAST setting & '0' & stsstrm_fifo_dout(C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0); -- Update Word stsstrm_fifo_rden_i <= sts_shftenbl; else updt_desc_reg3 <= updt_zero_reg3; stsstrm_fifo_rden_i <= '0'; end if; end process UPDT_REG3_MUX; stsstrm_fifo_rden <= stsstrm_fifo_rden_i; ----------------------------------------------------------------------- -- APP 0 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD3 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg3 <= (others => '0'); -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg3 <= updt_zero_reg4; end if; end if; end process UPDT_ZERO_WRD3; ----------------------------------------------------------------------- -- APP 1 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD4 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg4 <= (others => '0'); -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg4 <= updt_zero_reg5; end if; end if; end process UPDT_ZERO_WRD4; ----------------------------------------------------------------------- -- APP 2 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD5 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg5 <= (others => '0'); -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg5 <= updt_zero_reg6; end if; end if; end process UPDT_ZERO_WRD5; ----------------------------------------------------------------------- -- APP 3 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD6 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or sts_received_re = '1')then updt_zero_reg6 <= (others => '0'); -- Shift data out on shift enable elsif(sts_shftenbl = '1')then updt_zero_reg6 <= updt_zero_reg7; end if; end if; end process UPDT_ZERO_WRD6; ----------------------------------------------------------------------- -- APP 4 Register (Set to Zero for Non-EOF Descriptor) ----------------------------------------------------------------------- UPDT_ZERO_WRD7 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_zero_reg7 <= (others => '0'); elsif(sts_received_re = '1')then updt_zero_reg7 <= DESC_LAST & '0' & ZERO_VALUE; end if; end if; end process UPDT_ZERO_WRD7; ----------------------------------------------------------------------- -- Drive TVALID -- If writing app then base on stsstrm fifo empty flag -- If writing datamover status then base simply assert on updt_sts ----------------------------------------------------------------------- TVALID_MUX : process(writing_app_fields,updt_sts,stsstrm_fifo_empty) begin if(updt_sts = '1' and writing_app_fields = '1')then updtsts_tvalid <= not stsstrm_fifo_empty; else updtsts_tvalid <= updt_sts; end if; end process TVALID_MUX; -- Drive TDATA updtsts_tdata <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- DRIVE TLAST updtsts_tlast <= updt_desc_sts(C_S_AXIS_UPDSTS_TDATA_WIDTH); end generate GEN_DESC_UPDT_STSAPP; -- Pass out to sg engine s_axis_s2mm_updtsts_tdata <= updtsts_tdata; s_axis_s2mm_updtsts_tvalid <= updtsts_tvalid; s_axis_s2mm_updtsts_tlast <= updtsts_tlast and updtsts_tvalid; end generate GEN_DESC_UPDT_NO_QUEUE; end implementation;
gpl-3.0
0a412859e70ba9c806f59709d8a778ba
0.432952
4.526181
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/misc/grgprbank.vhd
1
3,664
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: grgprbank -- File: grgprbank.vhd -- Author: Magnus Hjorth - Aeroflex Gaisler -- Description: General purpose register bank ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library grlib; use grlib.amba.all; use grlib.devices.all; use grlib.stdlib.all; entity grgprbank is generic ( pindex: integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; regbits: integer range 1 to 32 := 32; nregs : integer range 1 to 32 := 1; rstval: integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; rego : out std_logic_vector(nregs*regbits-1 downto 0) ); end; architecture rtl of grgprbank is constant nregsp2: integer := 2**log2(nregs); subtype regtype is std_logic_vector(regbits-1 downto 0); type regbank is array(nregsp2-1 downto 0) of regtype; type grgprbank_regs is record regs: regbank; end record; signal r,nr: grgprbank_regs; constant pconfig: apb_config_type := ( 0 => ahb_device_reg(VENDOR_GAISLER, GAISLER_GPREGBANK, 0, 0, 0), 1 => apb_iobar(paddr, pmask)); begin comb: process(r,rst,apbi) variable v: grgprbank_regs; variable o: apb_slv_out_type; begin -- Init vars v := r; o := apb_none; o.pindex := pindex; o.pconfig := pconfig; -- APB Interface if nregs > 1 then o.prdata(regbits-1 downto 0) := r.regs(to_integer(unsigned(apbi.paddr(1+log2(nregs) downto 2)))); if apbi.penable='1' and apbi.psel(pindex)='1' and apbi.pwrite='1' then v.regs(to_integer(unsigned(apbi.paddr(1+log2(nregs) downto 2)))) := apbi.pwdata(regbits-1 downto 0); end if; else o.prdata(regbits-1 downto 0) := r.regs(0); if apbi.penable='1' and apbi.psel(pindex)='1' and apbi.pwrite='1' then v.regs(0) := apbi.pwdata(regbits-1 downto 0); end if; end if; -- Reset if rst='0' then v.regs := (others => std_logic_vector(to_unsigned(rstval,regbits))); end if; -- clear unused part of reg bank so it can be pruned if nregs < nregsp2 then for x in nregsp2-1 downto nregs loop v.regs(x) := (others => '0'); end loop; end if; -- Drive outputs nr <= v; apbo <= o; for x in nregs-1 downto 0 loop rego(x*regbits+regbits-1 downto x*regbits) <= r.regs(x); end loop; end process; regs: process(clk) begin if rising_edge(clk) then r <= nr; end if; end process; end;
gpl-2.0
16887db11adce3b7915136ef95f936d5
0.603439
3.609852
false
false
false
false
mistryalok/Zedboard
learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/count_module.vhd
7
9,603
------------------------------------------------------------------------------- -- count_module - entity/architecture pair ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2003, 2004, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename: count_module.vhd -- Version: v2.0 -- Description: Module with one counter and load register -- ------------------------------------------------------------------------------- -- Structure: -- -- count_module.vhd -- -- counter_f.vhd ------------------------------------------------------------------------------- -- ^^^^^^ -- Author: BSB -- History: -- BSB 03/18/2010 -- Ceated the version v1.00.a -- ^^^^^^ -- ^^^^^^ -- Author: BSB -- History: -- BSB 09/18/2010 -- Ceated the version v1.01.a -- -- axi lite ipif v1.01.a used -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics ------------------------------------------------------------------------------- -- C_FAMILY -- Default family -- C_COUNT_WIDTH -- Width of the counter ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- Clk -- clock -- Reset -- reset -- Load_DBus -- Count Load bus -- Load_Counter_Reg -- Counter load control -- Load_Load_Reg -- Load register control -- Write_Load_Reg -- Write Control of TLR reg -- CaptGen_Mux_Sel -- Mux select for capture and generate data -- Counter_En -- Counter enable -- Count_Down -- Count down -- BE -- Byte enable -- LoadReg_DBus -- Load reg bus -- CounterReg_DBus -- Counter reg bus -- Counter_TC -- counter Carry out signal ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.FDRE; library axi_timer_v2_0; ------------------------------------------------------------------------------- -- Entity declarations ------------------------------------------------------------------------------- entity count_module is generic ( C_FAMILY : string := "virtex5"; C_COUNT_WIDTH : integer := 32 ); port ( Clk : in std_logic; Reset : in std_logic; Load_DBus : in std_logic_vector(0 to C_COUNT_WIDTH-1); Load_Counter_Reg : in std_logic; Load_Load_Reg : in std_logic; Write_Load_Reg : in std_logic; CaptGen_Mux_Sel : in std_logic; Counter_En : in std_logic; Count_Down : in std_logic; BE : in std_Logic_vector(0 to 3); LoadReg_DBus : out std_logic_vector(0 to C_COUNT_WIDTH-1); CounterReg_DBus : out std_logic_vector(0 to C_COUNT_WIDTH-1); Counter_TC : out std_logic ); end entity count_module; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture imp of count_module is -- Pragma Added to supress synth warnings attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; --Signal Declaration signal iCounterReg_DBus : std_logic_vector(0 to C_COUNT_WIDTH-1); signal loadRegIn : std_logic_vector(0 to C_COUNT_WIDTH-1); signal load_Reg : std_logic_vector(0 to C_COUNT_WIDTH-1); signal load_load_reg_be : std_logic_vector(0 to C_COUNT_WIDTH-1); signal carry_out : std_logic; ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- Architecture imp ------------------------------------------------------------------------------- --CAPTGEN_MUX_PROCESS : Process to implement mux the Load_DBus and --iCounterReg_DBus ------------------------------------------------------------------------------- CAPTGEN_MUX_PROCESS: process (CaptGen_Mux_Sel,Load_DBus,iCounterReg_DBus ) is begin if CaptGen_Mux_Sel='1' then loadRegIn <= Load_DBus; else loadRegIn <= iCounterReg_DBus; end if; end process CAPTGEN_MUX_PROCESS; ------------------------------------------------------------------------------- --LOAD_REG_GEN: To generate load register ------------------------------------------------------------------------------- LOAD_REG_GEN: for i in 0 to C_COUNT_WIDTH-1 generate load_load_reg_be(i) <= Load_Load_Reg or (Write_Load_Reg and BE((i-C_COUNT_WIDTH+32)/8)); LOAD_REG_I: component FDRE port map ( Q => load_Reg(i), -- [out] C => Clk, -- [in] CE => load_load_reg_be(i), -- [in] D => loadRegIn(i), -- [in] R => Reset -- [in] ); end generate LOAD_REG_GEN; ------------------------------------------------------------------------------- --counter_f module is instantiated ------------------------------------------------------------------------------- COUNTER_I: entity axi_timer_v2_0.counter_f generic map ( C_NUM_BITS => C_COUNT_WIDTH, -- [integer] C_FAMILY => C_FAMILY -- [string] ) port map( Clk => Clk, -- [in std_logic] Rst => Reset, -- [in std_logic] Load_In => load_Reg, -- [in std_logic_vector] Count_Enable => Counter_En, -- [in std_logic] Count_Load => Load_Counter_Reg, -- [in std_logic] Count_Down => Count_Down, -- [in std_logic] Count_Out => iCounterReg_DBus, -- [out std_logic_vector] Carry_Out => carry_out -- [out std_logic] ); Counter_TC <= carry_out; LoadReg_DBus <= load_Reg; CounterReg_DBus <= iCounterReg_DBus; end architecture imp;
gpl-3.0
6c9a41dd107a022c441f160799e6a72e
0.451005
4.967926
false
false
false
false
Yuriu5/MiniBlaze
src/hw1/generic_hdl_fifo.vhd
1
5,660
-- ********************************************************************************** -- Project : MiniBlaze -- Author : Benjamin Lemoine -- Module : generic_hdl_fifo.vhd -- Date : 07/25/2016 -- -- Description : -- -- -------------------------------------------------------------------------------- -- Modifications -- -------------------------------------------------------------------------------- -- Date : Ver. : Author : Modification comments -- -------------------------------------------------------------------------------- -- : : : -- 07/25/2016 : 1.0 : B.Lemoine : First draft -- : : : -- ********************************************************************************** -- MIT License -- -- Copyright (c) 07/25/2016, Benjamin Lemoine -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in all -- copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. -- ********************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity generic_hdl_fifo is generic ( G_DEPTH_LOG2 : integer := 4; -- Depth is equal to 2^(G_DEPTH_LOG2) G_WIDTH : integer := 8 ); port ( clk : in std_logic; rst_n : in std_logic; -- Data data_wr : in std_logic_vector(G_WIDTH-1 downto 0); wr_en : in std_logic; rd_en : in std_logic; data_rd : out std_logic_vector(G_WIDTH-1 downto 0); rd_valid : out std_logic; -- Status nb_data : out std_logic_vector(G_DEPTH_LOG2 downto 0); empty : out std_logic; full : out std_logic ); end generic_hdl_fifo; architecture rtl of generic_hdl_fifo is signal c_one_loop : unsigned(G_DEPTH_LOG2 downto 0) ;--:= (G_DEPTH_LOG2 => '1', others => '0'); type ram_type is array (2**G_DEPTH_LOG2-1 downto 0) of std_logic_vector (G_WIDTH-1 downto 0); signal RAM : ram_type := (others => (others => '0')); signal cur_ptr_wr : unsigned(G_DEPTH_LOG2 downto 0) := (others => '0'); signal cur_ptr_wr_lsb : unsigned(G_DEPTH_LOG2-1 downto 0) := (others => '0'); signal s_full : std_logic := '0'; signal cur_ptr_rd : unsigned(G_DEPTH_LOG2 downto 0) := (others => '0'); signal cur_ptr_rd_lsb : unsigned(G_DEPTH_LOG2-1 downto 0) := (others => '0'); signal s_empty : std_logic := '0'; signal r_data_rd : std_logic_vector(G_WIDTH-1 downto 0) := (others => '0'); signal r_rd_valid : std_logic := '0'; signal s_nb_data : unsigned(G_DEPTH_LOG2 downto 0) := (others => '0'); begin c_one_loop(G_DEPTH_LOG2) <= '1'; c_one_loop(G_DEPTH_LOG2-1 downto 0) <= (others => '0'); cur_ptr_wr_lsb <= cur_ptr_wr(G_DEPTH_LOG2-1 downto 0); cur_ptr_rd_lsb <= cur_ptr_rd(G_DEPTH_LOG2-1 downto 0); -- Write process p_write : process(clk) begin if rising_edge(clk) then if rst_n = '0' then cur_ptr_wr <= (others => '0'); else if wr_en = '1' and s_full = '0' then cur_ptr_wr <= cur_ptr_wr + 1; RAM(to_integer(cur_ptr_wr_lsb)) <= data_wr; end if; end if; end if; end process; -- Read process p_read : process(clk) begin if rising_edge(clk) then if rst_n = '0' then r_rd_valid <= '0'; cur_ptr_rd <= (others => '0'); r_data_rd <= (others => '0'); else r_rd_valid <= '0'; if rd_en = '1' and s_empty = '0' then cur_ptr_rd <= cur_ptr_rd + 1; r_data_rd <= RAM(to_integer(cur_ptr_rd_lsb)); r_rd_valid <= '1'; end if; end if; end if; end process; -- Status s_full <= '1' when cur_ptr_wr = cur_ptr_rd + c_one_loop else '1' when rst_n = '0' else '0'; s_empty <= '1' when cur_ptr_wr = cur_ptr_rd else '0'; s_nb_data <= resize(cur_ptr_wr,G_DEPTH_LOG2+1)-resize(cur_ptr_rd,G_DEPTH_LOG2+1); -- Mapping output full <= s_full; empty <= s_empty; nb_data <= std_logic_vector(s_nb_data); data_rd <= r_data_rd; rd_valid <= r_rd_valid; end rtl;
mit
4d527d8688b8b158073ecf10e9709f1a
0.478445
3.651613
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/uart/apbuart.vhd
1
20,759
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: uart -- File: uart.vhd -- Authors: Jiri Gaisler - Gaisler Research -- Marko Isomaki - Gaisler Research -- Description: Asynchronous UART. Implements 8-bit data frame with one stop-bit. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; --use ieee.numeric_std.all; library grlib; use grlib.config_types.all; use grlib.config.all; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library gaisler; use gaisler.uart.all; --pragma translate_off use std.textio.all; --pragma translate_on entity apbuart is generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; console : integer := 0; pirq : integer := 0; parity : integer := 1; flow : integer := 1; fifosize : integer range 1 to 32 := 1; abits : integer := 8; sbits : integer range 12 to 32 := 12); port ( rst : in std_ulogic; clk : in std_ulogic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; uarti : in uart_in_type; uarto : out uart_out_type); end; architecture rtl of apbuart is constant REVISION : integer := 1; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_APBUART, 0, REVISION, pirq), 1 => apb_iobar(paddr, pmask)); type rxfsmtype is (idle, startbit, data, cparity, stopbit); type txfsmtype is (idle, data, cparity, stopbit); type fifo is array (0 to fifosize - 1) of std_logic_vector(7 downto 0); type uartregs is record rxen : std_ulogic; -- receiver enabled txen : std_ulogic; -- transmitter enabled rirqen : std_ulogic; -- receiver irq enable tirqen : std_ulogic; -- transmitter irq enable parsel : std_ulogic; -- parity select paren : std_ulogic; -- parity select flow : std_ulogic; -- flow control enable loopb : std_ulogic; -- loop back mode enable debug : std_ulogic; -- debug mode enable rsempty : std_ulogic; -- receiver shift register empty (internal) tsempty : std_ulogic; -- transmitter shift register empty tsemptyirqen : std_ulogic; -- generate irq when tx shift register is empty break : std_ulogic; -- break detected breakirqen : std_ulogic; -- generate irq when break has been received ovf : std_ulogic; -- receiver overflow parerr : std_ulogic; -- parity error frame : std_ulogic; -- framing error ctsn : std_logic_vector(1 downto 0); -- clear to send rtsn : std_ulogic; -- request to send extclken : std_ulogic; -- use external baud rate clock extclk : std_ulogic; -- rising edge detect register rhold : fifo; rshift : std_logic_vector(7 downto 0); tshift : std_logic_vector(10 downto 0); thold : fifo; irq : std_ulogic; -- tx/rx interrupt (internal) irqpend : std_ulogic; -- pending irq for delayed rx irq delayirqen : std_ulogic; -- enable delayed rx irq tpar : std_ulogic; -- tx data parity (internal) txstate : txfsmtype; txclk : std_logic_vector(2 downto 0); -- tx clock divider txtick : std_ulogic; -- tx clock (internal) rxstate : rxfsmtype; rxclk : std_logic_vector(2 downto 0); -- rx clock divider rxdb : std_logic_vector(1 downto 0); -- rx delay dpar : std_ulogic; -- rx data parity (internal) rxtick : std_ulogic; -- rx clock (internal) tick : std_ulogic; -- rx clock (internal) scaler : std_logic_vector(sbits-1 downto 0); brate : std_logic_vector(sbits-1 downto 0); rxf : std_logic_vector(4 downto 0); -- rx data filtering buffer txd : std_ulogic; -- transmitter data rfifoirqen : std_ulogic; -- receiver fifo interrupt enable tfifoirqen : std_ulogic; -- transmitter fifo interrupt enable irqcnt : std_logic_vector(5 downto 0); -- delay counter for rx irq --fifo counters rwaddr : std_logic_vector(log2x(fifosize) - 1 downto 0); rraddr : std_logic_vector(log2x(fifosize) - 1 downto 0); traddr : std_logic_vector(log2x(fifosize) - 1 downto 0); twaddr : std_logic_vector(log2x(fifosize) - 1 downto 0); rcnt : std_logic_vector(log2x(fifosize) downto 0); tcnt : std_logic_vector(log2x(fifosize) downto 0); end record; constant rcntzero : std_logic_vector(log2x(fifosize) downto 0) := (others => '0'); constant addrzero : std_logic_vector(log2x(fifosize)-1 downto 0) := (others => '0'); constant sbitszero : std_logic_vector(sbits-1 downto 0) := (others => '0'); constant fifozero : fifo := (others => (others => '0')); constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; constant RES : uartregs := (rxen => '0', txen => '0', rirqen => '0', tirqen => '0', parsel => '0', paren => '0', flow => '0', loopb => '0', debug => '0', rsempty => '1', tsempty => '1', tsemptyirqen => '0', break => '0', breakirqen => '0', ovf => '0', parerr => '0', frame => '0', ctsn => (others => '0'), rtsn => '1', extclken => '0', extclk => '0', rhold => fifozero, rshift => (others => '0'), tshift => (others => '1'), thold => fifozero, irq => '0', irqpend => '0', delayirqen => '0', tpar => '0', txstate => idle, txclk => (others => '0'), txtick => '0', rxstate => idle, rxclk => (others => '0'), rxdb => (others => '0'), dpar => '0',rxtick => '0', tick => '0', scaler => sbitszero, brate => sbitszero, rxf => (others => '0'), txd => '0', rfifoirqen => '0', tfifoirqen => '0', irqcnt => (others => '0'), rwaddr => addrzero, rraddr => addrzero, traddr => addrzero, twaddr => addrzero, rcnt => rcntzero, tcnt => rcntzero); signal r, rin : uartregs; begin uartop : process(rst, r, apbi, uarti ) variable rdata : std_logic_vector(31 downto 0); variable scaler : std_logic_vector(sbits-1 downto 0); variable rxclk, txclk : std_logic_vector(2 downto 0); variable rxd, ctsn : std_ulogic; variable irq : std_logic_vector(NAHBIRQ-1 downto 0); variable paddress : std_logic_vector(7 downto 2); variable v : uartregs; variable thalffull : std_ulogic; variable rhalffull : std_ulogic; variable rfull : std_ulogic; variable tfull : std_ulogic; variable dready : std_ulogic; variable thempty : std_ulogic; --pragma translate_off variable L1 : line; variable CH : character; variable FIRST : boolean := true; variable pt : time := 0 ns; --pragma translate_on begin v := r; irq := (others => '0'); irq(pirq) := r.irq; v.irq := '0'; v.txtick := '0'; v.rxtick := '0'; v.tick := '0'; rdata := (others => '0'); v.rxdb(1) := r.rxdb(0); dready := '0'; thempty := '1'; thalffull := '1'; rhalffull := '0'; v.ctsn := r.ctsn(0) & uarti.ctsn; paddress := (others => '0'); paddress(abits-1 downto 2) := apbi.paddr(abits-1 downto 2); if fifosize = 1 then dready := r.rcnt(0); rfull := dready; tfull := r.tcnt(0); thempty := not tfull; else tfull := r.tcnt(log2x(fifosize)); rfull := r.rcnt(log2x(fifosize)); if (r.rcnt(log2x(fifosize)) or r.rcnt(log2x(fifosize) - 1)) = '1' then rhalffull := '1'; end if; if ((r.tcnt(log2x(fifosize)) or r.tcnt(log2x(fifosize) - 1))) = '1' then thalffull := '0'; end if; if r.rcnt /= rcntzero then dready := '1'; end if; if r.tcnt /= rcntzero then thempty := '0'; end if; end if; -- scaler scaler := r.scaler - 1; if (r.rxen or r.txen) = '1' then v.scaler := scaler; v.tick := scaler(sbits-1) and not r.scaler(sbits-1); if v.tick = '1' then v.scaler := r.brate; end if; end if; -- optional external uart clock v.extclk := uarti.extclk; if r.extclken = '1' then v.tick := r.extclk and not uarti.extclk; end if; -- read/write registers if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then case paddress(7 downto 2) is when "000000" => rdata(7 downto 0) := r.rhold(conv_integer(r.rraddr)); if fifosize = 1 then v.rcnt(0) := '0'; else if r.rcnt /= rcntzero then v.rraddr := r.rraddr + 1; v.rcnt := r.rcnt - 1; end if; end if; when "000001" => if fifosize /= 1 then rdata (26 + log2x(fifosize) downto 26) := r.rcnt; rdata (20 + log2x(fifosize) downto 20) := r.tcnt; rdata (10 downto 7) := rfull & tfull & rhalffull & thalffull; end if; rdata(6 downto 0) := r.frame & r.parerr & r.ovf & r.break & thempty & r.tsempty & dready; --pragma translate_off if CONSOLE = 1 then rdata(2 downto 1) := "11"; end if; --pragma translate_on when "000010" => if fifosize > 1 then rdata(31) := '1'; end if; rdata(14) := r.tsemptyirqen; rdata(13) := r.delayirqen; rdata(12) := r.breakirqen; rdata(11) := r.debug; if fifosize /= 1 then rdata(10 downto 9) := r.rfifoirqen & r.tfifoirqen; end if; rdata(8 downto 0) := r.extclken & r.loopb & r.flow & r.paren & r.parsel & r.tirqen & r.rirqen & r.txen & r.rxen; when "000011" => rdata(sbits-1 downto 0) := r.brate; when "000100" => -- Read TX FIFO. if r.debug = '1' and r.tcnt /= rcntzero then rdata(7 downto 0) := r.thold(conv_integer(r.traddr)); if fifosize = 1 then v.tcnt(0) := '0'; else v.traddr := r.traddr + 1; v.tcnt := r.tcnt - 1; end if; end if; when others => null; end case; end if; if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case paddress(7 downto 2) is when "000000" => when "000001" => v.frame := apbi.pwdata(6); v.parerr := apbi.pwdata(5); v.ovf := apbi.pwdata(4); v.break := apbi.pwdata(3); when "000010" => v.tsemptyirqen := apbi.pwdata(14); v.delayirqen := apbi.pwdata(13); v.breakirqen := apbi.pwdata(12); v.debug := apbi.pwdata(11); if fifosize /= 1 then v.rfifoirqen := apbi.pwdata(10); v.tfifoirqen := apbi.pwdata(9); end if; v.extclken := apbi.pwdata(8); v.loopb := apbi.pwdata(7); v.flow := apbi.pwdata(6); v.paren := apbi.pwdata(5); v.parsel := apbi.pwdata(4); v.tirqen := apbi.pwdata(3); v.rirqen := apbi.pwdata(2); v.txen := apbi.pwdata(1); v.rxen := apbi.pwdata(0); when "000011" => v.brate := apbi.pwdata(sbits-1 downto 0); v.scaler := apbi.pwdata(sbits-1 downto 0); when "000100" => -- Write RX fifo and generate irq if flow /= 0 then v.rhold(conv_integer(r.rwaddr)) := apbi.pwdata(7 downto 0); if fifosize = 1 then v.rcnt(0) := '1'; else v.rwaddr := r.rwaddr + 1; v.rcnt := v.rcnt + 1; end if; if r.debug = '1' then v.irq := v.irq or r.rirqen; end if; end if; when others => null; end case; end if; -- tx clock txclk := r.txclk + 1; if r.tick = '1' then v.txclk := txclk; v.txtick := r.txclk(2) and not txclk(2); end if; -- rx clock rxclk := r.rxclk + 1; if r.tick = '1' then v.rxclk := rxclk; v.rxtick := r.rxclk(2) and not rxclk(2); end if; if (r.rxtick and r.delayirqen) = '1' then v.irqcnt := v.irqcnt + 1; end if; if r.irqcnt(5 downto 4) = "11" then v.irq := v.irq or (r.delayirqen and r.irqpend); -- make sure no tx irqs are lost ! v.irqpend := '0'; end if; -- filter rx data -- v.rxf := r.rxf(6 downto 0) & uarti.rxd; -- if ((r.rxf(7) & r.rxf(7) & r.rxf(7) & r.rxf(7) & r.rxf(7) & r.rxf(7) & -- r.rxf(7)) = r.rxf(6 downto 0)) -- then v.rxdb(0) := r.rxf(7); end if; v.rxf(1 downto 0) := r.rxf(0) & uarti.rxd; -- meta-stability filter if r.tick = '1' then v.rxf(4 downto 2) := r.rxf(3 downto 1); end if; v.rxdb(0) := (r.rxf(4) and r.rxf(3)) or (r.rxf(4) and r.rxf(2)) or (r.rxf(3) and r.rxf(2)); -- loop-back mode if r.loopb = '1' then v.rxdb(0) := r.tshift(0); ctsn := dready and not r.rsempty; elsif (flow = 1) then ctsn := r.ctsn(1); else ctsn := '0'; end if; rxd := r.rxdb(0); -- transmitter operation case r.txstate is when idle => -- idle state if (r.txtick = '1') then v.tsempty := '1'; end if; if ((not r.debug and r.txen and (not thempty) and r.txtick) and ((not ctsn) or not r.flow)) = '1' then v.txstate := data; v.tpar := r.parsel; v.tsempty := '0'; v.txclk := "00" & r.tick; v.txtick := '0'; v.tshift := "10" & r.thold(conv_integer(r.traddr)) & '0'; if fifosize = 1 then v.irq := r.irq or r.tirqen; v.tcnt(0) := '0'; else v.traddr := r.traddr + 1; v.tcnt := r.tcnt - 1; end if; end if; when data => -- transmit data frame if r.txtick = '1' then v.tpar := r.tpar xor r.tshift(1); v.tshift := '1' & r.tshift(10 downto 1); if r.tshift(10 downto 1) = "1111111110" then if r.paren = '1' then v.tshift(0) := r.tpar; v.txstate := cparity; else v.tshift(0) := '1'; v.txstate := stopbit; end if; end if; end if; when cparity => -- transmit parity bit if r.txtick = '1' then v.tshift := '1' & r.tshift(10 downto 1); v.txstate := stopbit; end if; when stopbit => -- transmit stop bit if r.txtick = '1' then v.tshift := '1' & r.tshift(10 downto 1); v.txstate := idle; end if; end case; -- writing of tx data register must be done after tx fsm to get correct -- operation of thempty flag if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case paddress(4 downto 2) is when "000" => if fifosize = 1 then v.thold(0) := apbi.pwdata(7 downto 0); v.tcnt(0) := '1'; else v.thold(conv_integer(r.twaddr)) := apbi.pwdata(7 downto 0); if not (tfull = '1') then v.twaddr := r.twaddr + 1; v.tcnt := v.tcnt + 1; end if; end if; --pragma translate_off if CONSOLE = 1 then if first then L1:= new string'(""); first := false; end if; --' if apbi.penable'event then --' CH := character'val(conv_integer(apbi.pwdata(7 downto 0))); --' if CH = CR then std.textio.writeline(OUTPUT, L1); elsif CH /= LF then std.textio.write(L1,CH); end if; pt := now; end if; end if; --pragma translate_on when others => null; end case; end if; -- receiver operation case r.rxstate is when idle => -- wait for start bit if ((r.rsempty = '0') and not (rfull = '1')) then v.rsempty := '1'; v.rhold(conv_integer(r.rwaddr)) := r.rshift; if fifosize = 1 then v.rcnt(0) := '1'; else v.rwaddr := r.rwaddr + 1; v.rcnt := v.rcnt + 1; end if; end if; if (r.rxen and r.rxdb(1) and (not rxd)) = '1' then v.rxstate := startbit; v.rshift := (others => '1'); v.rxclk := "100"; if v.rsempty = '0' then v.ovf := '1'; end if; v.rsempty := '0'; v.rxtick := '0'; end if; when startbit => -- check validity of start bit if r.rxtick = '1' then if rxd = '0' then v.rshift := rxd & r.rshift(7 downto 1); v.rxstate := data; v.dpar := r.parsel; else v.rxstate := idle; end if; end if; when data => -- receive data frame if r.rxtick = '1' then v.dpar := r.dpar xor rxd; v.rshift := rxd & r.rshift(7 downto 1); if r.rshift(0) = '0' then if r.paren = '1' then v.rxstate := cparity; else v.rxstate := stopbit; v.dpar := '0'; end if; end if; end if; when cparity => -- receive parity bit if r.rxtick = '1' then v.dpar := r.dpar xor rxd; v.rxstate := stopbit; end if; when stopbit => -- receive stop bit if r.rxtick = '1' then if r.delayirqen = '0' then v.irq := v.irq or r.rirqen; -- make sure no tx irqs are lost ! end if; if rxd = '1' then if r.delayirqen = '1' then v.irqpend := r.rirqen; v.irqcnt := (others => '0'); end if; v.parerr := r.parerr or r.dpar; v.rsempty := r.dpar; if not (rfull = '1') and (r.dpar = '0') then v.rsempty := '1'; v.rhold(conv_integer(r.rwaddr)) := r.rshift; if fifosize = 1 then v.rcnt(0) := '1'; else v.rwaddr := r.rwaddr + 1; v.rcnt := v.rcnt + 1; end if; end if; else if r.rshift = "00000000" then v.break := '1'; v.irq := v.irq or r.breakirqen; else v.frame := '1'; end if; v.rsempty := '1'; end if; v.rxstate := idle; end if; end case; if r.rxtick = '1' then v.rtsn := (rfull and not r.rsempty) or r.loopb; end if; v.txd := r.tshift(0) or r.loopb or r.debug; if fifosize /= 1 then if thempty = '0' and v.tcnt = rcntzero then v.irq := v.irq or r.tirqen; end if; v.irq := v.irq or (r.tfifoirqen and r.txen and thalffull); v.irq := v.irq or (r.rfifoirqen and r.rxen and rhalffull); if (r.rfifoirqen and r.rxen and rhalffull) = '1' then v.irqpend := '0'; end if; end if; v.irq := v.irq or (r.tsemptyirqen and v.tsempty and not r.tsempty); -- reset operation if (not RESET_ALL) and (rst = '0') then v.frame := RES.frame; v.rsempty := RES.rsempty; v.parerr := RES.parerr; v.ovf := RES.ovf; v.break := RES.break; v.tsempty := RES.tsempty; v.txen := RES.txen; v.rxen := RES.rxen; v.txstate := RES.txstate; v.rxstate := RES.rxstate; v.tshift(0) := RES.tshift(0); v.extclken := RES.extclken; v.rtsn := RES.rtsn; v.flow := RES.flow; v.txclk := RES.txclk; v.rxclk := RES.rxclk; v.rcnt := RES.rcnt; v.tcnt := RES.tcnt; v.rwaddr := RES.rwaddr; v.twaddr := RES.twaddr; v.rraddr := RES.rraddr; v.traddr := RES.traddr; v.irqcnt := RES.irqcnt; v.irqpend := RES.irqpend; end if; -- update registers rin <= v; -- drive outputs uarto.txd <= r.txd; uarto.rtsn <= r.rtsn; uarto.scaler <= (others => '0'); uarto.scaler(sbits-1 downto 0) <= r.scaler; apbo.prdata <= rdata; apbo.pirq <= irq; apbo.pindex <= pindex; uarto.txen <= r.txen; uarto.rxen <= r.rxen; uarto.flow <= '0'; end process; apbo.pconfig <= pconfig; regs : process(clk) begin if rising_edge(clk) then r <= rin; if RESET_ALL and rst = '0' then r <= RES; -- Sync. registers not reset r.ctsn <= rin.ctsn; r.rxf <= rin.rxf; end if; end if; end process; -- pragma translate_off bootmsg : report_version generic map ("apbuart" & tost(pindex) & ": Generic UART rev " & tost(REVISION) & ", fifo " & tost(fifosize) & ", irq " & tost(pirq) & ", scaler bits " & tost(sbits)); -- pragma translate_on end;
gpl-2.0
191bf2239f69cef99c351cba2436faad
0.543282
3.335851
false
false
false
false
Fairyland0902/BlockyRoads
src/BlockyRoads/ipcore_dir/explosion/simulation/bmg_stim_gen.vhd
1
12,587
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port ROM -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SROM -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SROM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SROM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SROM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST /= '0' ) THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; --USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS GENERIC ( C_ROM_SYNTH : INTEGER := 0 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA: OUT STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); DATA_IN : IN STD_LOGIC_VECTOR (11 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS FUNCTION hex_to_std_logic_vector( hex_str : STRING; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 DOWNTO 0); BEGIN tmp := (OTHERS => '0'); FOR i IN 1 TO hex_str'LENGTH LOOP CASE hex_str((hex_str'LENGTH+1)-i) IS WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; END CASE; END LOOP; RETURN tmp(return_width-1 DOWNTO 0); END hex_to_std_logic_vector; CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL CHECK_READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL CHECK_DATA : STD_LOGIC := '0'; SIGNAL CHECK_DATA_R : STD_LOGIC := '0'; SIGNAL CHECK_DATA_2R : STD_LOGIC := '0'; SIGNAL DO_READ_REG: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(11 DOWNTO 0):= hex_to_std_logic_vector("0",12); BEGIN SYNTH_COE: IF(C_ROM_SYNTH =0 ) GENERATE type mem_type is array (50399 downto 0) of std_logic_vector(11 downto 0); FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; function char_to_std_logic ( char : in character) return std_logic is variable data : std_logic; begin if char = '0' then data := '0'; elsif char = '1' then data := '1'; elsif char = 'X' then data := 'X'; else assert false report "character which is not '0', '1' or 'X'." severity warning; data := 'U'; end if; return data; end char_to_std_logic; impure FUNCTION init_memory( C_USE_DEFAULT_DATA : INTEGER; C_LOAD_INIT_FILE : INTEGER ; C_INIT_FILE_NAME : STRING ; DEFAULT_DATA : STD_LOGIC_VECTOR(11 DOWNTO 0); width : INTEGER; depth : INTEGER) RETURN mem_type IS VARIABLE init_return : mem_type := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(width-1 DOWNTO 0); VARIABLE bitline : LINE; variable bitsgood : boolean := true; variable bitchar : character; VARIABLE i : INTEGER; VARIABLE j : INTEGER; BEGIN --Display output message indicating that the behavioral model is being --initialized ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator CORE Generator module loading initial data..." SEVERITY NOTE; -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN FOR i IN 0 TO depth-1 LOOP init_return(i) := DEFAULT_DATA; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, bitline); -- read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO width-1 LOOP read(bitline,bitchar,bitsgood); init_return(i)(width-1-j) := char_to_std_logic(bitchar); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** constant c_init : mem_type := init_memory(0, 1, "explosion.mif", DEFAULT_DATA, 12, 50400); constant rom : mem_type := c_init; BEGIN EXPECTED_DATA <= rom(conv_integer(unsigned(check_read_addr))); CHECKER_RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH =>50400 ) PORT MAP( CLK => CLK, RST => RST, EN => CHECK_DATA_2R, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => CHECK_READ_ADDR ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R ='1') THEN IF(EXPECTED_DATA = DATA_IN) THEN STATUS<='0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; -- Simulatable ROM --Synthesizable ROM SYNTH_CHECKER: IF(C_ROM_SYNTH = 1) GENERATE PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R='1') THEN IF(DATA_IN=DEFAULT_DATA) THEN STATUS <= '0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; READ_ADDR_INT(15 DOWNTO 0) <= READ_ADDR(15 DOWNTO 0); ADDRA <= READ_ADDR_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 50400 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); RD_PROCESS: PROCESS (CLK) BEGIN IF (RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_READ <= '0'; ELSE DO_READ <= '1'; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(0), CLK =>CLK, RST=>RST, D =>DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(I), CLK =>CLK, RST=>RST, D =>DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; CHECK_DATA_REG_1: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_2R, CLK =>CLK, RST=>RST, D =>CHECK_DATA_R ); CHECK_DATA_REG: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_R, CLK =>CLK, RST=>RST, D =>CHECK_DATA ); END ARCHITECTURE;
mit
42a8c9ddc2ffd985a040beb0656c8533
0.548026
3.684719
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-xilinx-ml501/config.vhd
1
7,223
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := virtex5; constant CFG_MEMTECH : integer := virtex5; constant CFG_PADTECH : integer := virtex5; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := virtex5; constant CFG_CLKMUL : integer := (8); constant CFG_CLKDIV : integer := (10); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 2; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 4; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 2; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1 + 1 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 8; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000030#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- Xilinx MIG constant CFG_MIG_DDR2 : integer := 0; constant CFG_MIG_RANKS : integer := 1; constant CFG_MIG_COLBITS : integer := 10; constant CFG_MIG_ROWBITS : integer := 13; constant CFG_MIG_BANKBITS: integer := 2; constant CFG_MIG_HMASK : integer := 16#F00#; -- DDR controller constant CFG_DDR2SP : integer := 1; constant CFG_DDR2SP_INIT : integer := 1; constant CFG_DDR2SP_FREQ : integer := (140); constant CFG_DDR2SP_TRFC : integer := (130); constant CFG_DDR2SP_DATAWIDTH : integer := (64); constant CFG_DDR2SP_FTEN : integer := 0; constant CFG_DDR2SP_FTWIDTH : integer := 0; constant CFG_DDR2SP_COL : integer := (10); constant CFG_DDR2SP_SIZE : integer := (256); constant CFG_DDR2SP_DELAY0 : integer := (15); constant CFG_DDR2SP_DELAY1 : integer := (15); constant CFG_DDR2SP_DELAY2 : integer := (15); constant CFG_DDR2SP_DELAY3 : integer := (15); constant CFG_DDR2SP_DELAY4 : integer := (15); constant CFG_DDR2SP_DELAY5 : integer := (15); constant CFG_DDR2SP_DELAY6 : integer := (15); constant CFG_DDR2SP_DELAY7 : integer := (15); constant CFG_DDR2SP_NOSYNC : integer := 0; -- AHB status register constant CFG_AHBSTAT : integer := 1; constant CFG_AHBSTATN : integer := (1); -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 32; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 1; constant CFG_GPT_WDOG : integer := 16#FFFFF#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0FFFE#; constant CFG_GRGPIO_WIDTH : integer := (14); -- I2C master constant CFG_I2C_ENABLE : integer := 1; -- AMBA Wrapper for Xilinx System Monitor constant CFG_GRSYSMON : integer := 1; -- VGA and PS2/ interface constant CFG_KBD_ENABLE : integer := 1; constant CFG_VGA_ENABLE : integer := 0; constant CFG_SVGA_ENABLE : integer := 1; -- AMBA System ACE Interface Controller constant CFG_GRACECTRL : integer := 1; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
f1fd72937f1863f0bdde2af02f691d1b
0.649453
3.554626
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/techmap/saed32/memory_saed32.vhd
1
5,332
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: various -- File: memory_saed32.vhd -- Author: Fredrik Ringhage - Aeroflex Gaisler AB -- Description: Memory generators for SAED32 ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library saed32; use saed32.SRAM1RW64x32; use saed32.SRAM1RW128x48; use saed32.SRAM1RW128x48; -- pragma translate_on entity saed32_syncram is generic ( abits : integer := 10; dbits : integer := 8); port ( clk : in std_ulogic; address : in std_logic_vector(abits -1 downto 0); datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_ulogic; write : in std_ulogic ); end; architecture rtl of saed32_syncram is component SRAM1RW64x32 is port ( A : in std_logic_vector( 5 downto 0 ); O : out std_logic_vector( 31 downto 0 ); I : in std_logic_vector( 31 downto 0 ); WEB : in std_logic; CSB : in std_logic; OEB : in std_logic; CE : in std_logic ); end component; component SRAM1RW128x48 is port ( A : in std_logic_vector( 6 downto 0 ); O : out std_logic_vector( 47 downto 0 ); I : in std_logic_vector( 47 downto 0 ); WEB : in std_logic; CSB : in std_logic; OEB : in std_logic; CE : in std_logic ); end component; component SRAM1RW1024x8 is port ( A : in std_logic_vector( 9 downto 0 ); O : out std_logic_vector( 7 downto 0 ); I : in std_logic_vector( 7 downto 0 ); WEB : in std_logic; CSB : in std_logic; OEB : in std_logic; CE : in std_logic ); end component; signal d, q, gnd : std_logic_vector(48 downto 0); signal a : std_logic_vector(17 downto 0); signal vcc, csn, wen : std_ulogic; --constant synopsys_bug : std_logic_vector(31 downto 0) := (others => '0'); begin csn <= not enable; wen <= not write; gnd <= (others => '0'); vcc <= '1'; a(abits -1 downto 0) <= address; d(dbits -1 downto 0) <= datain(dbits -1 downto 0); reg1 : process (clk) begin dataout <= q(dbits -1 downto 0); end process; a6 : if (abits <= 6) generate id0 : SRAM1RW64x32 port map (a(5 downto 0), q(31 downto 0), d(31 downto 0), wen, csn, '0', '1'); end generate; a7 : if (abits = 7) generate id0 : SRAM1RW128x48 port map (a(6 downto 0), q(47 downto 0), d(47 downto 0), wen, csn, '0', '1'); end generate; a10 : if (abits = 10) generate x : for i in 0 to ((dbits-1)/8) generate id0 : SRAM1RW1024x8 port map (a(9 downto 0), q(((i+1)*8)-1 downto i*8), d(((i+1)*8)-1 downto i*8), csn, wen, gnd(0), '1'); end generate; end generate; -- pragma translate_off a_to_high : if (abits > 10) or (dbits > 32) generate x : process begin assert false report "Unsupported memory size (saed32)" severity failure; wait; end process; end generate; -- pragma translate_on end; library ieee; use ieee.std_logic_1164.all; entity saed32_syncram_dp is generic ( abits : integer := 6; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end; architecture rtl of saed32_syncram_dp is begin end; library ieee; use ieee.std_logic_1164.all; entity saed32_syncram_2p is generic ( abits : integer := 8; dbits : integer := 32; sepclk : integer := 0); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end; architecture rtl of saed32_syncram_2p is begin end;
gpl-2.0
70cde9ee56968e98bef6580db9738dc3
0.603713
3.309745
false
false
false
false
freecores/usb_fpga_1_11
examples/usb-fpga-1.15/1.15d/mmio/fpga/ucecho.vhd
15
1,742
library ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; --#use IEEE.numeric_std.all; entity ucecho is port( FXCLK : in std_logic; MM_A : in std_logic_vector(15 downto 0); MM_D : inout std_logic_vector(7 downto 0); MM_WRN : in std_logic; MM_RDN : in std_logic; MM_PSENN : in std_logic ); end ucecho; architecture RTL of ucecho is --signal declaration signal rd : std_logic := '1'; signal rd_prev : std_logic := '1'; signal wr : std_logic := '1'; signal wr_prev : std_logic := '1'; signal datain : std_logic_vector(7 downto 0); signal dataout : std_logic_vector(7 downto 0); begin rd <= MM_RDN and MM_PSENN; wr <= MM_WRN; MM_D <= dataout when ((rd_prev or rd) = '0') else ( others => 'Z' ); -- enable output dpUCECHO: process(FXCLK) begin if FXCLK' event and FXCLK = '1' then if (wr_prev = '1') and (wr = '0') -- EZ-USB write strobe then if MM_A = conv_std_logic_vector(16#5001#,16) -- read data from EZ-USB if addr=0x5001 then datain <= MM_D; end if; elsif (rd_prev = '1') and (rd = '0') -- EZ-USB read strobe then if MM_A = conv_std_logic_vector(16#5002#,16) -- write data to EZ-USB if addr=0x5002 then if ( datain >= conv_std_logic_vector(97,8) ) and ( datain <= conv_std_logic_vector(122,8) ) -- do the upercase conversion then dataout <= datain - conv_std_logic_vector(32,8); else dataout <= datain ; end if; end if; end if; rd_prev <= rd; wr_prev <= wr; end if; end process dpUCECHO; end RTL;
gpl-3.0
140bdd44ebbf99f168e79ba2daa8d2f7
0.559127
3.050788
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/pci/ptf/pt_pci_monitor.vhd
1
14,767
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: pcitb_monitor -- File: pcitb_monitor.vhd -- Author: -- Description: PCI Monitor. ------------------------------------------------------------------------------ -- pragma translate_off library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.pt_pkg.all; library grlib; use grlib.stdlib.xorv; entity pt_pci_monitor is generic (dbglevel : integer := 1); port (pciin : in pci_type); end pt_pci_monitor; architecture tb of pt_pci_monitor is constant T_O : integer := 9; type pci_array_type is array(0 to 2) of pci_type; type reg_type is record pci : pci_array_type; frame_deass : boolean; m_wait_data_phase : boolean; t_wait_data_phase : boolean; stop_asserted : boolean; device_sel : boolean; first : boolean; current_master : integer; master_cnt : integer; irdy_cnt : integer; trdy_cnt : integer; end record; signal r,rin : reg_type; signal init_done : boolean := false; begin init : process begin if init_done = false then wait until pciin.syst.rst = '0'; wait until pciin.syst.rst = '1'; init_done <= true; else wait until pciin.syst.rst = '0'; init_done <= false; end if; end process; comb : process(pciin) variable i : integer; variable v : reg_type; begin v := r; v.pci(0) := pciin; v.pci(1) := r.pci(0); v.pci(2) := r.pci(1); if r.pci(0).ifc.frame = 'H' then v.frame_deass := false; elsif (r.pci(0).ifc.frame and not r.pci(1).ifc.frame) = '1' then v.frame_deass := true; end if; if ((r.pci(0).ifc.trdy and r.pci(0).ifc.stop) or r.pci(0).ifc.irdy) = '0' then v.m_wait_data_phase := false; elsif r.pci(0).ifc.irdy = '0' then v.m_wait_data_phase := true; end if; if ((r.pci(0).ifc.trdy and r.pci(0).ifc.stop) or r.pci(0).ifc.irdy) = '0' then v.t_wait_data_phase := false; elsif (r.pci(0).ifc.trdy and r.pci(0).ifc.stop) = '0' then v.t_wait_data_phase := true; end if; if r.pci(0).ifc.frame = '0' and r.pci(1).ifc.frame = 'H' then for i in 0 to 20 loop if r.pci(0).arb.gnt(i) = '0' then v.current_master := i; end if; end loop; end if; if (r.pci(0).ifc.frame and r.pci(0).ifc.irdy) = '0' then if (r.pci(0).ifc.trdy and r.pci(0).ifc.stop) = '1' then v.master_cnt := r.master_cnt+1; else v.master_cnt := 0; end if; else v.master_cnt := 0; end if; if (r.pci(0).ifc.irdy and not r.pci(0).ifc.frame) = '1' then v.irdy_cnt := r.irdy_cnt+1; else v.irdy_cnt := 0; end if; if ((r.pci(0).ifc.trdy and r.pci(0).ifc.stop) and not (r.pci(0).ifc.frame and r.pci(0).ifc.irdy)) = '1' then v.trdy_cnt := r.trdy_cnt+1; else v.trdy_cnt := 0; end if; if r.pci(0).ifc.devsel = '0' then v.device_sel := true; elsif (to_x01(r.pci(1).ifc.devsel) and not (r.pci(0).ifc.frame and r.pci(0).ifc.irdy)) = '1' then v.device_sel := false; end if; if r.pci(0).ifc.stop = '0' then v.stop_asserted := true; elsif r.pci(0).ifc.frame = '0' then v.stop_asserted := false; end if; if (r.pci(1).ifc.frame = 'H' and r.pci(0).ifc.frame = '0') then v.first := true; elsif (r.pci(0).ifc.trdy and r.pci(0).ifc.stop) = '0' then v.first := false; end if; rin <= v; end process; clkprc : process(pciin.syst) begin if rising_edge(pciin.syst.clk) then r <= rin; if init_done then if (r.pci(0).ifc.frame = '0' and r.frame_deass = true) then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: FRAME# was reasserted during the same transaction."); end if; end if; if (r.pci(0).ifc.frame and r.pci(0).ifc.irdy and not r.pci(1).ifc.frame) = '1' then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: FRAME# was deasserted without IRDY# asserted."); end if; end if; if (r.m_wait_data_phase and r.device_sel) then if (r.pci(0).ifc.frame /= r.pci(1).ifc.frame) or (r.pci(0).ifc.irdy /= r.pci(1).ifc.irdy) then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Current master changed IRDY# or FRAME# before current data phase was completed."); end if; end if; end if; if ((r.pci(1).ifc.irdy and r.pci(1).ifc.frame and not r.pci(2).ifc.irdy) = '1' and r.stop_asserted = true) then if not ((r.pci(1).arb.req(r.current_master) and (r.pci(0).arb.req(r.current_master) or r.pci(2).arb.req(r.current_master))) = '1') then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Current master at slot %d did not release its REQ# when the bus returned to idle state.",r.current_master); end if; end if; end if; if (r.pci(0).ifc.stop and not r.pci(1).ifc.stop and not r.pci(0).ifc.frame) = '1' then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Target did not keep STOP# asserted until FRAME# was deasserted."); end if; end if; if (r.pci(0).ifc.frame and r.pci(1).ifc.frame and not r.pci(0).ifc.stop and not r.pci(1).ifc.stop) = '1' then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Target did not release STOP# after FRAME# was deasserted."); end if; end if; if r.t_wait_data_phase = true then if (r.pci(0).ifc.devsel /= r.pci(1).ifc.devsel) or (r.pci(0).ifc.trdy /= r.pci(1).ifc.trdy) or (r.pci(0).ifc.stop /= r.pci(1).ifc.stop) then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Current target changed DEVSEL#, STOP# or TRDY# before current data phase was completed."); end if; end if; end if; if (r.pci(0).ifc.frame and r.pci(0).ifc.stop and not r.pci(1).ifc.frame and not r.pci(1).ifc.stop) = '1' then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Target did not keep STOP# asserted until the last data phase."); end if; end if; if (r.pci(2).ifc.frame and not (r.pci(2).ifc.trdy and r.pci(2).ifc.stop)) = '1' then if r.pci(1).ifc.irdy = '0' then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Master kept IRDY# asserted after last data phase."); end if; end if; if r.pci(1).ifc.trdy = '0' then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Target kept TRDY# asserted after last data phase."); end if; end if; if r.pci(1).ifc.stop = '0' then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Target kept STOP# asserted after last data phase."); end if; end if; if r.pci(1).ifc.frame /= 'H' then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Master did not tri-state FRAME# after turn-around cycle."); end if; end if; if r.pci(0).ifc.irdy /= 'H' then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Master did not tri-state IRDY# after turn-around cycle."); end if; end if; if r.pci(0).ifc.trdy /= 'H' then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Target did not tri-state TRDY# after turn-around cycle."); end if; end if; if r.pci(0).ifc.stop /= 'H' then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Target did not tri-state STOP# after turn-around cycle."); end if; end if; end if; if (r.master_cnt > 16 and r.first = true) then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Target did not complete its initial data phase in 16 clkc."); end if; end if; if r.irdy_cnt > 8 then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Master did not complete its initial data phase in 8 clkc."); end if; end if; if (r.trdy_cnt > 8 and r.device_sel = true and r.first = false) then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Target did not complete a data phase in 8 clkc."); end if; end if; if not r.device_sel then if (r.pci(0).ifc.irdy and not r.pci(1).ifc.irdy) = '1' then if dbglevel > 0 then assert false report "**" severity note; printf("PCI_MONITOR: Master abort detected."); end if; end if; end if; if ((r.pci(1).ifc.irdy = 'H' and r.pci(1).ifc.frame = '0') or (r.pci(1).ifc.irdy or r.pci(1).ifc.trdy) = '0') then if r.pci(0).ad.par = 'Z' then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Current Master/Target is not generating parity during a data phase."); end if; elsif r.pci(0).ad.par /= xorv(r.pci(1).ad.ad & r.pci(1).ad.cbe) then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: Parity error detected."); end if; end if; end if; end if; end if; end process; adchk : process(pciin.ad) begin if init_done then -- for i in 0 to 31 loop -- if pciin.ad.ad(i) = 'X' then -- if dbglevel > 0 then -- assert false -- report " **" -- severity warning; -- printf("PCI_MONITOR: AD lines have multiple drivers."); -- end if; -- end if; -- end loop; for i in 0 to 3 loop if pciin.ad.cbe(i) = 'X' then if dbglevel > 0 then assert false report " **" severity warning; printf("PCI_MONITOR: CBE# lines have multiple drivers."); end if; end if; end loop; -- if pciin.ad.par = 'X' then -- if dbglevel > 0 then -- assert false -- report " **" -- severity warning; -- printf("PCI_MONITOR: PAR line has multiple drivers."); -- end if; -- end if; end if; end process; ifcchk : process(pciin.ifc) begin if init_done then if pciin.ifc.frame = 'X' then if dbglevel > 0 then assert false report " **" severity warning; printf("PCI_MONITOR: FRAME# line has multiple drivers."); end if; end if; if pciin.ifc.irdy = 'X' then if dbglevel > 0 then assert false report " **" severity warning; printf("PCI_MONITOR: IRDY# line has multiple drivers."); end if; end if; if pciin.ifc.trdy = 'X' then if dbglevel > 0 then assert false report " **" severity warning; printf("PCI_MONITOR: TRDY# line has multiple drivers."); end if; end if; if pciin.ifc.stop = 'X' then if dbglevel > 0 then assert false report " **" severity warning; printf("PCI_MONITOR: STOP# line has multiple drivers."); end if; end if; if pciin.ifc.devsel = 'X' then if dbglevel > 0 then assert false report " **" severity warning; printf("PCI_MONITOR: DEVSEL# line has multiple drivers."); end if; end if; end if; end process; arbchk : process(pciin.arb) variable gnt_set : boolean; begin gnt_set := false; if init_done then for i in 0 to 20 loop if pciin.arb.gnt(i) = '0' then if gnt_set then if dbglevel > 0 then assert false report "***PCI ERROR***" severity warning; printf("PCI_MONITOR: GNT# is asserted for more than one PCI master."); end if; else gnt_set := true; end if; end if; end loop; end if; end process; end; -- pragma translate_on
gpl-2.0
b9c4414346f886e6ce669dbe3507c2bd
0.529153
3.755595
false
false
false
false
mistryalok/Zedboard
learning/training/MSD/s05/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_blk_mem_gen_0_0/synth/design_1_blk_mem_gen_0_0.vhd
1
14,031
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_2; USE blk_mem_gen_v8_2.blk_mem_gen_v8_2; ENTITY design_1_blk_mem_gen_0_0 IS PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(31 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_blk_mem_gen_0_0; ARCHITECTURE design_1_blk_mem_gen_0_0_arch OF design_1_blk_mem_gen_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_blk_mem_gen_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_2 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(31 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(3 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(31 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); sleep : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_blk_mem_gen_0_0_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_blk_mem_gen_0_0_arch : ARCHITECTURE IS "design_1_blk_mem_gen_0_0,blk_mem_gen_v8_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_blk_mem_gen_0_0_arch: ARCHITECTURE IS "design_1_blk_mem_gen_0_0,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=1,C_ENABLE_32BIT_ADDRESS=1,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=8,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=NONE,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=1,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=1,C_WEA_WIDTH=4,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=32,C_READ_WIDTH_A=32,C_WRITE_DEPTH_A=16384,C_READ_DEPTH_A=16384,C_ADDRA_WIDTH=32,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=1,C_WEB_WIDTH=4,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=32,C_READ_WIDTH_B=32,C_WRITE_DEPTH_B=16384,C_READ_DEPTH_B=16384,C_ADDRB_WIDTH=32,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=16,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 10.194 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF rsta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST"; ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; BEGIN U0 : blk_mem_gen_v8_2 GENERIC MAP ( C_FAMILY => "zynq", C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 1, C_ENABLE_32BIT_ADDRESS => 1, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 0, C_BYTE_SIZE => 8, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 0, C_INIT_FILE_NAME => "no_coe_file_loaded", C_INIT_FILE => "NONE", C_USE_DEFAULT_DATA => 0, C_DEFAULT_DATA => "0", C_HAS_RSTA => 1, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 1, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 1, C_WEA_WIDTH => 4, C_WRITE_MODE_A => "WRITE_FIRST", C_WRITE_WIDTH_A => 32, C_READ_WIDTH_A => 32, C_WRITE_DEPTH_A => 16384, C_READ_DEPTH_A => 16384, C_ADDRA_WIDTH => 32, C_HAS_RSTB => 0, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 0, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 1, C_WEB_WIDTH => 4, C_WRITE_MODE_B => "WRITE_FIRST", C_WRITE_WIDTH_B => 32, C_READ_WIDTH_B => 32, C_WRITE_DEPTH_B => 16384, C_READ_DEPTH_B => 16384, C_ADDRB_WIDTH => 32, C_HAS_MEM_OUTPUT_REGS_A => 0, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 0, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "16", C_COUNT_18K_BRAM => "0", C_EST_POWER_SUMMARY => "Estimated Power for IP : 10.194 mW" ) PORT MAP ( clka => clka, rsta => rsta, ena => ena, regcea => '0', wea => wea, addra => addra, dina => dina, douta => douta, clkb => '0', rstb => '0', enb => '0', regceb => '0', web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END design_1_blk_mem_gen_0_0_arch;
gpl-3.0
aa7329dd964f681432796ca9d88fe77b
0.631031
3.012884
false
false
false
false
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_rdmux.vhd
13
69,082
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_rdmux.vhd -- -- Description: -- This file implements the DataMover Master Read Data Multiplexer. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_sg_rdmux is generic ( C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the select control bus C_MMAP_DWIDTH : Integer range 32 to 1024 := 32; -- Indicates the width of the AXI4 Data Channel C_STREAM_DWIDTH : Integer range 8 to 1024 := 32 -- Indicates the width of the AXI Stream Data Channel ); port ( -- AXI MMap Data Channel Input ----------------------------------------------- -- mmap_read_data_in : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); -- -- AXI Read data input -- ------------------------------------------------------------------------------- -- AXI Master Stream --------------------------------------------------------- -- mux_data_out : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- --Mux data output -- ------------------------------------------------------------------------------- -- Command Calculator Interface ----------------------------------------------- -- mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is less than the MMap Data -- -- Width). -- ------------------------------------------------------------------------------- ); end entity axi_sg_rdmux; architecture implementation of axi_sg_rdmux is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Decalarations ------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_mux_sel_width -- -- Function Description: -- Calculates the number of needed bits for the Mux Select control -- based on the number of input channels to the mux. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_mux_sel_width (num_channels : integer) return integer is Variable var_sel_width : integer := 0; begin case num_channels is when 2 => var_sel_width := 1; when 4 => var_sel_width := 2; when 8 => var_sel_width := 3; when 16 => var_sel_width := 4; when 32 => var_sel_width := 5; when 64 => var_sel_width := 6; when 128 => var_sel_width := 7; when others => var_sel_width := 0; end case; Return (var_sel_width); end function func_mux_sel_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_sel_ls_index -- -- Function Description: -- Calculates the LS index of the select field to rip from the -- input select bus. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_sel_ls_index (channel_width : integer) return integer is Variable var_sel_ls_index : integer := 0; begin case channel_width is when 8 => var_sel_ls_index := 0; when 16 => var_sel_ls_index := 1; when 32 => var_sel_ls_index := 2; when 64 => var_sel_ls_index := 3; when 128 => var_sel_ls_index := 4; when 256 => var_sel_ls_index := 5; when 512 => var_sel_ls_index := 6; when others => -- 1024-bit channel case var_sel_ls_index := 7; end case; Return (var_sel_ls_index); end function func_sel_ls_index; -- Constant Decalarations ------------------------------------------------- Constant CHANNEL_DWIDTH : integer := C_STREAM_DWIDTH; Constant NUM_MUX_CHANNELS : integer := C_MMAP_DWIDTH/CHANNEL_DWIDTH; Constant MUX_SEL_WIDTH : integer := func_mux_sel_width(NUM_MUX_CHANNELS); Constant MUX_SEL_LS_INDEX : integer := func_sel_ls_index(CHANNEL_DWIDTH); -- Signal Declarations -------------------------------------------- signal sig_rdmux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign the Output data port mux_data_out <= sig_rdmux_dout; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_STRM_EQ_MMAP -- -- If Generate Description: -- This IfGen implements the case where the Stream Data Width is -- the same as the Memory Map read Data width. -- -- ------------------------------------------------------------ GEN_STRM_EQ_MMAP : if (NUM_MUX_CHANNELS = 1) generate begin sig_rdmux_dout <= mmap_read_data_in; end generate GEN_STRM_EQ_MMAP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2XN -- -- If Generate Description: -- 2 channel input mux case -- -- ------------------------------------------------------------ GEN_2XN : if (NUM_MUX_CHANNELS = 2) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_2XN_NUX -- -- Process Description: -- Implement the 2XN Mux -- ------------------------------------------------------------- DO_2XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when others => -- 1 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); end case; end process DO_2XN_NUX; end generate GEN_2XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4XN -- -- If Generate Description: -- 4 channel input mux case -- -- ------------------------------------------------------------ GEN_4XN : if (NUM_MUX_CHANNELS = 4) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_4XN_NUX -- -- Process Description: -- Implement the 4XN Mux -- ------------------------------------------------------------- DO_4XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when others => -- 3 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); end case; end process DO_4XN_NUX; end generate GEN_4XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8XN -- -- If Generate Description: -- 8 channel input mux case -- -- ------------------------------------------------------------ GEN_8XN : if (NUM_MUX_CHANNELS = 8) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_8XN_NUX -- -- Process Description: -- Implement the 8XN Mux -- ------------------------------------------------------------- DO_8XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when others => -- 7 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); end case; end process DO_8XN_NUX; end generate GEN_8XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16XN -- -- If Generate Description: -- 16 channel input mux case -- -- ------------------------------------------------------------ GEN_16XN : if (NUM_MUX_CHANNELS = 16) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_16XN_NUX -- -- Process Description: -- Implement the 16XN Mux -- ------------------------------------------------------------- DO_16XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when others => -- 15 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); end case; end process DO_16XN_NUX; end generate GEN_16XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32XN -- -- If Generate Description: -- 32 channel input mux case -- -- ------------------------------------------------------------ GEN_32XN : if (NUM_MUX_CHANNELS = 32) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_32XN_NUX -- -- Process Description: -- Implement the 32XN Mux -- ------------------------------------------------------------- DO_32XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when others => -- 31 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); end case; end process DO_32XN_NUX; end generate GEN_32XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64XN -- -- If Generate Description: -- 64 channel input mux case -- -- ------------------------------------------------------------ GEN_64XN : if (NUM_MUX_CHANNELS = 64) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_64XN_NUX -- -- Process Description: -- Implement the 64XN Mux -- ------------------------------------------------------------- DO_64XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0) ; when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1) ; when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2) ; when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3) ; when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4) ; when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5) ; when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6) ; when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7) ; when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8) ; when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9) ; when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when 31 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); when 32 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*33)-1 downto CHANNEL_DWIDTH*32); when 33 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*34)-1 downto CHANNEL_DWIDTH*33); when 34 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*35)-1 downto CHANNEL_DWIDTH*34); when 35 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*36)-1 downto CHANNEL_DWIDTH*35); when 36 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*37)-1 downto CHANNEL_DWIDTH*36); when 37 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*38)-1 downto CHANNEL_DWIDTH*37); when 38 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*39)-1 downto CHANNEL_DWIDTH*38); when 39 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*40)-1 downto CHANNEL_DWIDTH*39); when 40 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*41)-1 downto CHANNEL_DWIDTH*40); when 41 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*42)-1 downto CHANNEL_DWIDTH*41); when 42 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*43)-1 downto CHANNEL_DWIDTH*42); when 43 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*44)-1 downto CHANNEL_DWIDTH*43); when 44 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*45)-1 downto CHANNEL_DWIDTH*44); when 45 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*46)-1 downto CHANNEL_DWIDTH*45); when 46 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*47)-1 downto CHANNEL_DWIDTH*46); when 47 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*48)-1 downto CHANNEL_DWIDTH*47); when 48 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*49)-1 downto CHANNEL_DWIDTH*48); when 49 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*50)-1 downto CHANNEL_DWIDTH*49); when 50 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*51)-1 downto CHANNEL_DWIDTH*50); when 51 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*52)-1 downto CHANNEL_DWIDTH*51); when 52 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*53)-1 downto CHANNEL_DWIDTH*52); when 53 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*54)-1 downto CHANNEL_DWIDTH*53); when 54 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*55)-1 downto CHANNEL_DWIDTH*54); when 55 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*56)-1 downto CHANNEL_DWIDTH*55); when 56 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*57)-1 downto CHANNEL_DWIDTH*56); when 57 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*58)-1 downto CHANNEL_DWIDTH*57); when 58 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*59)-1 downto CHANNEL_DWIDTH*58); when 59 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*60)-1 downto CHANNEL_DWIDTH*59); when 60 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*61)-1 downto CHANNEL_DWIDTH*60); when 61 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*62)-1 downto CHANNEL_DWIDTH*61); when 62 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*63)-1 downto CHANNEL_DWIDTH*62); when others => -- 63 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*64)-1 downto CHANNEL_DWIDTH*63); end case; end process DO_64XN_NUX; end generate GEN_64XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128XN -- -- If Generate Description: -- 128 channel input mux case -- -- ------------------------------------------------------------ GEN_128XN : if (NUM_MUX_CHANNELS = 128) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_128XN_NUX -- -- Process Description: -- Implement the 64XN Mux -- ------------------------------------------------------------- DO_128XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0) ; when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1) ; when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2) ; when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3) ; when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4) ; when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5) ; when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6) ; when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7) ; when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8) ; when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9) ; when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when 31 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); when 32 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*33)-1 downto CHANNEL_DWIDTH*32); when 33 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*34)-1 downto CHANNEL_DWIDTH*33); when 34 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*35)-1 downto CHANNEL_DWIDTH*34); when 35 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*36)-1 downto CHANNEL_DWIDTH*35); when 36 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*37)-1 downto CHANNEL_DWIDTH*36); when 37 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*38)-1 downto CHANNEL_DWIDTH*37); when 38 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*39)-1 downto CHANNEL_DWIDTH*38); when 39 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*40)-1 downto CHANNEL_DWIDTH*39); when 40 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*41)-1 downto CHANNEL_DWIDTH*40); when 41 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*42)-1 downto CHANNEL_DWIDTH*41); when 42 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*43)-1 downto CHANNEL_DWIDTH*42); when 43 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*44)-1 downto CHANNEL_DWIDTH*43); when 44 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*45)-1 downto CHANNEL_DWIDTH*44); when 45 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*46)-1 downto CHANNEL_DWIDTH*45); when 46 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*47)-1 downto CHANNEL_DWIDTH*46); when 47 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*48)-1 downto CHANNEL_DWIDTH*47); when 48 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*49)-1 downto CHANNEL_DWIDTH*48); when 49 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*50)-1 downto CHANNEL_DWIDTH*49); when 50 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*51)-1 downto CHANNEL_DWIDTH*50); when 51 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*52)-1 downto CHANNEL_DWIDTH*51); when 52 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*53)-1 downto CHANNEL_DWIDTH*52); when 53 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*54)-1 downto CHANNEL_DWIDTH*53); when 54 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*55)-1 downto CHANNEL_DWIDTH*54); when 55 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*56)-1 downto CHANNEL_DWIDTH*55); when 56 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*57)-1 downto CHANNEL_DWIDTH*56); when 57 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*58)-1 downto CHANNEL_DWIDTH*57); when 58 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*59)-1 downto CHANNEL_DWIDTH*58); when 59 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*60)-1 downto CHANNEL_DWIDTH*59); when 60 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*61)-1 downto CHANNEL_DWIDTH*60); when 61 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*62)-1 downto CHANNEL_DWIDTH*61); when 62 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*63)-1 downto CHANNEL_DWIDTH*62); when 63 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*64)-1 downto CHANNEL_DWIDTH*63); when 64 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*65)-1 downto CHANNEL_DWIDTH*64) ; when 65 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*66)-1 downto CHANNEL_DWIDTH*65) ; when 66 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*67)-1 downto CHANNEL_DWIDTH*66) ; when 67 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*68)-1 downto CHANNEL_DWIDTH*67) ; when 68 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*69)-1 downto CHANNEL_DWIDTH*68) ; when 69 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*70)-1 downto CHANNEL_DWIDTH*69) ; when 70 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*71)-1 downto CHANNEL_DWIDTH*70) ; when 71 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*72)-1 downto CHANNEL_DWIDTH*71) ; when 72 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*73)-1 downto CHANNEL_DWIDTH*72) ; when 73 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*74)-1 downto CHANNEL_DWIDTH*73) ; when 74 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*75)-1 downto CHANNEL_DWIDTH*74) ; when 75 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*76)-1 downto CHANNEL_DWIDTH*75) ; when 76 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*77)-1 downto CHANNEL_DWIDTH*76) ; when 77 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*78)-1 downto CHANNEL_DWIDTH*77) ; when 78 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*79)-1 downto CHANNEL_DWIDTH*78) ; when 79 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*80)-1 downto CHANNEL_DWIDTH*79) ; when 80 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*81)-1 downto CHANNEL_DWIDTH*80) ; when 81 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*82)-1 downto CHANNEL_DWIDTH*81) ; when 82 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*83)-1 downto CHANNEL_DWIDTH*82) ; when 83 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*84)-1 downto CHANNEL_DWIDTH*83) ; when 84 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*85)-1 downto CHANNEL_DWIDTH*84) ; when 85 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*86)-1 downto CHANNEL_DWIDTH*85) ; when 86 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*87)-1 downto CHANNEL_DWIDTH*86) ; when 87 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*88)-1 downto CHANNEL_DWIDTH*87) ; when 88 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*89)-1 downto CHANNEL_DWIDTH*88) ; when 89 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*90)-1 downto CHANNEL_DWIDTH*89) ; when 90 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*91)-1 downto CHANNEL_DWIDTH*90) ; when 91 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*92)-1 downto CHANNEL_DWIDTH*91) ; when 92 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*93)-1 downto CHANNEL_DWIDTH*92) ; when 93 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*94)-1 downto CHANNEL_DWIDTH*93) ; when 94 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*95)-1 downto CHANNEL_DWIDTH*94) ; when 95 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*96)-1 downto CHANNEL_DWIDTH*95) ; when 96 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*97 )-1 downto CHANNEL_DWIDTH*96 ) ; when 97 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*98 )-1 downto CHANNEL_DWIDTH*97 ) ; when 98 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*99 )-1 downto CHANNEL_DWIDTH*98 ) ; when 99 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*100)-1 downto CHANNEL_DWIDTH*99 ) ; when 100 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*101)-1 downto CHANNEL_DWIDTH*100) ; when 101 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*102)-1 downto CHANNEL_DWIDTH*101) ; when 102 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*103)-1 downto CHANNEL_DWIDTH*102) ; when 103 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*104)-1 downto CHANNEL_DWIDTH*103) ; when 104 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*105)-1 downto CHANNEL_DWIDTH*104) ; when 105 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*106)-1 downto CHANNEL_DWIDTH*105) ; when 106 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*107)-1 downto CHANNEL_DWIDTH*106) ; when 107 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*108)-1 downto CHANNEL_DWIDTH*107) ; when 108 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*109)-1 downto CHANNEL_DWIDTH*108) ; when 109 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*110)-1 downto CHANNEL_DWIDTH*109) ; when 110 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*111)-1 downto CHANNEL_DWIDTH*110) ; when 111 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*112)-1 downto CHANNEL_DWIDTH*111) ; when 112 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*113)-1 downto CHANNEL_DWIDTH*112) ; when 113 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*114)-1 downto CHANNEL_DWIDTH*113) ; when 114 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*115)-1 downto CHANNEL_DWIDTH*114) ; when 115 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*116)-1 downto CHANNEL_DWIDTH*115) ; when 116 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*117)-1 downto CHANNEL_DWIDTH*116) ; when 117 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*118)-1 downto CHANNEL_DWIDTH*117) ; when 118 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*119)-1 downto CHANNEL_DWIDTH*118) ; when 119 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*120)-1 downto CHANNEL_DWIDTH*119) ; when 120 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*121)-1 downto CHANNEL_DWIDTH*120) ; when 121 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*122)-1 downto CHANNEL_DWIDTH*121) ; when 122 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*123)-1 downto CHANNEL_DWIDTH*122) ; when 123 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*124)-1 downto CHANNEL_DWIDTH*123) ; when 124 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*125)-1 downto CHANNEL_DWIDTH*124) ; when 125 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*126)-1 downto CHANNEL_DWIDTH*125) ; when 126 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*127)-1 downto CHANNEL_DWIDTH*126) ; when others => -- 127 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*128)-1 downto CHANNEL_DWIDTH*127) ; end case; end process DO_128XN_NUX; end generate GEN_128XN; end implementation;
gpl-3.0
8e600207528da72475eb08e8eb74c06b
0.396717
5.005217
false
false
false
false
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/syn/vhdl/FIFO_image_filter_src1_cols_V.vhd
2
4,556
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_src1_cols_V_shiftReg is generic ( DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_src1_cols_V_shiftReg; architecture rtl of FIFO_image_filter_src1_cols_V_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_src1_cols_V is generic ( MEM_STYLE : string := "shiftreg"; DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_src1_cols_V is component FIFO_image_filter_src1_cols_V_shiftReg is generic ( DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_src1_cols_V_shiftReg : FIFO_image_filter_src1_cols_V_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
gpl-3.0
18727636eec33e55f1cfb9b208f783a3
0.535558
3.499232
false
false
false
false
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/acme/prj/solution1/syn/vhdl/image_filter_Mat2AXIvideo.vhd
2
23,118
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity image_filter_Mat2AXIvideo is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; img_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0); img_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0); img_data_stream_0_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); img_data_stream_0_V_empty_n : IN STD_LOGIC; img_data_stream_0_V_read : OUT STD_LOGIC; img_data_stream_1_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); img_data_stream_1_V_empty_n : IN STD_LOGIC; img_data_stream_1_V_read : OUT STD_LOGIC; img_data_stream_2_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); img_data_stream_2_V_empty_n : IN STD_LOGIC; img_data_stream_2_V_read : OUT STD_LOGIC; OUTPUT_STREAM_TDATA : OUT STD_LOGIC_VECTOR (31 downto 0); OUTPUT_STREAM_TVALID : OUT STD_LOGIC; OUTPUT_STREAM_TREADY : IN STD_LOGIC; OUTPUT_STREAM_TKEEP : OUT STD_LOGIC_VECTOR (3 downto 0); OUTPUT_STREAM_TSTRB : OUT STD_LOGIC_VECTOR (3 downto 0); OUTPUT_STREAM_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0); OUTPUT_STREAM_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0); OUTPUT_STREAM_TID : OUT STD_LOGIC_VECTOR (0 downto 0); OUTPUT_STREAM_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0) ); end; architecture behav of image_filter_Mat2AXIvideo is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (3 downto 0) := "0001"; constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (3 downto 0) := "0010"; constant ap_ST_pp0_stg0_fsm_2 : STD_LOGIC_VECTOR (3 downto 0) := "0100"; constant ap_ST_st5_fsm_3 : STD_LOGIC_VECTOR (3 downto 0) := "1000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv12_0 : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv4_F : STD_LOGIC_VECTOR (3 downto 0) := "1111"; constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000"; constant ap_const_lv13_1FFF : STD_LOGIC_VECTOR (12 downto 0) := "1111111111111"; constant ap_const_lv12_1 : STD_LOGIC_VECTOR (11 downto 0) := "000000000001"; constant ap_const_lv8_FF : STD_LOGIC_VECTOR (7 downto 0) := "11111111"; signal ap_done_reg : STD_LOGIC := '0'; signal ap_CS_fsm : STD_LOGIC_VECTOR (3 downto 0) := "0001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC; signal ap_sig_bdd_23 : BOOLEAN; signal p_3_reg_170 : STD_LOGIC_VECTOR (11 downto 0); signal ap_sig_bdd_60 : BOOLEAN; signal op2_assign_fu_186_p2 : STD_LOGIC_VECTOR (12 downto 0); signal op2_assign_reg_267 : STD_LOGIC_VECTOR (12 downto 0); signal exitcond3_fu_197_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC; signal ap_sig_bdd_74 : BOOLEAN; signal i_V_fu_202_p2 : STD_LOGIC_VECTOR (11 downto 0); signal i_V_reg_276 : STD_LOGIC_VECTOR (11 downto 0); signal exitcond4_fu_208_p2 : STD_LOGIC_VECTOR (0 downto 0); signal exitcond4_reg_281 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_pp0_stg0_fsm_2 : STD_LOGIC; signal ap_sig_bdd_85 : BOOLEAN; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC := '0'; signal ap_sig_bdd_99 : BOOLEAN; signal ap_sig_ioackin_OUTPUT_STREAM_TREADY : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal j_V_fu_213_p2 : STD_LOGIC_VECTOR (11 downto 0); signal axi_last_V_fu_223_p2 : STD_LOGIC_VECTOR (0 downto 0); signal axi_last_V_reg_290 : STD_LOGIC_VECTOR (0 downto 0); signal p_s_reg_159 : STD_LOGIC_VECTOR (11 downto 0); signal ap_sig_cseq_ST_st5_fsm_3 : STD_LOGIC; signal ap_sig_bdd_130 : BOOLEAN; signal tmp_user_V_fu_96 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ioackin_OUTPUT_STREAM_TREADY : STD_LOGIC := '0'; signal tmp_cast_fu_182_p1 : STD_LOGIC_VECTOR (12 downto 0); signal tmp_cast_38_fu_219_p1 : STD_LOGIC_VECTOR (12 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (3 downto 0); begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_st1_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_done_reg assign process. -- ap_done_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_done_reg <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_continue)) then ap_done_reg <= ap_const_logic_0; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((exitcond3_fu_197_p2 = ap_const_lv1_0)))) then ap_done_reg <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ioackin_OUTPUT_STREAM_TREADY assign process. -- ap_reg_ioackin_OUTPUT_STREAM_TREADY_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ioackin_OUTPUT_STREAM_TREADY <= ap_const_logic_0; else if ((((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)))))) then ap_reg_ioackin_OUTPUT_STREAM_TREADY <= ap_const_logic_0; elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not((ap_sig_bdd_99 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and (ap_const_logic_1 = OUTPUT_STREAM_TREADY)))) then ap_reg_ioackin_OUTPUT_STREAM_TREADY <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and not((exitcond4_fu_208_p2 = ap_const_lv1_0)))) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond3_fu_197_p2 = ap_const_lv1_0))) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and (exitcond4_fu_208_p2 = ap_const_lv1_0))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_1; elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond3_fu_197_p2 = ap_const_lv1_0)) or ((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and not((exitcond4_fu_208_p2 = ap_const_lv1_0))))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; end if; end if; end if; end process; -- p_3_reg_170 assign process. -- p_3_reg_170_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and (exitcond4_fu_208_p2 = ap_const_lv1_0))) then p_3_reg_170 <= j_V_fu_213_p2; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond3_fu_197_p2 = ap_const_lv1_0))) then p_3_reg_170 <= ap_const_lv12_0; end if; end if; end process; -- p_s_reg_159 assign process. -- p_s_reg_159_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_3)) then p_s_reg_159 <= i_V_reg_276; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_60))) then p_s_reg_159 <= ap_const_lv12_0; end if; end if; end process; -- tmp_user_V_fu_96 assign process. -- tmp_user_V_fu_96_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))))) then tmp_user_V_fu_96 <= ap_const_lv1_0; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_60))) then tmp_user_V_fu_96 <= ap_const_lv1_1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and (exitcond4_fu_208_p2 = ap_const_lv1_0))) then axi_last_V_reg_290 <= axi_last_V_fu_223_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))))) then exitcond4_reg_281 <= exitcond4_fu_208_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then i_V_reg_276 <= i_V_fu_202_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_60))) then op2_assign_reg_267 <= op2_assign_fu_186_p2; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_CS_fsm, ap_sig_bdd_60, exitcond3_fu_197_p2, exitcond4_fu_208_p2, exitcond4_reg_281, ap_reg_ppiten_pp0_it0, ap_sig_bdd_99, ap_sig_ioackin_OUTPUT_STREAM_TREADY, ap_reg_ppiten_pp0_it1) begin case ap_CS_fsm is when ap_ST_st1_fsm_0 => if (not(ap_sig_bdd_60)) then ap_NS_fsm <= ap_ST_st2_fsm_1; else ap_NS_fsm <= ap_ST_st1_fsm_0; end if; when ap_ST_st2_fsm_1 => if (not((exitcond3_fu_197_p2 = ap_const_lv1_0))) then ap_NS_fsm <= ap_ST_st1_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_2; end if; when ap_ST_pp0_stg0_fsm_2 => if (not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and not((exitcond4_fu_208_p2 = ap_const_lv1_0))))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_2; elsif (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and not((exitcond4_fu_208_p2 = ap_const_lv1_0)))) then ap_NS_fsm <= ap_ST_st5_fsm_3; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_2; end if; when ap_ST_st5_fsm_3 => ap_NS_fsm <= ap_ST_st2_fsm_1; when others => ap_NS_fsm <= "XXXX"; end case; end process; OUTPUT_STREAM_TDATA <= (((ap_const_lv8_FF & img_data_stream_2_V_dout) & img_data_stream_1_V_dout) & img_data_stream_0_V_dout); OUTPUT_STREAM_TDEST <= ap_const_lv1_0; OUTPUT_STREAM_TID <= ap_const_lv1_0; OUTPUT_STREAM_TKEEP <= ap_const_lv4_F; OUTPUT_STREAM_TLAST <= axi_last_V_reg_290; OUTPUT_STREAM_TSTRB <= ap_const_lv4_0; OUTPUT_STREAM_TUSER <= tmp_user_V_fu_96; -- OUTPUT_STREAM_TVALID assign process. -- OUTPUT_STREAM_TVALID_assign_proc : process(exitcond4_reg_281, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_99, ap_reg_ppiten_pp0_it1, ap_reg_ioackin_OUTPUT_STREAM_TREADY) begin if ((((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not((ap_sig_bdd_99 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) and (ap_const_logic_0 = ap_reg_ioackin_OUTPUT_STREAM_TREADY)))) then OUTPUT_STREAM_TVALID <= ap_const_logic_1; else OUTPUT_STREAM_TVALID <= ap_const_logic_0; end if; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_done_reg, exitcond3_fu_197_p2, ap_sig_cseq_ST_st2_fsm_1) begin if (((ap_const_logic_1 = ap_done_reg) or ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((exitcond3_fu_197_p2 = ap_const_lv1_0))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(exitcond3_fu_197_p2, ap_sig_cseq_ST_st2_fsm_1) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((exitcond3_fu_197_p2 = ap_const_lv1_0)))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_sig_bdd_130 assign process. -- ap_sig_bdd_130_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_130 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3)); end process; -- ap_sig_bdd_23 assign process. -- ap_sig_bdd_23_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_23 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1); end process; -- ap_sig_bdd_60 assign process. -- ap_sig_bdd_60_assign_proc : process(ap_start, ap_done_reg) begin ap_sig_bdd_60 <= ((ap_start = ap_const_logic_0) or (ap_done_reg = ap_const_logic_1)); end process; -- ap_sig_bdd_74 assign process. -- ap_sig_bdd_74_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_74 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1)); end process; -- ap_sig_bdd_85 assign process. -- ap_sig_bdd_85_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_85 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2)); end process; -- ap_sig_bdd_99 assign process. -- ap_sig_bdd_99_assign_proc : process(img_data_stream_0_V_empty_n, img_data_stream_1_V_empty_n, img_data_stream_2_V_empty_n, exitcond4_reg_281) begin ap_sig_bdd_99 <= (((img_data_stream_0_V_empty_n = ap_const_logic_0) and (exitcond4_reg_281 = ap_const_lv1_0)) or ((exitcond4_reg_281 = ap_const_lv1_0) and (img_data_stream_1_V_empty_n = ap_const_logic_0)) or ((exitcond4_reg_281 = ap_const_lv1_0) and (img_data_stream_2_V_empty_n = ap_const_logic_0))); end process; -- ap_sig_cseq_ST_pp0_stg0_fsm_2 assign process. -- ap_sig_cseq_ST_pp0_stg0_fsm_2_assign_proc : process(ap_sig_bdd_85) begin if (ap_sig_bdd_85) then ap_sig_cseq_ST_pp0_stg0_fsm_2 <= ap_const_logic_1; else ap_sig_cseq_ST_pp0_stg0_fsm_2 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st1_fsm_0 assign process. -- ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_23) begin if (ap_sig_bdd_23) then ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1; else ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st2_fsm_1 assign process. -- ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_74) begin if (ap_sig_bdd_74) then ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1; else ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st5_fsm_3 assign process. -- ap_sig_cseq_ST_st5_fsm_3_assign_proc : process(ap_sig_bdd_130) begin if (ap_sig_bdd_130) then ap_sig_cseq_ST_st5_fsm_3 <= ap_const_logic_1; else ap_sig_cseq_ST_st5_fsm_3 <= ap_const_logic_0; end if; end process; -- ap_sig_ioackin_OUTPUT_STREAM_TREADY assign process. -- ap_sig_ioackin_OUTPUT_STREAM_TREADY_assign_proc : process(OUTPUT_STREAM_TREADY, ap_reg_ioackin_OUTPUT_STREAM_TREADY) begin if ((ap_const_logic_0 = ap_reg_ioackin_OUTPUT_STREAM_TREADY)) then ap_sig_ioackin_OUTPUT_STREAM_TREADY <= OUTPUT_STREAM_TREADY; else ap_sig_ioackin_OUTPUT_STREAM_TREADY <= ap_const_logic_1; end if; end process; axi_last_V_fu_223_p2 <= "1" when (tmp_cast_38_fu_219_p1 = op2_assign_reg_267) else "0"; exitcond3_fu_197_p2 <= "1" when (p_s_reg_159 = img_rows_V_read) else "0"; exitcond4_fu_208_p2 <= "1" when (p_3_reg_170 = img_cols_V_read) else "0"; i_V_fu_202_p2 <= std_logic_vector(unsigned(p_s_reg_159) + unsigned(ap_const_lv12_1)); -- img_data_stream_0_V_read assign process. -- img_data_stream_0_V_read_assign_proc : process(exitcond4_reg_281, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_99, ap_sig_ioackin_OUTPUT_STREAM_TREADY, ap_reg_ppiten_pp0_it1) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))))) then img_data_stream_0_V_read <= ap_const_logic_1; else img_data_stream_0_V_read <= ap_const_logic_0; end if; end process; -- img_data_stream_1_V_read assign process. -- img_data_stream_1_V_read_assign_proc : process(exitcond4_reg_281, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_99, ap_sig_ioackin_OUTPUT_STREAM_TREADY, ap_reg_ppiten_pp0_it1) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))))) then img_data_stream_1_V_read <= ap_const_logic_1; else img_data_stream_1_V_read <= ap_const_logic_0; end if; end process; -- img_data_stream_2_V_read assign process. -- img_data_stream_2_V_read_assign_proc : process(exitcond4_reg_281, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_99, ap_sig_ioackin_OUTPUT_STREAM_TREADY, ap_reg_ppiten_pp0_it1) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_99 or ((exitcond4_reg_281 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_OUTPUT_STREAM_TREADY))) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))))) then img_data_stream_2_V_read <= ap_const_logic_1; else img_data_stream_2_V_read <= ap_const_logic_0; end if; end process; j_V_fu_213_p2 <= std_logic_vector(unsigned(p_3_reg_170) + unsigned(ap_const_lv12_1)); op2_assign_fu_186_p2 <= std_logic_vector(unsigned(tmp_cast_fu_182_p1) + unsigned(ap_const_lv13_1FFF)); tmp_cast_38_fu_219_p1 <= std_logic_vector(resize(unsigned(p_3_reg_170),13)); tmp_cast_fu_182_p1 <= std_logic_vector(resize(unsigned(img_cols_V_read),13)); end behav;
gpl-3.0
66663b5053a32566cee3dfee55153ed0
0.592093
2.795067
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/usb/grusb.vhd
1
24,317
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Package: grusb -- File: grusb.vhd -- Author: Marko Isomaki, Jonas Ekergarn -- Description: Package for GRUSBHC, GRUSBDC, and GRUSB_DCL ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; use grlib.amba.all; library techmap; use techmap.gencomp.all; package grusb is ----------------------------------------------------------------------------- -- USB in/out types ----------------------------------------------------------------------------- type grusb_in_type is record datain : std_logic_vector(15 downto 0); rxactive : std_ulogic; rxvalid : std_ulogic; rxvalidh : std_ulogic; rxerror : std_ulogic; txready : std_ulogic; linestate : std_logic_vector(1 downto 0); nxt : std_ulogic; dir : std_ulogic; vbusvalid : std_ulogic; hostdisconnect : std_ulogic; functesten : std_ulogic; urstdrive : std_ulogic; end record; constant grusb_in_none : grusb_in_type := ((others => '0'), '0', '0', '0', '0', '0', (others => '0'), '0', '0', '0', '0', '0', '0'); type grusb_out_type is record dataout : std_logic_vector(15 downto 0); txvalid : std_ulogic; txvalidh : std_ulogic; opmode : std_logic_vector(1 downto 0); xcvrselect : std_logic_vector(1 downto 0); termselect : std_ulogic; suspendm : std_ulogic; reset : std_ulogic; stp : std_ulogic; oen : std_ulogic; databus16_8 : std_ulogic; dppulldown : std_ulogic; dmpulldown : std_ulogic; idpullup : std_ulogic; drvvbus : std_ulogic; dischrgvbus : std_ulogic; chrgvbus : std_ulogic; txbitstuffenable : std_ulogic; txbitstuffenableh : std_ulogic; fslsserialmode : std_ulogic; tx_enable_n : std_ulogic; tx_dat : std_ulogic; tx_se0 : std_ulogic; end record; constant grusb_out_none : grusb_out_type := ((others => '0'), '0', '0', (others => '0'), (others => '0'), '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0'); type grusb_in_vector is array (natural range <>) of grusb_in_type; type grusb_out_vector is array (natural range <>) of grusb_out_type; ----------------------------------------------------------------------------- -- Component declarations ----------------------------------------------------------------------------- component grusbhc is generic ( ehchindex : integer range 0 to NAHBMST-1 := 0; ehcpindex : integer range 0 to NAPBSLV-1 := 0; ehcpaddr : integer range 0 to 16#FFF# := 0; ehcpirq : integer range 0 to NAHBIRQ-1 := 0; ehcpmask : integer range 0 to 16#FFF# := 16#FFF#; uhchindex : integer range 0 to NAHBMST-1 := 0; uhchsindex : integer range 0 to NAHBSLV-1 := 0; uhchaddr : integer range 0 to 16#FFF# := 0; uhchmask : integer range 0 to 16#FFF# := 16#FFF#; uhchirq : integer range 0 to NAHBIRQ-1 := 0; tech : integer range 0 to NTECH := DEFFABTECH; memtech : integer range 0 to NTECH := DEFMEMTECH; nports : integer range 1 to 15 := 1; ehcgen : integer range 0 to 1 := 1; uhcgen : integer range 0 to 1 := 1; n_cc : integer range 1 to 15 := 1; n_pcc : integer range 1 to 15 := 1; prr : integer range 0 to 1 := 0; portroute1 : integer := 0; portroute2 : integer := 0; endian_conv : integer range 0 to 1 := 1; be_regs : integer range 0 to 1 := 0; be_desc : integer range 0 to 1 := 0; uhcblo : integer range 0 to 255 := 2; bwrd : integer range 1 to 256 := 16; utm_type : integer range 0 to 2 := 2; vbusconf : integer := 3; netlist : integer range 0 to 1 := 0; ramtest : integer range 0 to 1 := 0; urst_time : integer := 0; oepol : integer range 0 to 1 := 0; scantest : integer range 0 to 1 := 0; memsel : integer := 0; syncprst : integer range 0 to 1 := 0; sysfreq : integer := 65000; pcidev : integer range 0 to 1 := 0; debug : integer := 0; debugsize : integer := 8192); port ( clk : in std_ulogic; uclk : in std_ulogic; rst : in std_ulogic; apbi : in apb_slv_in_type; ehc_apbo : out apb_slv_out_type; ahbmi : in ahb_mst_in_type; ahbsi : in ahb_slv_in_type; ehc_ahbmo : out ahb_mst_out_type; uhc_ahbmo : out ahb_mst_out_vector_type(n_cc*uhcgen downto 1*uhcgen); uhc_ahbso : out ahb_slv_out_vector_type(n_cc*uhcgen downto 1*uhcgen); o : out grusb_out_vector((nports-1) downto 0); i : in grusb_in_vector((nports-1) downto 0)); end component; component grusbdc is generic ( hsindex : integer range 0 to NAHBSLV-1 := 0; hirq : integer range 0 to NAHBIRQ-1 := 0; haddr : integer := 0; hmask : integer := 16#FFF#; hmindex : integer range 0 to NAHBMST-1 := 0; aiface : integer range 0 to 1 := 0; memtech : integer range 0 to NTECH := DEFMEMTECH; uiface : integer range 0 to 1 := 0; dwidth : integer range 8 to 16 := 8; blen : integer range 4 to 128 := 16; nepi : integer range 1 to 16 := 1; nepo : integer range 1 to 16 := 1; i0 : integer range 8 to 3072 := 1024; i1 : integer range 8 to 3072 := 1024; i2 : integer range 8 to 3072 := 1024; i3 : integer range 8 to 3072 := 1024; i4 : integer range 8 to 3072 := 1024; i5 : integer range 8 to 3072 := 1024; i6 : integer range 8 to 3072 := 1024; i7 : integer range 8 to 3072 := 1024; i8 : integer range 8 to 3072 := 1024; i9 : integer range 8 to 3072 := 1024; i10 : integer range 8 to 3072 := 1024; i11 : integer range 8 to 3072 := 1024; i12 : integer range 8 to 3072 := 1024; i13 : integer range 8 to 3072 := 1024; i14 : integer range 8 to 3072 := 1024; i15 : integer range 8 to 3072 := 1024; o0 : integer range 8 to 3072 := 1024; o1 : integer range 8 to 3072 := 1024; o2 : integer range 8 to 3072 := 1024; o3 : integer range 8 to 3072 := 1024; o4 : integer range 8 to 3072 := 1024; o5 : integer range 8 to 3072 := 1024; o6 : integer range 8 to 3072 := 1024; o7 : integer range 8 to 3072 := 1024; o8 : integer range 8 to 3072 := 1024; o9 : integer range 8 to 3072 := 1024; o10 : integer range 8 to 3072 := 1024; o11 : integer range 8 to 3072 := 1024; o12 : integer range 8 to 3072 := 1024; o13 : integer range 8 to 3072 := 1024; o14 : integer range 8 to 3072 := 1024; o15 : integer range 8 to 3072 := 1024; oepol : integer range 0 to 1 := 0; syncprst : integer range 0 to 1 := 0; prsttime : integer range 0 to 512 := 0; sysfreq : integer := 50000; keepclk : integer range 0 to 1 := 0; sepirq : integer range 0 to 1 := 0; irqi : integer range 0 to NAHBIRQ-1 := 1; irqo : integer range 0 to NAHBIRQ-1 := 2; functesten : integer range 0 to 1 := 0; scantest : integer range 0 to 1 := 0; nsync : integer range 1 to 2 := 1); port ( uclk : in std_ulogic; usbi : in grusb_in_type; usbo : out grusb_out_type; hclk : in std_ulogic; hrst : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type ); end component; component grusb_dcl is generic ( hindex : integer := 0; memtech : integer := DEFMEMTECH; uiface : integer range 0 to 1 := 0; dwidth : integer range 8 to 16 := 8; oepol : integer range 0 to 1 := 0; syncprst : integer range 0 to 1 := 0; prsttime : integer range 0 to 512 := 0; sysfreq : integer := 50000; keepclk : integer range 0 to 1 := 0; functesten : integer range 0 to 1 := 0; burstlength: integer range 1 to 512 := 8; scantest : integer range 0 to 1 := 0; nsync : integer range 1 to 2 := 1 ); port ( uclk : in std_ulogic; usbi : in grusb_in_type; usbo : out grusb_out_type; hclk : in std_ulogic; hrst : in std_ulogic; ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type ); end component grusb_dcl; component grusbhc_gen is generic ( tech : integer := 0; memtech : integer := 0; nports : integer range 1 to 15 := 1; ehcgen : integer range 0 to 1 := 1; uhcgen : integer range 0 to 1 := 1; n_cc : integer range 1 to 15 := 1; n_pcc : integer range 1 to 15 := 1; prr : integer range 0 to 1 := 0; portroute1 : integer := 0; portroute2 : integer := 0; endian_conv : integer range 0 to 1 := 1; be_regs : integer range 0 to 1 := 0; be_desc : integer range 0 to 1 := 0; uhcblo : integer range 0 to 255 := 2; bwrd : integer range 1 to 256 := 16; utm_type : integer range 0 to 2 := 2; vbusconf : integer := 3; netlist : integer range 0 to 1 := 0; ramtest : integer range 0 to 1 := 0; urst_time : integer := 0; oepol : integer range 0 to 1 := 0; scantest : integer range 0 to 1 := 0; memsel : integer := 0; syncprst : integer range 0 to 1 := 0; sysfreq : integer := 65000; pcidev : integer range 0 to 1 := 0; debug : integer := 0; debugsize : integer := 8192); port ( clk : in std_ulogic; uclk : in std_ulogic; rst : in std_ulogic; -- EHC APB slave input signals ehc_apbsi_psel : in std_ulogic; ehc_apbsi_penable : in std_ulogic; ehc_apbsi_paddr : in std_logic_vector(31 downto 0); ehc_apbsi_pwrite : in std_ulogic; ehc_apbsi_pwdata : in std_logic_vector(31 downto 0); -- EHC APB slave output signals ehc_apbso_prdata : out std_logic_vector(31 downto 0); ehc_irq : out std_ulogic; -- EHC/UHC(s) AHB master input signals ahbmi_hgrant : in std_logic_vector(n_cc*uhcgen downto 0); ahbmi_hready : in std_ulogic; ahbmi_hresp : in std_logic_vector(1 downto 0); ahbmi_hrdata : in std_logic_vector(31 downto 0); -- UHC(s) AHB slave input signals uhc_ahbsi_hsel : in std_logic_vector((n_cc-1)*uhcgen downto 0); uhc_ahbsi_haddr : in std_logic_vector(31 downto 0); uhc_ahbsi_hwrite : in std_ulogic; uhc_ahbsi_htrans : in std_logic_vector(1 downto 0); uhc_ahbsi_hsize : in std_logic_vector(2 downto 0); uhc_ahbsi_hwdata : in std_logic_vector(31 downto 0); uhc_ahbsi_hready : in std_ulogic; -- EHC AHB master output signals ehc_ahbmo_hbusreq : out std_ulogic; ehc_ahbmo_hlock : out std_ulogic; ehc_ahbmo_htrans : out std_logic_vector(1 downto 0); ehc_ahbmo_haddr : out std_logic_vector(31 downto 0); ehc_ahbmo_hwrite : out std_ulogic; ehc_ahbmo_hsize : out std_logic_vector(2 downto 0); ehc_ahbmo_hburst : out std_logic_vector(2 downto 0); ehc_ahbmo_hprot : out std_logic_vector(3 downto 0); ehc_ahbmo_hwdata : out std_logic_vector(31 downto 0); -- UHC(s) AHB master output signals uhc_ahbmo_hbusreq : out std_logic_vector((n_cc-1)*uhcgen downto 0); uhc_ahbmo_hlock : out std_logic_vector((n_cc-1)*uhcgen downto 0); uhc_ahbmo_htrans : out std_logic_vector(((n_cc*2)-1)*uhcgen downto 0); uhc_ahbmo_haddr : out std_logic_vector(((n_cc*32)-1)*uhcgen downto 0); uhc_ahbmo_hwrite : out std_logic_vector((n_cc-1)*uhcgen downto 0); uhc_ahbmo_hsize : out std_logic_vector(((n_cc*3)-1)*uhcgen downto 0); uhc_ahbmo_hburst : out std_logic_vector(((n_cc*3)-1)*uhcgen downto 0); uhc_ahbmo_hprot : out std_logic_vector(((n_cc*4)-1)*uhcgen downto 0); uhc_ahbmo_hwdata : out std_logic_vector(((n_cc*32)-1)*uhcgen downto 0); -- UHC(s) AHB slave output signals uhc_ahbso_hready : out std_logic_vector((n_cc-1)*uhcgen downto 0); uhc_ahbso_hresp : out std_logic_vector(((n_cc*2)-1)*uhcgen downto 0); uhc_ahbso_hrdata : out std_logic_vector(((n_cc*32)-1)*uhcgen downto 0); uhc_ahbso_hsplit : out std_logic_vector(((n_cc*NAHBMST)-1)*uhcgen downto 0); uhc_irq : out std_logic_vector((n_cc-1)*uhcgen downto 0); -- ULPI/UTMI+ output signals xcvrselect : out std_logic_vector(((nports*2)-1) downto 0); termselect : out std_logic_vector((nports-1) downto 0); opmode : out std_logic_vector(((nports*2)-1) downto 0); txvalid : out std_logic_vector((nports-1) downto 0); drvvbus : out std_logic_vector((nports-1) downto 0); dataout : out std_logic_vector(((nports*16)-1) downto 0); txvalidh : out std_logic_vector((nports-1) downto 0); stp : out std_logic_vector((nports-1) downto 0); reset : out std_logic_vector((nports-1) downto 0); oen : out std_logic_vector((nports-1) downto 0); suspendm : out std_ulogic; databus16_8 : out std_ulogic; dppulldown : out std_ulogic; dmpulldown : out std_ulogic; idpullup : out std_ulogic; dischrgvbus : out std_ulogic; chrgvbus : out std_ulogic; txbitstuffenable : out std_ulogic; txbitstuffenableh : out std_ulogic; fslsserialmode : out std_ulogic; tx_enable_n : out std_ulogic; tx_dat : out std_ulogic; tx_se0 : out std_ulogic; -- ULPI/UTMI+ input signals linestate : in std_logic_vector(((nports*2)-1) downto 0); txready : in std_logic_vector((nports-1) downto 0); rxvalid : in std_logic_vector((nports-1) downto 0); rxactive : in std_logic_vector((nports-1) downto 0); rxerror : in std_logic_vector((nports-1) downto 0); vbusvalid : in std_logic_vector((nports-1) downto 0); datain : in std_logic_vector(((nports*16)-1) downto 0); rxvalidh : in std_logic_vector((nports-1) downto 0); hostdisconnect : in std_logic_vector((nports-1) downto 0); nxt : in std_logic_vector((nports-1) downto 0); dir : in std_logic_vector((nports-1) downto 0); urstdrive : in std_logic_vector((nports-1) downto 0); -- scan signals testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; component grusbdc_gen is generic ( aiface : integer range 0 to 1 := 0; memtech : integer range 0 to NTECH := DEFMEMTECH; uiface : integer range 0 to 1 := 0; dwidth : integer range 8 to 16 := 8; blen : integer range 4 to 128 := 16; nepi : integer range 1 to 16 := 1; nepo : integer range 1 to 16 := 1; i0 : integer range 8 to 3072 := 1024; i1 : integer range 8 to 3072 := 1024; i2 : integer range 8 to 3072 := 1024; i3 : integer range 8 to 3072 := 1024; i4 : integer range 8 to 3072 := 1024; i5 : integer range 8 to 3072 := 1024; i6 : integer range 8 to 3072 := 1024; i7 : integer range 8 to 3072 := 1024; i8 : integer range 8 to 3072 := 1024; i9 : integer range 8 to 3072 := 1024; i10 : integer range 8 to 3072 := 1024; i11 : integer range 8 to 3072 := 1024; i12 : integer range 8 to 3072 := 1024; i13 : integer range 8 to 3072 := 1024; i14 : integer range 8 to 3072 := 1024; i15 : integer range 8 to 3072 := 1024; o0 : integer range 8 to 3072 := 1024; o1 : integer range 8 to 3072 := 1024; o2 : integer range 8 to 3072 := 1024; o3 : integer range 8 to 3072 := 1024; o4 : integer range 8 to 3072 := 1024; o5 : integer range 8 to 3072 := 1024; o6 : integer range 8 to 3072 := 1024; o7 : integer range 8 to 3072 := 1024; o8 : integer range 8 to 3072 := 1024; o9 : integer range 8 to 3072 := 1024; o10 : integer range 8 to 3072 := 1024; o11 : integer range 8 to 3072 := 1024; o12 : integer range 8 to 3072 := 1024; o13 : integer range 8 to 3072 := 1024; o14 : integer range 8 to 3072 := 1024; o15 : integer range 8 to 3072 := 1024; oepol : integer range 0 to 1 := 0; syncprst : integer range 0 to 1 := 0; prsttime : integer range 0 to 512 := 0; sysfreq : integer := 50000; keepclk : integer range 0 to 1 := 0; sepirq : integer range 0 to 1 := 0; functesten : integer range 0 to 1 := 0; scantest : integer range 0 to 1 := 0; nsync : integer range 1 to 2 := 1); port ( -- usb clock uclk : in std_ulogic; --usb in signals datain : in std_logic_vector(15 downto 0); rxactive : in std_ulogic; rxvalid : in std_ulogic; rxvalidh : in std_ulogic; rxerror : in std_ulogic; txready : in std_ulogic; linestate : in std_logic_vector(1 downto 0); nxt : in std_ulogic; dir : in std_ulogic; vbusvalid : in std_ulogic; urstdrive : in std_ulogic; --usb out signals dataout : out std_logic_vector(15 downto 0); txvalid : out std_ulogic; txvalidh : out std_ulogic; opmode : out std_logic_vector(1 downto 0); xcvrselect : out std_logic_vector(1 downto 0); termselect : out std_ulogic; suspendm : out std_ulogic; reset : out std_ulogic; stp : out std_ulogic; oen : out std_ulogic; databus16_8 : out std_ulogic; dppulldown : out std_ulogic; dmpulldown : out std_ulogic; idpullup : out std_ulogic; drvvbus : out std_ulogic; dischrgvbus : out std_ulogic; chrgvbus : out std_ulogic; txbitstuffenable : out std_ulogic; txbitstuffenableh : out std_ulogic; fslsserialmode : out std_ulogic; tx_enable_n : out std_ulogic; tx_dat : out std_ulogic; tx_se0 : out std_ulogic; -- amba clock/rst hclk : in std_ulogic; hrst : in std_ulogic; --ahb master in signals ahbmi_hgrant : in std_ulogic; ahbmi_hready : in std_ulogic; ahbmi_hresp : in std_logic_vector(1 downto 0); ahbmi_hrdata : in std_logic_vector(31 downto 0); --ahb master out signals ahbmo_hbusreq : out std_ulogic; ahbmo_hlock : out std_ulogic; ahbmo_htrans : out std_logic_vector(1 downto 0); ahbmo_haddr : out std_logic_vector(31 downto 0); ahbmo_hwrite : out std_ulogic; ahbmo_hsize : out std_logic_vector(2 downto 0); ahbmo_hburst : out std_logic_vector(2 downto 0); ahbmo_hprot : out std_logic_vector(3 downto 0); ahbmo_hwdata : out std_logic_vector(31 downto 0); --ahb slave in signals ahbsi_hsel : in std_ulogic; ahbsi_haddr : in std_logic_vector(31 downto 0); ahbsi_hwrite : in std_ulogic; ahbsi_htrans : in std_logic_vector(1 downto 0); ahbsi_hsize : in std_logic_vector(2 downto 0); ahbsi_hburst : in std_logic_vector(2 downto 0); ahbsi_hwdata : in std_logic_vector(31 downto 0); ahbsi_hprot : in std_logic_vector(3 downto 0); ahbsi_hready : in std_ulogic; ahbsi_hmaster : in std_logic_vector(3 downto 0); ahbsi_hmastlock : in std_ulogic; --ahb slave out signals ahbso_hready : out std_ulogic; ahbso_hresp : out std_logic_vector(1 downto 0); ahbso_hrdata : out std_logic_vector(31 downto 0); ahbso_hsplit : out std_logic_vector(NAHBMST-1 downto 0); -- misc irq : out std_logic_vector(2*sepirq downto 0); -- scan signals testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic ); end component; end grusb;
gpl-2.0
20b7e986d3907f94e757a5567e118b43
0.495291
3.828846
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/pci/grpci1/pci_mtf.vhd
1
99,259
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: pci_mtf -- File: pci_mtf.vhd -- Author: Jiri Gaisler - Gaisler Research -- Modified: Alf Vaerneus - Gaisler Research -- Description: PCI master and target interface ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.pci.all; use gaisler.pcilib.all; entity pci_mtf is generic ( memtech : integer := DEFMEMTECH; hmstndx : integer := 0; dmamst : integer := NAHBMST; readpref : integer := 0; abits : integer := 21; dmaabits : integer := 26; fifodepth : integer := 3; -- FIFO depth device_id : integer := 0; -- PCI device ID vendor_id : integer := 0; -- PCI vendor ID master : integer := 1; -- Enable PCI Master hslvndx : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; haddr : integer := 16#F00#; hmask : integer := 16#F00#; ioaddr : integer := 16#000#; irq : integer := 0; irqmask : integer := 0; nsync : integer range 1 to 2 := 2; -- 1 or 2 sync regs between clocks oepol : integer := 0; endian : integer := 0; -- 0 little, 1 big class_code: integer := 16#0B4000#; rev : integer := 0; scanen : integer := 0; syncrst : integer := 0; hostrst : integer := 0); port( rst : in std_logic; clk : in std_logic; pciclk : in std_logic; pcii : in pci_in_type; pcio : out pci_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type ); attribute sync_set_reset of rst : signal is "true"; end; architecture rtl of pci_mtf is function byte_twist(di : in std_logic_vector(31 downto 0); enable : in std_logic) return std_logic_vector is variable do : std_logic_vector(31 downto 0); begin if enable = '1' then for i in 0 to 3 loop do(31-i*8 downto 24-i*8) := di(31-(3-i)*8 downto 24-(3-i)*8); end loop; else do := di; end if; return do; end function; function nr_of_1(di : in integer) return integer is variable vec : unsigned(31 downto 0); variable ones : integer; begin ones := 0; vec := to_unsigned(di,32); for i in 0 to 31 loop if vec(i) = '1' then ones := ones + 1; end if; end loop; return ones; end function; constant REVISION : amba_version_type := rev; constant CSYNC : integer := nsync-1; constant HADDR_WIDTH : integer := 28; constant MADDR_WIDTH : integer := abits; constant DMAMADDR_WIDTH : integer := dmaabits; constant FIFO_DEPTH : integer := fifodepth; constant FIFO_FULL : std_logic_vector(FIFO_DEPTH - 2 downto 0) := (others => '1'); constant FIFO_DATA_BITS : integer := 32; -- One valid bit constant NO_CPU_REGS : integer := 6; -- Number of CPU sync registers (pci->ahb) constant NO_PCI_REGS : integer := 6; -- Number of PCI sync registers (ahb->pci) constant HMASK_WIDTH : integer := nr_of_1(hmask); constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_PCIFBRG, 0, REVISION, irq), 1 => apb_iobar(paddr, pmask)); constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_PCIFBRG, 0, REVISION, 0), 4 => ahb_membar(haddr, '0', '0', hmask), 5 => ahb_iobar (ioaddr, 16#E00#), others => zero32); type pci_input_type is record ad : std_logic_vector(31 downto 0); cbe : std_logic_vector(3 downto 0); frame : std_logic; devsel : std_logic; idsel : std_logic; trdy : std_logic; irdy : std_logic; par : std_logic; stop : std_logic; gnt : std_logic; host : std_logic; end record; type pci_fifo_in_type is record ren : std_logic; raddr : std_logic_vector(FIFO_DEPTH - 1 downto 0); wen : std_logic; waddr : std_logic_vector(FIFO_DEPTH - 1 downto 0); wdata : std_logic_vector(FIFO_DATA_BITS - 1 downto 0); end record; type pci_fifo_out_type is record rdata : std_logic_vector(FIFO_DATA_BITS - 1 downto 0); end record; type fifo_type is record side : std_logic; -- Owner access side. Receiver accesses the other side raddr : std_logic_vector(FIFO_DEPTH - 2 downto 0); waddr : std_logic_vector(FIFO_DEPTH - 2 downto 0); end record; type pci_target_state_type is (idle, b_busy, s_data, backoff, turn_ar); type pci_master_state_type is (idle, addr, m_data, turn_ar, s_tar, dr_bus); type pci_master_fifo_state_type is (idle, addr, incr, last1, sync, t_retry, ttermwd, ttermnd, abort, done, wdone); type pci_target_type is record state : pci_target_state_type; cnt : std_logic_vector(2 downto 0); csel : std_logic; -- Configuration chip select msel : std_logic; -- Memory hit barsel : std_logic; -- Memory hit psel : std_logic; -- Page hit addr : std_logic_vector(31 downto 0); laddr : std_logic_vector(31 downto 0); lsize : std_logic_vector(1 downto 0); lcbe : std_logic_vector(3 downto 0); lwrite : std_logic; lburst : std_logic; lmult : std_logic; mult : std_logic; read : std_logic; -- PCI target read burst : std_logic; pending : std_logic; wdel : std_logic; last : std_logic; fifo : fifo_type; trdy_del : std_logic; -- (delay trdy to send last word in fifo) bug fix *** thold : std_logic; -- hold target while last word is transfered thold2 : std_logic; -- hold target while last word is transfered ready_del: std_logic; -- delayed ready detectperr : std_logic_vector(1 downto 0); end record; type pci_master_type is record state : pci_master_state_type; fstate : pci_master_fifo_state_type; cnt : std_logic_vector(2 downto 0); ltim : std_logic_vector(7 downto 0); -- Latency timer request : std_logic; hwrite : std_logic; stop_req : std_logic; last : std_logic; valid : std_logic; split : std_logic; first : std_logic; firstw : std_logic; fifo : fifo_type; rmdone : std_logic; -- bug fix *** stopframe: std_logic; lto : std_logic; -- bug fix latency timer timeout detectperr : std_logic_vector(1 downto 0); end record; type pci_sync_regs is array (0 to NO_PCI_REGS - 1) of std_logic_vector(csync downto 0); type pci_reg_type is record pci : pci_sigs_type; noe_par : std_logic; noe_ad : std_logic; noe_ctrl : std_logic; noe_cbe : std_logic; noe_frame : std_logic; noe_irdy : std_logic; noe_req : std_logic; noe_perr : std_logic; noe_serr : std_logic; m : pci_master_type; t : pci_target_type; comm : pci_config_command_type; -- Command register stat : pci_config_status_type; -- Status register bar0 : std_logic_vector(31 downto MADDR_WIDTH); -- Base Address register 0 bar1 : std_logic_vector(31 downto DMAMADDR_WIDTH); -- Base Address register 1 bar0_conf : std_logic; bar1_conf : std_logic; page : std_logic_vector(31 downto MADDR_WIDTH-1); -- AHB page bt_enable : std_logic; -- Byte twist enable, page0 bit 0 ltim : std_logic_vector(7 downto 0); -- Latency timer cline : std_logic_vector(7 downto 0); -- Cache Line Size intline : std_logic_vector(7 downto 0); -- Interrupt Line syncs : pci_sync_regs; trans : std_logic_vector(NO_CPU_REGS - 1 downto 0); end record; type cpu_master_state_type is (idle, cbe_prepare, write, read_w, read, stop); type cpu_slave_state_type is (idle, w_wait, t_data, r_hold, r_wait, w_done, t_done); type cpu_master_type is record state : cpu_master_state_type; -- AMBA master state machine dmaddr : std_logic_vector(31 downto 0); fifo : fifo_type; cbe_fifo : fifo_type; cur_cbe : std_logic_vector(3 downto 0); cbe_prep_cnt : std_ulogic; read_half : std_logic; last_side_wr : std_ulogic; end record; type cpu_slave_type is record state : cpu_slave_state_type; -- AMBA slave state machine maddr : std_logic_vector(31 downto 0); mdata : std_logic_vector(31 downto 0); be : std_logic_vector(3 downto 0); perror : std_logic; hresp : std_logic_vector(1 downto 0); hready : std_logic; htrans : std_logic_vector(1 downto 0); hmaster : std_logic_vector(3 downto 0); pcicomm : std_logic_vector(3 downto 0); hold : std_logic; fifos_write : std_logic; fifo : fifo_type; last_side : std_logic; hold_retry : std_logic_vector(1 downto 0); -- Used to detect non-burst accesses in r_hold state -- *** end record; type cpu_sync_regs is array (0 to NO_CPU_REGS - 1) of std_logic_vector(csync downto 0); type cpu_reg_type is record m : cpu_master_type; s : cpu_slave_type; syncs : cpu_sync_regs; trans : std_logic_vector(NO_PCI_REGS - 1 downto 0); pciba : std_logic_vector(HMASK_WIDTH-1 downto 0); cfto : std_logic; wcomm : std_logic; rcomm : std_logic; werr : std_logic; clscnt : std_logic_vector(8 downto 0); dmapage : std_logic_vector(31 downto DMAMADDR_WIDTH); -- DMA page ioba : std_logic_vector(15 downto 0); bus_nr : std_logic_vector(3 downto 0); irq : std_logic_vector(9 downto 0); irq_en : std_logic_vector(9 downto 0); pirq : std_logic_vector(0 to 1); end record; signal clk_int : std_logic; signal pr : pci_input_type; signal r, rin : pci_reg_type; signal r2, r2in : cpu_reg_type; signal dmai : pci_ahb_dma_in_type; signal dmao : pci_ahb_dma_out_type; signal fifo1i, fifo2i, fifo3i, fifo4i, cbe_fifoi : pci_fifo_in_type; signal fifo1o, fifo2o, fifo3o, fifo4o, cbe_fifoo : pci_fifo_out_type; signal roe_ad, rioe_ad, ad, adin : std_logic_vector(31 downto 0); signal pcirst : std_logic; signal prrst : std_logic; signal pcirstin : std_logic; --attribute sync_set_reset : string; attribute sync_set_reset of prrst : signal is "true"; attribute async_set_reset : string; attribute async_set_reset of pcirst : signal is "true"; attribute sync_set_reset of pcirst : signal is "true"; attribute syn_preserve : boolean; attribute syn_preserve of roe_ad : signal is true; attribute syn_ramstyle : string; attribute syn_ramstyle of ad : signal is "registers"; attribute syn_preserve of ad : signal is true; begin ----------------------------------------------- -- Back-end state machine (AHB clock domain) -- ----------------------------------------------- comb : process (rst, r2, r, dmao, ahbsi, fifo2o, fifo4o, apbi, pr, cbe_fifoo, dmai, pcii) variable vdmai : pci_ahb_dma_in_type; variable v : cpu_reg_type; variable hready : std_logic; variable hresp, hsize : std_logic_vector(1 downto 0); variable p_done, wsdone, wmdone, rtdone, rmdone : std_logic; variable pstart, habort, hstart_ack : std_logic; variable hstart, pabort, pstart_ack, pcidc : std_logic; variable i : integer range 0 to NO_CPU_REGS; variable fifom_write, fifos_write : std_logic; variable prdata : std_logic_vector(31 downto 0); variable wmvalid, wsvalid, rmvalid, rsvalid, burst_read, hold : std_logic; variable fifors_limit, fifows_limit,fiform_limit, fifowm_limit, fifows_stop : std_logic; variable comp, request, s_read_side, m_read_side : std_logic; variable ahb_access : std_logic; -- *** access control fix variable start, single_access : std_logic; variable next_cbe : std_logic_vector(3 downto 0); variable byteaddr : std_logic_vector(1 downto 0); begin v := r2; vdmai.start := '0'; vdmai.irq := '0'; vdmai.busy := '0'; vdmai.burst := '1'; vdmai.wdata := fifo2o.rdata(31 downto 0); vdmai.write := r.t.lwrite; rmvalid := '1'; wmvalid := '1'; request := '0'; hold := '0'; rsvalid := '1'; wsvalid := '1'; burst_read := '0'; hready := '1'; hresp := HRESP_OKAY; hsize := "10"; fifom_write := '0'; v.s.fifos_write := '0'; comp := '0'; prdata := (others => '0'); v.s.hold := '0'; s_read_side := not r.m.fifo.side; m_read_side := not r.t.fifo.side; ahb_access := '0'; -- *** access control fix -- Synch registers pstart := r2.trans(0); habort := r2.trans(1); hstart_ack := r2.trans(2); -- fifows_limit := r2.trans(3); wsdone := r2.trans(4); wmdone := r2.trans(5); for i in 0 to NO_CPU_REGS - 1 loop v.syncs(i)(csync) := r.trans(i); if csync /= 0 then v.syncs(i)(0) := r2.syncs(i)(csync); end if; end loop; hstart := r2.syncs(0)(0); pabort := r2.syncs(1)(0); pstart_ack := r2.syncs(2)(0); pcidc := r2.syncs(3)(0); rtdone := r2.syncs(4)(0); rmdone := r2.syncs(5)(0); p_done := pstart_ack or pabort; -- Interrupts if irq /= 0 then if to_x01(pcii.host) = '0' then v.irq(3 downto 0) := (not pcii.int); end if; end if; v.irq(9 downto 4) := r.stat.dpe & r.stat.sse & r.stat.rma & r.stat.rta & r.stat.sta & r.stat.dped; apbo.pirq <= (others => '0'); apbo.pirq(irq) <= orv(r2.irq and r2.irq_en); if r2.m.fifo.raddr = FIFO_FULL then fiform_limit := '1'; else fiform_limit := '0'; end if; if r2.m.fifo.waddr = FIFO_FULL then fifowm_limit := '1'; else fifowm_limit := '0'; end if; if r2.s.fifo.raddr = FIFO_FULL then fifors_limit := '1'; else fifors_limit := '0'; end if; if r2.s.fifo.waddr = FIFO_FULL then fifows_limit := '1'; else fifows_limit := '0'; end if; if r2.s.fifo.waddr(FIFO_DEPTH - 2 downto 1) = FIFO_FULL(FIFO_DEPTH - 2 downto 1) then fifows_stop := '1'; else fifows_stop := '0'; end if; ----------------------------------- ---- APB Control & Status regs ---- ----------------------------------- if (apbi.psel(pindex) and apbi.penable) = '1' then case apbi.paddr(4 downto 2) is when "000" => if apbi.pwrite = '1' then v.pciba := apbi.pwdata(31 downto 31-HMASK_WIDTH+1); v.bus_nr := apbi.pwdata(26 downto 23); v.werr := r2.werr and not apbi.pwdata(14); v.wcomm := apbi.pwdata(10) and r.comm.mwie; v.rcomm := apbi.pwdata(9); end if; prdata(31 downto 31-HMASK_WIDTH+1) := r2.pciba; prdata(26 downto 23) := r2.bus_nr; prdata(22 downto 0) := r.ltim & r2.werr & not pr.host & r.comm.msen & r.comm.men & r2.wcomm & r2.rcomm & r2.cfto & r.cline; when "001" => prdata := r.bar0(31 downto MADDR_WIDTH) & addzero(MADDR_WIDTH-1 downto 0); when "010" => prdata := r.page(31 downto MADDR_WIDTH-1) & addzero(MADDR_WIDTH-2 downto 1) & r.bt_enable; when "011" => prdata := r.bar1(31 downto DMAMADDR_WIDTH) & addzero(DMAMADDR_WIDTH-1 downto 0); when "100" => if apbi.pwrite = '1' then v.dmapage(31 downto DMAMADDR_WIDTH) := apbi.pwdata(31 downto DMAMADDR_WIDTH); end if; prdata := r2.dmapage(31 downto DMAMADDR_WIDTH) & addzero(DMAMADDR_WIDTH-1 downto 0); when "101" => if apbi.pwrite = '1' then v.ioba := apbi.pwdata(31 downto 16); end if; prdata := r2.ioba & addzero(15 downto 4) & hstart & hstart_ack & pstart & pstart_ack; when "110" => prdata(1) := r.comm.men; prdata(2) := r.comm.msen; prdata(4) := r.comm.mwie; prdata(6) := r.comm.per; prdata(8) := r.comm.ser; prdata(24) := r.stat.dped; prdata(26) := '1'; prdata(27) := r.stat.sta; prdata(28) := r.stat.rta; prdata(29) := r.stat.rma; prdata(30) := r.stat.sse; prdata(31) := r.stat.dpe; when "111" => if apbi.pwrite = '1' then v.irq_en := apbi.pwdata(25 downto 16); end if; prdata(31 downto 26) := (others => '0'); prdata(25 downto 16) := r2.irq_en; prdata(15 downto 10) := (others => '0'); prdata(9 downto 0) := r2.irq; when others => end case; end if; --------------------- ---- AHB MASTER ---- --------------------- -- Burst control if (r2.m.state = read or r2.m.state = read_w) then if r.t.lmult = '1' then comp := fifowm_limit and r2.m.fifo.side; elsif r.t.lburst = '1' then if r2.clscnt(8) = '1' then comp := '1'; else v.clscnt := r2.clscnt - (dmao.active and dmao.ready); end if; else comp := '1'; end if; else v.clscnt := '0' & (r.cline - '1'); -- set burst counter to cache line size end if; if (rtdone = '1' and (r2.m.fifo.raddr + '1') = r.t.fifo.waddr) then rmvalid := '0'; end if; -- step DMA address if dmao.ready = '1' then v.m.dmaddr(31 downto 2) := r2.m.dmaddr(31 downto 2) + '1'; end if; -- Translate current CBE to hsize and address byteaddr := "00"; if endian = 0 then -- pci is little endian case r2.m.cur_cbe is when "0000" => -- 32 bit access vdmai.size := "10"; byteaddr := "00"; when "1100" => -- 16 bit vdmai.size := "01"; byteaddr := "00"; when "0011" => vdmai.size := "01"; byteaddr := "10"; when "1110" => -- 8 bit vdmai.size := "00"; byteaddr := "00"; when "1101" => vdmai.size := "00"; byteaddr := "01"; when "1011" => vdmai.size := "00"; byteaddr := "10"; when "0111" => vdmai.size := "00"; byteaddr := "11"; when others => vdmai.size := "10"; end case; else -- big endian case r2.m.cur_cbe is when "0000" => -- 32 bit access vdmai.size := "10"; byteaddr := "00"; when "0011" => -- 16 bit vdmai.size := "01"; byteaddr := "00"; when "1100" => vdmai.size := "01"; byteaddr := "10"; when "0111" => -- 8 bit vdmai.size := "00"; byteaddr := "00"; when "1011" => vdmai.size := "00"; byteaddr := "01"; when "1101" => vdmai.size := "00"; byteaddr := "10"; when "1110" => vdmai.size := "00"; byteaddr := "11"; when others => vdmai.size := "10"; end case; end if; vdmai.address := r2.m.dmaddr(31 downto 2) & byteaddr; next_cbe := cbe_fifoo.rdata(3 downto 0); -- AHB master state machine case r2.m.state is when idle => v.m.read_half := '0'; v.m.last_side_wr := '0'; v.m.cur_cbe := (others => '0'); v.m.fifo.waddr := (others => '0'); if hstart = '1' then wmdone := '0'; fifowm_limit := '0'; -- v.m.fifo.waddr := (others => '0'); if r.t.lwrite = '1' then v.m.dmaddr := r.t.laddr; v.m.state := write; v.m.cur_cbe := cbe_fifoo.rdata(3 downto 0); -- burst access if rtdone = '0' or conv_integer(r.t.fifo.waddr) /= 1 then v.m.cbe_fifo.raddr := r2.m.cbe_fifo.raddr + 1; v.m.state := cbe_prepare; v.m.cbe_prep_cnt := '1'; end if; -- vdmai.busy := '1'; -- if rmvalid = '1' then v.m.state := write; -- else vdmai.start := '0'; v.m.state := stop; end if; else --vdmai.start := '1'; v.m.state := read_w; v.m.dmaddr := r.t.laddr; end if; -- Latching dmaddr is now only done when hstart = 1 [nisse] else --v.m.dmaddr := r.t.laddr; end if; when cbe_prepare => v.m.cur_cbe := next_cbe; -- Need to wait for correct cycle to sample next -- cbe if we have switched FIFO side. if r2.m.cbe_prep_cnt = '1' then v.m.state := write; else v.m.cbe_prep_cnt := '1'; end if; when write => start := '0'; --if fiform_limit = '1' then --if fiform_limit = '1' and dmao.start = '1' then -- 1k bug fix (store last word in first -- v.m.read_half := '1'; -- fifo half if addr = 0x400 ...) --end if; --if fiform_limit = '1' and dmao.start = '1' and dmao.ready = '1' then -- 1k bug fix (store last word in first -- Need to check dmao active and ready to handle retry/split on last word (check dmao start instead of active result in lockup if waitstates on AHB) if fiform_limit = '1' and dmao.active = '1' and dmao.ready = '1' then -- 1k bug fix (store last word in first v.m.read_half := '1'; -- fifo half if addr = 0x400 ...) end if; -- Don't start again until PCI side is done filling second half of fifo (bug fix kc) if r2.m.read_half = '1' then if rtdone = '1' then start := ((rmvalid and not fiform_limit) or (not dmao.active and not rmvalid)); end if; else -- vdmai.start := ((rmvalid and not fiform_limit) or (not dmao.active and not rmvalid)); -- 1k bug fix (store last word in first fifo half if addr = 0x400 ...) start := ((rmvalid and not v.m.read_half) or (not dmao.active and not rmvalid)); end if; if (fiform_limit and dmao.active) = '1' then start := '0'; end if; -- [nisse] -- Burst CBE handling if rtdone = '0' or conv_integer(r.t.fifo.waddr) /= 1 then -- Current or access is subword. Must be forced to single access if r2.m.cur_cbe /= "0000" then vdmai.burst := '0'; if dmao.active = '1' then start := '0'; end if; end if; -- Next access is subword. Make current access last in burst if rmvalid = '1' and next_cbe /= "0000" then if dmao.active = '1' then start := '0'; end if; end if; end if; vdmai.start := start; -- End of data phase for access with cur_cbe if (dmao.active and dmao.ready) = '1' then v.m.fifo.raddr := r2.m.fifo.raddr + (rmvalid and not fiform_limit and not dmao.mexc); v.m.cbe_fifo.raddr := r2.m.cbe_fifo.raddr + (rmvalid and not fiform_limit and not dmao.mexc); v.m.last_side_wr := m_read_side; -- First half of FIFO if v.m.read_half = '0' then v.m.cur_cbe := next_cbe; -- FIFO side switch elsif r2.m.read_half = '0' then v.m.cbe_prep_cnt := '0'; v.m.state := cbe_prepare; elsif v.m.last_side_wr = '0' then v.m.cbe_prep_cnt := '0'; v.m.state := cbe_prepare; -- Second side of FIFO else v.m.cur_cbe := next_cbe; end if; if (dmao.mexc = '1' or rmvalid = '0') then habort := dmao.mexc and not r.t.lwrite; v.werr := r2.werr or (dmao.mexc and r.t.lwrite); v.m.state := stop; end if; end if; when read_w => vdmai.start := not (comp and dmao.active); if dmao.mexc = '1' then habort := not r.t.lwrite; v.werr := '1'; v.m.state := stop; elsif dmao.ready = '1' then fifom_write := '1'; wmvalid := not (comp or dmao.mexc); if comp = '1' then v.m.state := stop; v.m.fifo.waddr := r2.m.fifo.waddr + '1'; else v.m.fifo.waddr := r2.m.fifo.waddr + (not fifowm_limit); v.m.state := read; end if; end if; when read => vdmai.start := not (comp and dmao.active); fifom_write := dmao.ready; wmvalid := not (comp or dmao.mexc); -- if ((comp and dmao.ready) or dmao.retry) = '1' then if (comp and dmao.ready) = '1' then v.m.state := stop; v.m.fifo.waddr := r2.m.fifo.waddr + '1'; elsif (dmao.active and dmao.ready) = '1' then v.m.fifo.waddr := r2.m.fifo.waddr + (not dmao.mexc and not fifowm_limit); if dmao.mexc = '1' then habort := not r.t.lwrite; v.werr := r2.werr or r.t.lwrite; v.m.state := stop; end if; end if; when stop => if hstart = '0' and ((r.t.lwrite and not fiform_limit) = '1' or wmdone = '1') then v.m.state := idle; hstart_ack := '0'; v.m.fifo.side := '0'; habort := '0'; v.m.fifo.raddr := (others => '0'); v.m.cbe_fifo.raddr := (others => '0'); else comp := '1'; fiform_limit := r.t.lwrite; fifowm_limit := not r.t.lwrite; end if; end case; -- FIFO control if fifowm_limit = '1' then -- if (((r2.m.fifo.side or hstart_ack or (not hstart)) = '0' and not (dmao.active and not dmao.ready) = '1') if (((r2.m.fifo.side or hstart_ack or (not hstart)) = '0' and (dmao.ready or comp) = '1') or ((hstart_ack and not hstart) = '1' and v.m.state = stop)) then if v.m.state = stop then wmdone := '1'; else v.m.fifo.waddr := (others => '0'); end if; hstart_ack := '1'; v.m.fifo.side := not r2.m.fifo.side; end if; elsif fiform_limit = '1' then -- if dmao.active = '0' then if dmao.active = '0' and dmai.start = '0' then -- 1k bug fix *** m_read_side := '1'; hstart_ack := '1'; -- v.m.fifo.raddr := (others => hstart); v.m.fifo.raddr := (others => '0'); -- 1k bug fix *** v.m.cbe_fifo.raddr := conv_std_logic_vector(1, FIFO_DEPTH-1); end if; end if; ----------------------- --- AHB MASTER END ---- ----------------------- ------------------- ---- AHB SLAVE ---- ------------------- -- if MASTER = 1 then -- Access decode if (ahbsi.hready and ahbsi.hsel(hslvndx)) = '1' then if (ahbsi.hmbsel(0) or ahbsi.hmbsel(1)) = '1' then hsize := ahbsi.hsize(1 downto 0); v.s.htrans := ahbsi.htrans; --if (v.s.htrans(1) and r.comm.msen) = '1' then request := '1'; end if; if (v.s.htrans(1) and r.comm.msen) = '1' then -- fix access control *** ahb_access := '1'; --if (r2.s.state /= r_wait and r2.s.state /= r_hold) or r2.s.hmaster = ahbsi.hmaster then --if (r2.s.state = idle or r2.s.state = t_done) or r2.s.hmaster = ahbsi.hmaster then if (r2.s.state = idle) or r2.s.hmaster = ahbsi.hmaster then request := '1'; end if; end if; end if; end if; -- Access latches if (request = '1' and r2.s.state = idle) then if ahbsi.hmbsel(1) = '1' then if ahbsi.haddr(16) = '1' then -- Configuration cycles v.s.maddr := (others => '0'); if r2.bus_nr = "0000" then -- Type 0 v.s.maddr(conv_integer(ahbsi.haddr(15 downto 11)) + 10) := '1'; v.s.maddr(10 downto 0) := ahbsi.haddr(10 downto 2) & "00"; else -- Type 1 v.s.maddr(19 downto 0) := r2.bus_nr & ahbsi.haddr(15 downto 2) & "01"; end if; v.s.pcicomm := "101" & ahbsi.hwrite; else -- I/O space access v.s.maddr(31 downto 16) := r2.ioba; v.s.maddr(15 downto 0) := ahbsi.haddr(15 downto 0); v.s.pcicomm := "001" & ahbsi.hwrite; end if; else -- Memory space access if conv_integer(ahbsi.hmaster) = dmamst then v.s.maddr := ahbsi.haddr; else v.s.maddr := r2.pciba & ahbsi.haddr(31-HMASK_WIDTH downto 2) & "00"; end if; if ahbsi.hwrite = '1' then v.s.pcicomm := r2.wcomm & "111"; else v.s.pcicomm := ahbsi.hburst(0) & '1' & (r2.rcomm or not ahbsi.hburst(0)) & '0'; end if; end if; -- Decode HSIZE and HADDR if endian = 0 then -- pci is little endian case hsize is when "00" => -- Decode byte enable case ahbsi.haddr(1 downto 0) is when "00" => v.s.be := "1110"; when "01" => v.s.be := "1101"; when "10" => v.s.be := "1011"; when "11" => v.s.be := "0111"; when others => v.s.be := "1111"; end case; when "01" => case ahbsi.haddr(1 downto 0) is when "00" => v.s.be := "1100"; when "10" => v.s.be := "0011"; when others => v.s.be := "1111"; end case; when "10" => v.s.be := "0000"; when others => v.s.be := "1111"; end case; else -- pci is big endian case hsize is when "00" => -- Decode byte enable case ahbsi.haddr(1 downto 0) is when "00" => v.s.be := "0111"; when "01" => v.s.be := "1011"; when "10" => v.s.be := "1101"; when "11" => v.s.be := "1110"; when others => v.s.be := "1111"; end case; when "01" => case ahbsi.haddr(1 downto 0) is when "00" => v.s.be := "0011"; when "10" => v.s.be := "1100"; when others => v.s.be := "1111"; end case; when "10" => v.s.be := "0000"; when others => v.s.be := "1111"; end case; end if; end if; if ((rmdone and not r2.s.pcicomm(0)) = '1' and (r2.s.fifo.raddr + '1' + pcidc) = r.m.fifo.waddr) then rsvalid := '0'; end if; -- FIFO address counters -- if (r2.s.state = t_data or r2.s.state = w_wait) then if (r2.s.state = t_data or r2.s.state = w_wait or -- bug fix *** --(r2.s.state = r_hold and fifors_limit = '0' and ((pstart_ack or pstart) = '0') and request = '1')) then -- (r_hold -> t_data) bug fix *** (r2.s.state = r_hold and fifors_limit = '0' and ((pstart_ack or pstart) = '0') and request = '1' and rmdone = '1')) then -- (r_hold -> t_data) bug fix *** v.s.fifos_write := r2.s.pcicomm(0) and r2.s.htrans(1); v.s.fifo.waddr := r2.s.fifo.waddr + r2.s.fifos_write; v.s.fifo.raddr := r2.s.fifo.raddr + ((ahbsi.htrans(1) and not r2.s.pcicomm(0) and not fifors_limit and rsvalid) or not ahbsi.hready); end if; if pstart_ack = '1' then if pabort = '1' then if (r2.s.pcicomm = CONF_WRITE or r2.s.pcicomm = CONF_READ) then v.cfto := '1'; else v.s.perror := '1'; end if; else v.s.perror := '0'; v.cfto := '0'; end if; end if; -- -- AHB slave state machine case r2.s.state is when idle => v.s.hold_retry := "00"; if request = '1' and p_done = '0' then if ahbsi.hwrite = '1' then v.s.state := w_wait; v.s.fifo.side := '0'; else pstart := '1'; v.s.state := r_wait; end if; v.s.hmaster := ahbsi.hmaster; end if; when w_wait => if ((ahbsi.hready and not ahbsi.htrans(0)) = '1') then v.s.state := w_done; fifows_limit := not wsvalid; else v.s.state := t_data; end if; when t_data => if ahbsi.htrans(1) = '1' then v.s.hold_retry := "00"; end if; burst_read := ahbsi.htrans(1) and not fifors_limit; if (fifows_stop and r2.s.fifos_write) = '1' then if r2.s.fifo.side = '1' then v.s.state := w_done; end if; elsif ((fifors_limit or not rsvalid) = '1' and v.s.htrans(1) = '1') then if (r.m.fifo.side = '0') or (rsvalid = '0') then v.s.state := t_done; --else v.s.state := r_hold; end if; else v.s.state := r_hold; v.s.hold_retry := "00"; end if; -- reset hold_retry *** end if; if ((ahbsi.hready and not ahbsi.htrans(0)) = '1') then if r2.s.pcicomm(0) = '1' then --v.s.state := w_done; wsvalid := '0'; v.s.state := w_done; if ahbsi.htrans /= "00" then wsvalid := '0'; end if; -- fix dont set wsvalid if amba idle else -- (if wsvalid = 0 side is changed before last write v.s.state := t_done; -- to fifo if hrans = 00) wsvalid := '0'; -- Bug fix, must give RETRY here! /KC end if; end if; when r_hold => s_read_side := '1'; if r2.s.hold_retry(1) = '0' then -- only check this once (first access) if ahbsi.htrans = "11" then v.s.hold_retry := "11"; -- Seq Burst access elsif ahbsi.htrans /= "01" then -- if busy, wait to decide v.s.hold_retry := "10"; -- New nonseq or idle end if; end if; if v.s.hold_retry = "10" then v.s.state := t_done; --elsif fifors_limit = '0' and ((pstart_ack or pstart) = '0') and request = '1' and v.s.hold_retry = "11" then elsif rmdone = '1' and fifors_limit = '0' and ((pstart_ack or pstart) = '0') and request = '1' and v.s.hold_retry = "11" then v.s.state := t_data; burst_read := ahbsi.htrans(1) and not fifors_limit; -- bug fix *** else v.s.hold := '1'; end if; --if fifors_limit = '0' and ((pstart_ack or pstart) = '0') and request = '1' then -- --if rmdone = '0' then -- bug fix *** -- v.s.state := t_data; -- burst_read := ahbsi.htrans(1) and not fifors_limit; -- bug fix *** -- --else -- -- v.s.state := t_done; -- --end if; --elsif (ahbsi.hready = '1' and ahbsi.htrans = "00" and r2.s.hresp = HRESP_OKAY) then -- (idle -> t_done) bug fix *** -- v.s.state := t_done; --else v.s.hold := '1'; end if; when r_wait => s_read_side := '0'; if (pstart_ack and request) = '1' then v.s.state := t_data; hready := '0'; end if; if r2.s.hmaster /= ahbsi.hmaster and conv_integer(ahbsi.hmaster) = dmamst and pstart_ack = '1' then -- if pcidma cancel read v.s.state := t_done; end if; when w_done => v.s.state := t_done; wsvalid := '0'; -- if (r2.s.htrans(1) or not fifows_limit) = '1' then -- if (r2.s.htrans(1) and fifows_limit) = '1' then v.s.fifo.waddr := r2.s.fifo.waddr + r2.s.fifos_write; -- end if; fifows_limit := '1'; when t_done => wsvalid := '0'; fifors_limit := not r2.s.pcicomm(0); if (pstart or pstart_ack) = '0' then v.s.state := idle; v.s.perror := '0'; v.s.fifo.waddr := (others => '0'); wsdone := '0'; fifows_limit := '0'; v.s.pcicomm := (0 => '1', others => '0'); -- default write else fifows_limit := r2.s.pcicomm(0); end if; end case; -- Respond encoder if v.s.state = t_data or (v.s.state = r_hold and v.s.hold = '0') -- bug fix *** or (v.s.state = t_done and r2.s.state = t_data) -- (end of trans) bug fix *** or (v.s.state = w_wait and ahbsi.hwrite = '1') then if r2.s.perror = '1' then hresp := HRESP_ERROR; elsif wsvalid = '1' then hresp := HRESP_OKAY; else hresp := HRESP_RETRY; end if; v.s.perror := '0'; else hresp := HRESP_RETRY; end if; -- added to provent read from unvalid fifo address if r2.s.state = t_data and rsvalid = '0' and r2.s.hold_retry /= "00" then hresp := HRESP_RETRY; end if; if r.comm.msen = '0' then hresp := HRESP_ERROR; end if; -- Master disabled --if (v.s.htrans(1) and request) = '0' then hresp := HRESP_OKAY; end if; -- Response OK for BUSY and IDLE if (v.s.htrans(1) and ahb_access) = '0' then hresp := HRESP_OKAY; end if; -- Response OK for BUSY and IDLE -- *** access control fix if (hresp /= HRESP_OKAY or hready = '0') then v.s.hready := '0'; else v.s.hready := '1'; end if; -- Dont change hresp during wait states if ahbsi.hready = '0' then hresp := r2.s.hresp; end if; v.s.hresp := hresp; -- FIFO controller if fifows_limit = '1' then if (r2.s.fifos_write or not wsvalid) = '1' and (r2.s.fifo.side = '0' or pstart_ack = '1') then --if wsvalid = '0' then wsdone := '1'; if wsvalid = '0' or v.s.state = w_done then wsdone := '1'; -- fix set wsdone and pstart at the same time else v.s.fifo.waddr := (others => '0'); end if; pstart := not pstart_ack; v.s.fifo.side := pstart; end if; elsif ((r2.s.state = t_done or r2.s.state = r_hold) and fifors_limit = '1') then if pstart_ack = '1' then pstart := '0'; v.s.fifo.raddr := (others => '0'); else v.s.fifo.raddr := (others => '0'); end if; end if; -- Set last fifo side written so that PCI master knows when to stop if (r2.s.fifos_write = '1') then v.s.last_side := r2.s.fifo.side; end if; -- end if; ----------------------- ---- AHB SLAVE END ---- ----------------------- -- Sync registers v.trans(0) := pstart; v.trans(1) := habort; v.trans(2) := hstart_ack; v.trans(3) := fifows_limit; v.trans(4) := wsdone; v.trans(5) := wmdone; -- input data for write accesses if r2.s.pcicomm(0) = '1' then v.s.mdata := ahbreadword(ahbsi.hwdata); end if; -- output data for read accesses -- if (ahbsi.htrans(1) and not r2.s.hold and not r2.s.pcicomm(0)) = '1' then v.s.mdata := fifo4o.rdata(31 downto 0); end if; if (ahbsi.htrans(1) and not r2.s.pcicomm(0)) = '1' then v.s.mdata := fifo4o.rdata(31 downto 0); end if; -- bug fix *** if rst = '0' then v.s.state := idle; v.m.state := idle; v.s.perror := '0'; v.pciba := (others => '0'); v.trans := (others => '0'); v.m.cbe_fifo.waddr := (others => '0'); v.m.cbe_fifo.raddr := (others => '0'); v.m.fifo.waddr := (others => '0'); v.m.fifo.raddr := (others => '0'); v.s.fifo.waddr := (others => '0'); v.s.fifo.raddr := (others => '0'); v.m.fifo.side := '0'; v.s.fifo.side := '0'; v.wcomm := '0'; v.rcomm := '0'; v.werr := '0'; v.cfto := '0'; v.dmapage := (others => '0'); v.ioba := (others => '0'); v.bus_nr := (others => '0'); v.irq := (others => '0'); v.irq_en := (others => '0'); v.m.cbe_prep_cnt := '0'; end if; apbo.prdata <= prdata; ahbso.hready <= r2.s.hready; ahbso.hresp <= r2.s.hresp; ahbso.hrdata <= ahbdrivedata(byte_twist(r2.s.mdata, r.bt_enable)); ahbso.hindex <= hslvndx; fifo1i.wen <= fifom_write; fifo1i.waddr <= r2.m.fifo.side & r2.m.fifo.waddr; fifo1i.wdata <= dmao.rdata; fifo2i.ren <= '1'; fifo2i.raddr <= m_read_side & (r2.m.fifo.raddr + dmao.ready); fifo3i.wen <= r2.s.fifos_write; fifo3i.waddr <= r2.s.fifo.side & r2.s.fifo.waddr; fifo3i.wdata <= byte_twist(r2.s.mdata, r.bt_enable); fifo4i.ren <= '1'; fifo4i.raddr <= s_read_side & (r2.s.fifo.raddr + burst_read); cbe_fifoi.ren <= '1'; cbe_fifoi.raddr <= m_read_side & (r2.m.cbe_fifo.raddr + dmao.ready); -- read one cycle before data fifo r2in <= v; dmai <= vdmai; end process; ahbso.hconfig <= hconfig when MASTER = 1 else (others => zero32); apbo.pconfig <= pconfig; apbo.pindex <= pindex; ahbso.hsplit <= (others => '0'); ahbso.hirq <= (others => '0'); --------------------------------- -- PCI core (PCI clock domain) -- --------------------------------- pcicomb : process(pr, pcii, r, r2, fifo1o, fifo3o, roe_ad, prrst, ahbmi, pcirstin, ad) variable v : pci_reg_type; variable chit, mhit0, mhit1, phit, hit, hosthit, ready, cwrite, retry : std_logic; variable cdata, cwdata : std_logic_vector(31 downto 0); variable comp : std_logic; -- Last transaction cycle on PCI bus variable mto, tto, term, ben_err, lto : std_logic; variable i : integer range 0 to NO_PCI_REGS; variable tad, mad : std_logic_vector(31 downto 0); variable pstart, habort, hstart_ack, wsdone, wmdone : std_logic; variable hstart, pabort, pstart_ack, pcidc, rtdone, rmdone : std_logic; variable fifort_limit, fifowt_limit, fiform_limit, fifowm_limit, fifowm_stop, t_valid : std_logic; variable d_ready, tabort, backendnr : std_logic; variable m_fifo_write, t_fifo_write, grant : std_logic; variable write_access, memwrite, memread, read_match, m_read_side, t_read_side : std_logic; variable readt_dly : std_logic; -- 1 turnaround cycle variable bus_idle, data_transfer, data_transfer_r, data_phase, targ_d_w_data, targ_abort, m_request : std_logic; variable voe_ad : std_logic_vector(31 downto 0); variable oe_par : std_logic; variable oe_ad : std_logic; variable oe_ctrl : std_logic; variable oe_cbe : std_logic; variable oe_frame : std_logic; variable oe_irdy : std_logic; variable oe_req : std_logic; variable oe_perr : std_logic; variable oe_serr : std_logic; begin -- Process defaults v := r; v.pci.trdy := '1'; v.pci.stop := '1'; v.pci.frame := '1'; v.pci.oe_ad := '1'; v.pci.devsel := '1'; v.pci.oe_frame := '1'; v.pci.irdy := '1'; v.pci.req := '1'; hosthit := '0'; m_request := '0'; v.pci.oe_req := '0'; v.pci.oe_cbe := '1'; v.pci.oe_irdy := '1'; mto := '0'; tto := '0'; v.m.stop_req := '0'; lto := '0'; cdata := (others => '0'); retry := '0'; t_fifo_write := '0'; chit := '0'; phit := '0'; mhit0 := '0'; mhit1 := '0'; tabort := '0'; readt_dly := '0'; m_fifo_write := '0'; voe_ad := roe_ad; tad := r.pci.ad; mad := r.pci.ad; grant := pcii.gnt; d_ready := '0'; m_read_side := not r2.s.fifo.side; t_read_side := not r2.m.fifo.side; v.m.rmdone := '0'; write_access := not r.t.read and not pr.irdy and not pr.trdy; memwrite := r.t.msel and r.t.lwrite and not r.t.read; memread := r.t.msel and not r.t.lwrite and r.t.read; -- Synch registers hstart := r.trans(0); pabort := r.trans(1); pstart_ack := r.trans(2); pcidc := r.trans(3); rtdone := r.trans(4); rmdone := r.trans(5); for i in 0 to NO_PCI_REGS - 1 loop v.syncs(i)(csync) := r2.trans(i); if csync /= 0 then v.syncs(i)(0) := r.syncs(i)(csync); end if; end loop; pstart := r.syncs(0)(0); habort := r.syncs(1)(0); hstart_ack := r.syncs(2)(0); backendnr := r.syncs(3)(0); wsdone := r.syncs(4)(0); wmdone := r.syncs(5)(0); -- FIFO limit detector if r.t.fifo.raddr = FIFO_FULL then fifort_limit := '1'; else fifort_limit := '0'; end if; if r.t.fifo.waddr = FIFO_FULL then fifowt_limit := '1'; else fifowt_limit := '0'; end if; if r.m.fifo.raddr = FIFO_FULL then fiform_limit := '1'; else fiform_limit := '0'; end if; if r.m.fifo.waddr = FIFO_FULL then fifowm_limit := '1'; else fifowm_limit := '0'; end if; if r.m.fifo.waddr(FIFO_DEPTH - 2 downto 1) = FIFO_FULL(FIFO_DEPTH - 2 downto 1) then fifowm_stop := '1'; else fifowm_stop := '0'; end if; -- useful control variables --if (r.t.laddr = r.page & r.t.addr(MADDR_WIDTH-2 downto 0) or r.t.laddr = r2.dmapage & r.t.addr(DMAMADDR_WIDTH-1 downto 0)) if (r.t.laddr(31 downto 2) = r.page & r.t.addr(MADDR_WIDTH-2 downto 2) -- bug fix match if byte access or r.t.laddr(31 downto 2) = r2.dmapage & r.t.addr(DMAMADDR_WIDTH-1 downto 2)) and (r.t.lcbe = pr.cbe) -- bug fix match byte access and (r.t.lburst = r.t.burst) then read_match := r.t.pending; else read_match := r.t.csel or r.t.psel; end if; -- if (pr.cbe = "0000" and r.t.lsize = "10") or (pr.cbe = "1100" and r.t.lsize = "01") or (pr.cbe = "1110" and r.t.lsize = "00") -- pragma translate_off -- or (pr.cbe = "XXXX") -- For simulation purposes -- pragma translate_on -- then ben_err := '0'; else ben_err := '1'; end if; ben_err := '0'; --if r.stat.dpe = '0' then v.stat.dpe := not (r.pci.perr and r.pci.serr); end if; if r.stat.dpe = '0' and (r.m.detectperr(1) = '1' or r.t.detectperr(1) = '1' or r.pci.serr = '0') then v.stat.dpe := not (r.pci.perr and r.pci.serr); end if; ------------------------- ----- PCI TARGET -------- ------------------------- -- Data valid? if ((wmdone and not r.t.lwrite) = '1' and (r.t.fifo.raddr + '1') = r2.m.fifo.waddr) then t_valid := '0'; else t_valid := not fifowt_limit or not r.t.fifo.side; end if; -- Step addresses if (r.t.state = s_data or r.t.state = turn_ar or r.t.state = backoff) then --if (pcii.irdy or r.pci.trdy) = '0' then if (pcii.irdy or r.t.trdy_del) = '0' then v.t.addr := r.t.addr + ((r.t.csel and r.t.read) & "00"); readt_dly := '1'; if r.t.msel = '1' then -- **** ???? **** Is r2.m.fifo.side really synced here ??? *** may need to be changed *** [nisse] v.t.wdel := (fifort_limit and r2.m.fifo.side) or r.t.lwrite; v.t.fifo.raddr := r.t.fifo.raddr + (r.t.read and not fifort_limit and t_valid); end if; end if; if write_access = '1' then v.t.fifo.waddr := r.t.fifo.waddr + (r.t.msel and not r.t.read and not ben_err); t_fifo_write := r.t.msel; v.t.addr := r.t.addr + ((r.t.csel and not r.t.read) & "00"); end if; tabort := habort; else v.t.wdel := '0'; end if; -- signal to hold target while last word is transfered if (fifort_limit and not (pcii.irdy or r.t.trdy_del) and not r.t.thold) = '1' then -- should be r.pci.trdy v.t.thold := '1'; elsif (r.t.thold and not (pcii.irdy or r.t.trdy_del)) = '1' then -- should be r.pci.trdy v.t.thold := '0'; end if; -- Config space read access case r.t.addr(7 downto 2) is when "000000" => -- 0x00, device & vendor id cdata := conv_std_logic_vector(DEVICE_ID, 16) & conv_std_logic_vector(VENDOR_ID, 16); when "000001" => -- 0x04, status & command cdata(1) := r.comm.men; cdata(2) := r.comm.msen; cdata(4) := r.comm.mwie; cdata(6) := r.comm.per; cdata(8) := r.comm.ser; cdata(24) := r.stat.dped; cdata(26) := '1'; cdata(27) := r.stat.sta; cdata(28) := r.stat.rta; cdata(29) := r.stat.rma; cdata(30) := r.stat.sse; cdata(31) := r.stat.dpe; when "000010" => -- 0x08, class code & revision cdata(31 downto 0) := conv_std_logic_vector(CLASS_CODE,24) & conv_std_logic_vector(REV,8) ; when "000011" => -- 0x0C, latency & cacheline size cdata(7 downto 0) := r.cline; cdata(15 downto 8) := r.ltim; when "000100" => -- 0x10, BAR0 cdata(31 downto MADDR_WIDTH) := r.bar0; when "000101" => -- 0x14, BAR1 cdata(31 downto DMAMADDR_WIDTH) := r.bar1; when "001111" => -- 0x3C, Interrupts & Latency timer settings cdata(7 downto 0) := r.intline; -- Interrupt line cdata(8) := '1'; -- Use interrupt pin INTA# if fifodepth < 11 then cdata(fifodepth+13) := '1'; end if; --Define wanted burst period when others => end case; -- Config space write access cwdata := pr.ad; if pr.cbe(3) = '1' then cwdata(31 downto 24) := cdata(31 downto 24); end if; if pr.cbe(2) = '1' then cwdata(23 downto 16) := cdata(23 downto 16); end if; if pr.cbe(1) = '1' then cwdata(15 downto 8) := cdata(15 downto 8); end if; if pr.cbe(0) = '1' then cwdata( 7 downto 0) := cdata( 7 downto 0); end if; if (r.t.csel and write_access) = '1' then case r.t.addr(7 downto 2) is when "000001" => -- 0x04, status & command -- Command register v.comm.men := cwdata(1); if MASTER = 1 then v.comm.msen := cwdata(2); end if; v.comm.mwie := cwdata(4); v.comm.per := cwdata(6); v.comm.ser := cwdata(8); -- Status register, sticky bits v.stat.dped := r.stat.dped and not cwdata(24); v.stat.sta := r.stat.sta and not cwdata(27); v.stat.rta := r.stat.rta and not cwdata(28); v.stat.rma := r.stat.rma and not cwdata(29); v.stat.sse := r.stat.sse and not cwdata(30); v.stat.dpe := r.stat.dpe and not cwdata(31); when "000011" => -- 0x0c, latency & cacheline size if FIFO_DEPTH <= 7 then v.cline(FIFO_DEPTH - 1 downto 0) := cwdata(FIFO_DEPTH - 1 downto 0); else v.cline := cwdata(7 downto 0); end if; v.ltim := cwdata(15 downto 8); when "000100" => -- 0x10, BAR0 v.bar0 := cwdata(31 downto MADDR_WIDTH); if v.bar0 = zero(31 downto MADDR_WIDTH) then v.bar0_conf := '0'; else v.bar0_conf := '1'; end if; when "000101" => -- 0x14, BAR1 v.bar1 := cwdata(31 downto DMAMADDR_WIDTH); if v.bar1 = zero(31 downto DMAMADDR_WIDTH) then v.bar1_conf := '0'; else v.bar1_conf := '1'; end if; when "001111" => -- 0x3C, Interrupts & Latency timer settings v.intline := cwdata(7 downto 0); -- Interrupt line when others => end case; end if; -- Page bar write if (r.t.psel and write_access) = '1' then v.page := pr.ad(31 downto MADDR_WIDTH - 1); v.bt_enable := pr.ad(0); end if; -- Command and address decode case pr.cbe is when CONF_READ | CONF_WRITE => if pr.ad(1 downto 0) = "00" then chit := '1'; end if; if pr.host = '0' then --Active low if pr.ad(31 downto 11) = "000000000000000000000" then hosthit := '1'; end if; end if; when MEM_READ | MEM_WRITE => if pr.ad(31 downto MADDR_WIDTH) = r.bar0 then phit := r.bar0_conf and pr.ad(MADDR_WIDTH - 1); mhit0 := r.bar0_conf and not pr.ad(MADDR_WIDTH - 1); elsif pr.ad(31 downto DMAMADDR_WIDTH) = r.bar1 then mhit1 := r.bar1_conf; end if; when MEM_R_MULT | MEM_R_LINE | MEM_W_INV => if pr.ad(31 downto MADDR_WIDTH - 1) = r.bar0 & '0' then mhit0 := r.bar0_conf; elsif pr.ad(31 downto DMAMADDR_WIDTH) = r.bar1 then mhit1 := r.bar1_conf; end if; when others => phit := '0'; mhit0 := '0'; chit := '0'; mhit1 := '0'; end case; -- SERR, address phase parity error. Treat as non hit. v.pci.serr := '1'; v.pci.oe_serr := '1'; --if pr.frame = '0' then if pr.frame = '0' and (r.t.state = idle or r.t.state = turn_ar) then -- Only signal address parity error on SERR# if ( (pcii.par xor xorv(pr.ad & pr.cbe)) = '1') then v.pci.serr := '0'; chit := '0'; phit := '0'; mhit0 := '0'; mhit1 := '0'; --if r.comm.ser = '1' then if r.comm.ser = '1' and r.comm.per = '1' then -- Address parity error only if "Parity Error Response" and "SERR# enable" is enabled. v.pci.oe_serr := '0'; v.stat.sse := '1'; end if; end if; end if; -- Hit detect hit := r.t.csel or r.t.msel or r.t.psel; if (hstart and r.pci.devsel) = '1' then if (r.t.pending or r.t.lwrite) = '0' then hstart := not hstart_ack; v.t.fifo.raddr := (others => '0'); end if; end if; -- Ready to transfer data if ((r.t.csel and not readt_dly) or r.t.psel) = '1' or ((((memwrite and not r.pci.devsel) = '1') -- Changed to transfer last word (instead of delaying trdy) [nisse] --or (memread = '1' and not (hstart_ack and v.t.wdel) = '1')) and ben_err = '0') or (memread = '1' and not (hstart_ack and r.t.wdel) = '1')) and ben_err = '0') then ready := '1'; else ready := '0'; t_read_side := r.t.read and not hstart; end if; v.t.ready_del := ready; -- Target timeout counter --if (hit and pr.trdy and not (pr.frame and pr.irdy)) = '1' then --if (hit and pr.trdy and not (pr.frame and pr.irdy) and v.t.wdel) = '1' then if (hit and pr.trdy and not (pr.frame and pr.irdy) and not ready) = '1' then if r.t.cnt /= "000" then v.t.cnt := r.t.cnt - 1; else tto := '1'; end if; else v.t.cnt := (0 => '0', others => '1'); end if; -- -- Ready to transfer data -- if ((r.t.csel and not readt_dly) or r.t.psel) = '1' -- or ((((memwrite and not r.pci.devsel) = '1') -- or (memread = '1' and not (hstart_ack and v.t.wdel) = '1')) and ben_err = '0') -- then ready := '1'; else ready := '0'; t_read_side := r.t.read and not hstart; end if; -- Terminate current transaction if (((r.t.fifo.waddr >= (FIFO_FULL - "10") and r.t.fifo.side = '1') or (t_valid = '0') or r.pci.stop = '0') and pcii.frame = '0') or ((r.t.read xor r.t.lwrite) = '0' and r.pci.devsel = '0') or (tto = '1') or (ben_err = '1') then term := '1'; else term := '0'; end if; -- Retry transfer if r.t.state = b_busy then if not ((r.t.read and not r.t.lwrite and hstart_ack and read_match) = '1' or (r.t.read or hstart or hstart_ack) = '0' or ((r.t.csel or r.t.psel) and not hstart and not hstart_ack) = '1') then retry := '1'; end if; end if; -- Target state machine case r.t.state is when idle => v.t.detectperr(0) := '0'; v.t.thold := '0'; v.t.thold2 := '0'; if pr.frame = '0' then v.t.state := b_busy; end if; -- !HIT ? v.t.addr := pr.ad; if readpref = 1 then v.t.burst := '1'; else v.t.burst := pr.cbe(3); end if; v.t.read := not pr.cbe(0); v.t.mult := not pr.cbe(1); v.t.csel := (pr.idsel or hosthit) and chit; v.t.psel := phit; v.t.msel := r.comm.men and (mhit0 or mhit1); v.t.barsel := mhit1; when turn_ar => v.t.detectperr(0) := '0'; if pr.frame = '1' then v.t.state := idle; v.t.fifo.raddr := (others => '0'); -- fix reset fifo read address else v.t.state := b_busy; end if; -- !HIT ? v.t.addr := pr.ad; v.t.wdel := '1'; if readpref = 1 then v.t.burst := '1'; else v.t.burst := pr.cbe(3); end if; v.t.read := not pr.cbe(0); v.t.mult := not pr.cbe(1); v.t.csel := (pr.idsel or hosthit) and chit; v.t.psel := phit; v.t.msel := r.comm.men and (mhit0 or mhit1); v.t.barsel := mhit1; when b_busy => v.t.thold := '0'; v.t.thold2 := '0'; if (pr.frame and pr.irdy) = '1' then v.t.state := idle; elsif hit = '1' then v.t.detectperr(0) := '1'; v.t.state := s_data; v.t.fifo.raddr := r.t.fifo.raddr + (r.t.read and r.t.msel); readt_dly := '1'; if r.t.pending = '0' then v.t.pending := retry and not hstart_ack; end if; end if; -- else v.t.state := backoff; end if; -- We should not go to back off if the access wasn't to us when s_data => if r.t.pending = '1' then v.t.pending := not ((habort or not r.pci.trdy) and read_match); end if; if (pcii.frame = '0' and r.pci.stop ='0' and (r.pci.trdy or not pcii.irdy) = '1') then v.t.state := backoff; if r.t.last = '0' then v.t.last := r.t.msel and r.t.lwrite and v.t.wdel; end if; v.t.fifo.raddr := r.t.fifo.raddr - (r.t.read and r.t.msel and not fifort_limit); -- elsif (pcii.frame = '1' and (r.pci.trdy = '0' or r.pci.stop = '0')) then elsif (pcii.frame = '1' and (r.t.trdy_del = '0' or r.pci.stop = '0')) then -- (send last word in fifo) bug fix *** v.t.state := turn_ar; if r.t.last = '0' then v.t.last := r.t.msel and r.t.lwrite and v.t.wdel; end if; v.t.fifo.raddr := r.t.fifo.raddr - (r.t.read and r.t.msel and not fifort_limit); end if; when backoff => v.t.detectperr(0) := '0'; if pcii.frame = '1' then v.t.state := turn_ar; end if; end case; -- #TRDY assert --if (v.t.state = s_data and habort = '0' and ready = '1' and retry = '0') then v.pci.trdy := '0'; end if; -- Changed to only deassert trdy when irdy is asserted [nisse] if (v.t.state = s_data and habort = '0' and (ready or (pcii.irdy and not r.pci.trdy)) = '1' and retry = '0') then v.pci.trdy := '0'; end if; -- #STOP assert --if (v.t.state = backoff or (v.t.state = s_data and ((tabort or ((term or retry) and not habort)) = '1'))) then -- Changed to only deassert stop when irdy is asserted [nisse] if (v.t.state = backoff or (v.t.state = s_data and ((tabort or (((term and (not pcii.irdy or not r.pci.stop)) or retry) and not habort)) = '1'))) then v.pci.stop := '0'; end if; -- #DEVSEL assert if (((v.t.state = backoff and r.pci.devsel = '0') or v.t.state = s_data) and (read_match and tabort) = '0') then v.pci.devsel := '0'; end if; -- Enable #TRDY, #STOP and #DEVSEL if (v.t.state = s_data) or (v.t.state = backoff) or (v.t.state = turn_ar) then v.pci.oe_ctrl := not hit; else v.pci.oe_ctrl := '1'; end if; -- Signaled target abort if (r.pci.devsel and not (r.pci.stop or r.pci.oe_ctrl)) = '1' then v.stat.sta := '1'; end if; if (fifort_limit and v.t.thold) = '1' then --v.pci.trdy := '0'; elsif (r.t.thold and not v.t.thold) = '1' then --v.pci.trdy := '1'; end if; -- Removed, (ready is delayed instead) [nisse] --if r.t.state = s_data and v.t.state = s_data and r.pci.trdy = '0' -- and v.pci.trdy = '1' and v.t.wdel = '1' and pcii.frame = '0' then -- (send last word in fifo) bug fix *** -- v.t.trdy_del := '0'; --v.pci.trdy := '0'; --v.t.trdy_del := v.pci.trdy; --else v.t.trdy_del := v.pci.trdy; --end if; if r.t.state = s_data and r.pci.trdy = '1' and v.pci.trdy = '0' and pcii.frame = '0' then -- bug fix *** readt_dly := '1'; v.t.fifo.raddr := r.t.fifo.raddr + (r.t.read and not fifort_limit and t_valid); end if; -- Latched signals to AHB backend if (r.t.state = b_busy) then if (hstart or hstart_ack) = '0' then -- must be idle v.t.lwrite := not r.t.read; if r.t.msel = '1' then v.t.lburst := r.t.burst; v.t.lcbe := pr.cbe; if r.t.barsel = '0' then v.t.laddr := r.page & r.t.addr(MADDR_WIDTH-2 downto 2) & "00"; else v.t.laddr := r2.dmapage & r.t.addr(DMAMADDR_WIDTH-1 downto 2) & "00"; end if; v.t.lmult := r.t.mult; rtdone := '0'; v.t.fifo.waddr := (others => '0'); hstart := r.t.read and r.t.msel; end if; end if; end if; -- Read data mux if r.t.csel = '1' then tad := cdata; elsif r.t.psel = '1' then tad(31 downto MADDR_WIDTH-1) := r.page; tad(MADDR_WIDTH-2 downto 0) := zero32(MADDR_WIDTH-2 downto 1) & r.bt_enable; -- elsif (r.t.state = b_busy or (r.pci.trdy or pcii.irdy) = '0') then tad := fifo1o.rdata(31 downto 0); elsif (r.t.state = b_busy or (r.pci.trdy or pcii.irdy) = '0' or r.t.wdel = '1') then tad := byte_twist(fifo1o.rdata(31 downto 0), r.bt_enable); -- bug fix *** end if; -- FIFO controller if ((fifowt_limit and write_access) = '1' or (r.t.last or rtdone) = '1') then if hstart = hstart_ack then if rtdone = '0' then hstart := not hstart_ack; v.t.fifo.side := hstart; end if; if r.t.last = '1' then rtdone := '1'; v.t.last := '0'; else v.t.fifo.waddr := (others => '0'); if rtdone = '1' then rtdone := '0'; hstart := '0'; v.t.fifo.side := '0'; end if; end if; end if; end if; -- Changed to only reset address counter when last word is transfered [nisse] --if (fifort_limit and v.t.wdel) = '1' then -- if hstart_ack = '1' then hstart := '0'; v.t.fifo.raddr := (others => '0'); -- else v.t.fifo.raddr := (others => '0'); end if; --end if; if hstart_ack = '1' and (fifort_limit and r.t.thold and not v.t.thold) = '1' then hstart := '0'; v.t.fifo.raddr := (others => '0'); end if; -- Hold AD if irdy waitstates after fifo switch [nisse] if r.t.state = s_data and pcii.irdy = '1' and r.pci.trdy = '1' and v.pci.trdy = '0' and r.t.thold2 = '0' then v.t.thold2 := '1'; elsif r.t.thold2 = '1' and pcii.irdy = '0' then v.t.thold2 := '0'; end if; ---------------------- --- PCI TARGET END --- ---------------------- ------------------ --- PCI MASTER --- ------------------ if MASTER = 1 then bus_idle := pcii.frame and pcii.irdy; data_transfer := not (pcii.trdy or r.pci.irdy); data_transfer_r := not (pr.trdy or pr.irdy); data_phase := not ((pcii.trdy and pcii.stop) or r.pci.irdy); targ_d_w_data := not (pr.stop or pr.trdy); targ_abort := pr.devsel and not pr.stop; -- Request from AHB backend to start PCI transaction if (pstart and not pstart_ack) = '1' then if (r.m.fstate = idle and r.m.request = '0') then v.m.request := '1'; rmdone := '0'; v.m.valid := '1'; v.m.fifo.waddr := (others => '0'); v.m.hwrite := r2.s.pcicomm(0); end if; end if; -- Master timeout and DEVSEL timeout if ((pr.irdy and not pr.frame) or (pr.devsel and not r.pci.oe_frame)) = '1' then if r.m.cnt /= "000" then v.m.cnt := r.m.cnt - 1; else mto := '1'; end if; else v.m.cnt := (others => '1'); end if; -- Latency counter if r.pci.frame = '0' then if r.m.ltim > "00000000" then v.m.ltim := r.m.ltim - '1'; else lto := '1'; end if; else v.m.ltim := r.ltim; end if; -- Last data case r2.s.pcicomm is when MEM_R_MULT | MEM_R_LINE => if (r.m.fifo.waddr >= (FIFO_FULL - "10") and r.m.fifo.side = '1') then comp := '1'; else comp := '0'; end if; when MEM_WRITE | MEM_W_INV => comp := not r.m.valid; when others => comp := '1'; end case; -- Minimun latency --if lto = '0' then grant := '0'; end if; if lto = '0' then grant := '0'; -- latency timer bug fix elsif pcii.gnt = '1' then v.m.lto := '1'; end if; -- Data parity error detected if (r.m.fstate /= idle and r.stat.dped = '0') then v.stat.dped := r.comm.per and not pcii.perr; end if; -- FIFO control state machine case r.m.fstate is when idle => v.m.lto := '0'; if (r.m.request and bus_idle and not pcii.gnt) = '1' and (r.m.state = idle or r.m.state = dr_bus) then v.m.fstate := addr; v.m.fifo.waddr := (others => '0'); v.m.fifo.side := '0'; m_request := '1'; end if; when addr => -- if (wsdone = '1' and (r.m.fifo.raddr + '1') = r2.s.fifo.waddr) then v.m.valid := '0'; end if; if (wsdone = '1' and ((r.m.fifo.raddr + '1') = r2.s.fifo.waddr) and (m_read_side = r2.s.last_side)) then v.m.valid := '0'; end if; --bug fix kc if fiform_limit = '1' then v.m.fstate := last1; else v.m.fstate := incr; end if; v.m.fifo.raddr := r.m.fifo.raddr + r.m.hwrite; v.m.first := '1'; v.m.firstw := '1'; when incr => d_ready := '1'; if r.m.valid = '0' then v.m.lto := '0'; end if; -- dont look at latency timer if done if data_transfer = '1' then --if fiform_limit = '1' then v.m.fstate := last1; v.m.split := not backendnr; end if; if fiform_limit = '1' and r.m.lto = '0' then v.m.fstate := last1; v.m.split := not backendnr; end if; -- bug fix latency timer -- if (wsdone = '1' and (r.m.fifo.raddr + pcii.stop) = r2.s.fifo.waddr) then v.m.valid := '0'; end if; if (wsdone = '1' and ((r.m.fifo.raddr + pcii.stop) = r2.s.fifo.waddr) and (m_read_side = r2.s.last_side)) then v.m.valid := '0'; end if; --bug fix kc v.m.fifo.raddr := r.m.fifo.raddr + r.m.hwrite; v.m.first := '0'; end if; if data_transfer_r = '1' then if fifowm_stop = '1' then if r.m.firstw = '1' then if (fifowm_limit and pr.stop) = '1' then v.m.fifo.side := not r.m.fifo.side; v.m.firstw := '0'; pstart_ack := pstart; end if; end if; end if; v.m.fifo.waddr := r.m.fifo.waddr + (not r.m.hwrite); end if; if pr.stop = '0' then if targ_abort = '1' then v.m.fstate := abort; elsif targ_d_w_data = '1' then v.m.fstate := ttermwd; elsif r.m.first = '1' then v.m.fstate := t_retry; -- else v.m.fstate := ttermnd; end if; else -- bug fix *** -- if r.m.fifo.waddr = "0000000" then v.m.rmdone := '1'; end if; if r.m.fifo.waddr = zero32(FIFO_DEPTH - 2 downto 0) then v.m.rmdone := '1'; end if; v.m.fstate := ttermnd; end if; elsif mto = '1' then v.m.fstate := abort; --elsif grant = '1' then -- pci_gnt bug fix -- if r.m.hwrite = '0' then rmdone := not r.m.fifo.side; v.m.fifo.side := '1'; v.m.fstate := done; pstart_ack := pstart; -- else v.m.fstate := idle; end if; --elsif (pr.frame and not r.m.first) = '1' then elsif (pr.frame and not pr.trdy and not r.m.first) = '1' then -- not done if target not ready *** bug fix if r.m.hwrite = '0' then rmdone := not r.m.fifo.side; v.m.fifo.side := '1'; v.m.fstate := done; pstart_ack := pstart; --else v.m.fstate := done; pstart_ack := pstart; end if; else if r.m.lto = '1' then -- latency timer bug fix v.m.fifo.raddr := r.m.fifo.raddr - r.m.hwrite; v.m.fstate := idle; else v.m.fstate := done; pstart_ack := pstart; end if; end if; elsif (pr.devsel and not r.m.first) = '1' then if r.m.hwrite = '0' then rmdone := not r.m.fifo.side; v.m.fifo.side := '1'; v.m.fstate := done; pstart_ack := pstart; else v.m.fstate := idle; end if; end if; when last1 => if (pr.trdy and not pr.stop) = '1' then if targ_abort = '1' then v.m.fstate := abort; elsif targ_d_w_data = '1' then v.m.fstate := ttermwd; else v.m.fstate := ttermnd; v.m.valid := '1'; end if; --elsif (pr.frame and not r.m.first and not r.m.split) = '1' then v.m.fstate := done; rmdone := not r.m.fifo.side; pstart_ack := pstart; -- not done if target not ready *** bug fix elsif (pr.frame and not pr.trdy and not r.m.first and not r.m.split) = '1' then v.m.fstate := done; rmdone := not r.m.fifo.side; pstart_ack := pstart; elsif data_transfer = '1' then if r.m.valid = '1' then v.m.fstate := sync; pstart_ack := pstart; else v.m.fstate := done; rmdone := not r.m.fifo.side; pstart_ack := pstart; end if; else d_ready := '1'; end if; when sync => if pstart = not pstart_ack then v.m.split := '0'; if ((r.m.split or (pr.trdy and not pr.stop and not r.m.split)) = '1' or r.m.state /= m_data) then v.m.fstate := idle; d_ready := '1'; else --if (wsdone = '1' and (r.m.fifo.raddr + '1') = r2.s.fifo.waddr) then v.m.valid := '0'; end if; if (r2.trans(4) = '1' and (r.m.fifo.raddr + '1') = r2.s.fifo.waddr) then v.m.valid := '0'; end if; -- not synced wsdone v.m.fstate := incr; data_transfer := '1'; v.m.fifo.raddr := r.m.fifo.raddr + r.m.hwrite; d_ready := '1'; end if; else m_read_side := '1'; end if; when t_retry => v.m.fifo.raddr := r.m.fifo.raddr - r.m.hwrite; v.m.fstate := idle; when ttermwd => if data_transfer = '1' then v.m.fifo.raddr := r.m.fifo.raddr + r.m.hwrite; elsif pr.trdy = '1' then v.m.fifo.raddr := r.m.fifo.raddr - r.m.hwrite; if (r.m.hwrite and r.m.valid) = '1' then v.m.fstate := idle; else v.m.fstate := done; rmdone := not r.m.fifo.side; v.m.fifo.side := '1'; pstart_ack := pstart; end if; end if; when ttermnd => if r.m.hwrite = '1' then v.m.fifo.raddr := r.m.fifo.raddr - '1'; -- if (r.m.fifo.raddr /= (r2.s.fifo.waddr + '1') or wsdone = '0') then v.m.valid := '1'; v.m.fstate := idle; -- bug fix *** if (r.m.fifo.raddr /= (r2.s.fifo.waddr + '1') or wsdone = '0' or r.m.valid = '1') then v.m.valid := '1'; v.m.fstate := idle; else v.m.fstate := done; rmdone := not r.m.fifo.side; v.m.fifo.side := '1'; pstart_ack := pstart; end if; -- else v.m.fstate := done; rmdone := not r.m.fifo.side; v.m.fifo.side := '1'; pstart_ack := pstart; end if; else v.m.fstate := done; rmdone := (not r.m.fifo.side or r.m.rmdone); v.m.fifo.side := '1'; pstart_ack := pstart; end if; -- bug fix *** when abort => v.m.fifo.raddr := (others => '0'); v.m.fifo.waddr := (others => '0'); v.m.fstate := done; pstart_ack := pstart; pabort := '1'; when done => d_ready := '1'; comp := '1'; v.m.request := '0'; if (pstart or pstart_ack) = '0' then v.m.fstate := wdone; v.m.fifo.raddr := (others => '0'); v.m.fifo.side := '0'; rmdone := '1'; else pstart_ack := pstart; end if; when wdone => d_ready := '1'; comp := '1'; if (r.m.state = idle or r.m.state = dr_bus) then v.m.fstate := idle; pabort := '0'; end if; end case; -- PCI master state machine case r.m.state is when idle => -- Master idle v.m.stopframe := '0'; if (pcii.gnt = '0' and bus_idle = '1') then if m_request = '1' then v.m.state := addr; else v.m.state := dr_bus; end if; end if; when addr => -- Always one address cycle at the beginning of an transaction v.m.stopframe := '0'; v.m.state := m_data; when m_data => -- Master transfers data if r.m.hwrite = '0' then v.m.detectperr(0) := '1'; end if; -- Only detect perr on read if r.pci.frame = '1' then v.m.stopframe := '1'; end if; -- *** if (r.pci.frame = '0') or ((r.pci.frame and pcii.trdy and pcii.stop and not mto) = '1') then v.m.state := m_data; if (r.pci.frame and not d_ready) = '1' then d_ready := '1'; end if; elsif ((r.pci.frame and (mto or not pcii.stop)) = '1') then v.m.state := s_tar; v.m.stop_req := '1'; else v.m.state := turn_ar; end if; when turn_ar => -- Transaction complete v.m.detectperr(0) := '0'; if pcii.gnt = '0' then if m_request = '1' then v.m.state := addr; else v.m.state := dr_bus; end if; else v.m.state := idle; end if; when s_tar => -- Stop was asserted v.m.detectperr(0) := '0'; if pcii.gnt = '0' then v.m.state := dr_bus; else v.m.state := idle; end if; when dr_bus => -- Drive bus when parked on this agent if pcii.gnt = '1' then v.m.state := idle; elsif m_request = '1' then v.m.state := addr; end if; end case; -- FIFO write strobe m_fifo_write := not r.m.hwrite and not pr.irdy and not (pr.trdy and (pr.stop or not r.trans(3))) and not r.pci.oe_irdy; -- PCI data mux if v.m.state = addr then if r.m.hwrite = '1' then mad := (r2.s.maddr + ((((not r2.s.fifo.side) & r.m.fifo.raddr)) & "00")); else mad := r2.s.maddr; end if; elsif (r.m.state = addr or data_transfer = '1') then mad := fifo3o.rdata(31 downto 0); end if; -- Target abort if ((pr.devsel and pr.trdy and not pr.gnt and not pr.stop) = '1') then v.stat.rta := '1'; end if; -- Master abort if mto = '1' then v.stat.rma := '1'; end if; -- Drive FRAME# and IRDY# if (v.m.state = addr or v.m.state = m_data) then v.pci.oe_frame := '0'; end if; -- Drive CBE# if (v.m.state = addr or v.m.state = m_data or v.m.state = dr_bus) then v.pci.oe_cbe := '0'; end if; -- Drive IRDY# (FRAME# delayed one pciclk) v.pci.oe_irdy := r.pci.oe_frame; -- FRAME# assert if (v.m.state = addr or (v.m.state = m_data and mto = '0' and v.m.stopframe = '0' -- stopframe fix frame when pci_gnt is deasserted --and ((((pcii.stop or not d_ready) and not (comp or v.m.split or not v.m.valid)) and not grant)) = '1')) -- dont change frame when gnt = 1 if not irdy and trdy or stop and ((((pcii.stop or not d_ready) and not (comp or v.m.split or not v.m.valid)) and not (grant and not pr.irdy and (not pcii.trdy or not pcii.stop) ) )) = '1')) then v.pci.frame := '0'; end if; -- IRDY# assert if (v.m.state = m_data and ((d_ready or mto or (not r.m.valid) or (v.pci.frame and not r.pci.frame)) = '1')) then v.pci.irdy := '0'; end if; -- REQ# assert if ((v.m.request = '1' and (r.m.fstate = idle or comp = '0')) and (v.m.stop_req or r.m.stop_req) = '0') then v.pci.req := '0'; end if; -- C/BE# assert if v.m.state = addr then v.pci.cbe := r2.s.pcicomm; else v.pci.cbe := r2.s.be; end if; end if; --------------------- ---PCI MASTER END --- --------------------- ---------------------- --- SHARED SIGNALS --- ---------------------- v.m.detectperr(1) := r.m.detectperr(0); v.t.detectperr(1) := r.t.detectperr(0); -- Drive PAR one clock after AD v.pci.oe_par := r.pci.oe_ad; v.pci.par := xorv(r.pci.ad & r.pci.cbe); -- Default asserted by master -- PERR error if (r.m.detectperr(0) = '1' or (r.m.detectperr(1) and not r.pci.perr) = '1') -- Drive perr for master:read or (r.t.detectperr(0) = '1' or (r.t.detectperr(1) and not r.pci.perr) = '1') then -- Drive perr for target:write v.pci.oe_perr := not(r.comm.per and r.pci.oe_par and not (pr.irdy and pr.trdy)) and (r.pci.oe_perr or r.pci.perr); else v.pci.oe_perr := (r.pci.oe_perr or r.pci.perr); end if; v.pci.perr := not (pcii.par xor xorv(pr.ad & pr.cbe)) or pr.irdy or pr.trdy; -- Detect parity error v.pci.ad := mad; -- Default asserted by master -- Master drives AD if (v.m.state = addr or (v.m.state = m_data and r.m.hwrite = '1') or v.m.state = dr_bus) then v.pci.oe_ad := '0'; end if; -- Target drives AD if r.t.read = '1' then if v.t.state = s_data then v.pci.oe_ad := '0'; --v.pci.ad := tad; end if; -- Hold AD when master adds waitstates [nisse] if (v.t.thold = '0' or (v.t.trdy_del = '0' and r.t.trdy_del = '0')) and v.t.thold2 = '0' and (pcii.irdy and not r.pci.trdy) = '0' then v.pci.ad := tad; end if; end if; if r.t.state = s_data then v.pci.par := xorv(r.pci.ad & pcii.cbe); end if; end if; adin <= v.pci.ad; v.noe_ad := not v.pci.oe_ad; v.noe_ctrl := not v.pci.oe_ctrl; v.noe_par := not v.pci.oe_par; v.noe_req := not v.pci.oe_req; v.noe_frame := not v.pci.oe_frame; v.noe_cbe := not v.pci.oe_cbe; v.noe_irdy := not v.pci.oe_irdy; v.noe_perr := not v.pci.oe_perr; v.noe_serr := not v.pci.oe_serr; if (scanen = 1) and (syncrst = 1) and (ahbmi.testen = '1') then voe_ad := (others => ahbmi.testoen); oe_ad := '1'; oe_ctrl := '1'; oe_par := '1'; oe_req := '1'; oe_frame := '1'; oe_cbe := '1'; oe_irdy := '1'; oe_perr := '1'; oe_serr := '1'; elsif oepol = 0 then if (syncrst = 1) and (pcirstin = '0') then voe_ad := (others => '1'); oe_ad := '1'; oe_ctrl := '1'; oe_par := '1'; oe_req := '1'; oe_frame := '1'; oe_cbe := '1'; oe_irdy := '1'; oe_perr := '1'; else voe_ad := (others => v.pci.oe_ad); oe_ad := r.pci.oe_ad; oe_ctrl := r.pci.oe_ctrl; oe_par := r.pci.oe_par; oe_req := r.pci.oe_req; oe_frame := r.pci.oe_frame; oe_cbe := r.pci.oe_cbe; oe_irdy := r.pci.oe_irdy; oe_perr := r.pci.oe_perr; oe_serr := r.pci.oe_serr; end if; else -- oepol = 1 if (syncrst = 1) and (pcirstin = '0') then voe_ad := (others => '0'); oe_ad := '0'; oe_ctrl := '0'; oe_par := '0'; oe_req := '0'; oe_frame := '0'; oe_cbe := '0'; oe_irdy := '0'; oe_perr := '0'; else voe_ad := (others => v.noe_ad); oe_ad := r.noe_ad; oe_ctrl := r.noe_ctrl; oe_par := r.noe_par; oe_req := r.noe_req; oe_frame := r.noe_frame; oe_cbe := r.noe_cbe; oe_irdy := r.noe_irdy; oe_perr := r.noe_perr; oe_serr := r.noe_serr; end if; end if; -------------------------- --- SHARED SIGNALS END --- -------------------------- v.trans(0) := hstart; v.trans(1) := pabort; v.trans(2) := pstart_ack; v.trans(3) := pcidc; v.trans(4) := rtdone; v.trans(5) := rmdone; if prrst = '0' then v.t.state := idle; v.m.state := idle; v.m.fstate := idle; v.bar0 := (others => '0'); v.bar0_conf := '0'; v.bar1 := (others => '0'); v.bar1_conf := '0'; v.t.msel := '0'; v.t.csel := '0'; v.t.pending := '0'; v.t.lwrite := '0'; v.bt_enable := '1'; -- twisting enabled by default, changed through page0 v.page(31 downto 30) := "01"; v.page(29 downto MADDR_WIDTH-1) := zero32(29 downto MADDR_WIDTH-1); v.pci.par := '0'; v.comm.msen := not pr.host; v.comm.men := '0'; v.comm.mwie := '0'; v.comm.per := '0'; v.comm.ser := '0'; v.stat.rta := '0'; v.stat.rma := '0'; v.stat.sta := '0'; v.stat.dped := '0'; v.stat.dpe := '0'; v.stat.sse := '0'; v.cline := (others => '0'); v.ltim := (others => '0'); v.intline := (others => '0'); v.trans := (others => '0'); v.t.fifo.waddr := (others => '0'); v.t.fifo.raddr := (others => '0'); v.m.fifo.waddr := (others => '0'); v.m.fifo.raddr := (others => '0'); v.t.fifo.side := '0'; v.m.fifo.side := '0'; v.m.request := '0'; v.m.hwrite := '0'; v.m.valid := '1'; v.m.split := '0'; v.m.last := '0'; v.t.last := '0'; v.t.laddr := (others => '0'); -- to remove x problem in gate-simulation v.m.detectperr(0) := '0'; v.t.detectperr(0) := '0'; end if; cbe_fifoi.wen <= t_fifo_write; cbe_fifoi.waddr <= r.t.fifo.side & r.t.fifo.waddr; cbe_fifoi.wdata(3 downto 0) <= pr.cbe; fifo2i.wen <= t_fifo_write; fifo2i.waddr <= r.t.fifo.side & r.t.fifo.waddr; fifo2i.wdata <= byte_twist(pr.ad, r.bt_enable); fifo1i.ren <= '1'; fifo1i.raddr <= t_read_side & (r.t.fifo.raddr + readt_dly); fifo4i.wen <= m_fifo_write; fifo4i.waddr <= r.m.fifo.side & r.m.fifo.waddr; fifo4i.wdata <= pr.ad; fifo3i.ren <= '1'; fifo3i.raddr <= m_read_side & (r.m.fifo.raddr + data_transfer); rin <= v; rioe_ad <= voe_ad; pcio.cbeen <= (others => oe_cbe); pcio.cbe <= r.pci.cbe; pcio.vaden <= roe_ad; pcio.aden <= oe_ad; pcio.ad <= ad; -- pcio.trdy <= r.pci.trdy; pcio.trdy <= r.t.trdy_del; -- (send last word in fifo) bug fix *** pcio.ctrlen <= oe_ctrl; pcio.trdyen <= oe_ctrl; pcio.devselen <= oe_ctrl; pcio.stopen <= oe_ctrl; pcio.stop <= r.pci.stop; pcio.devsel <= r.pci.devsel; pcio.par <= r.pci.par; pcio.paren <= oe_par; pcio.perren <= oe_perr; pcio.perr <= r.pci.perr; pcio.serr <= r.pci.serr; pcio.serren <= oe_serr; pcio.reqen <= oe_req; pcio.req <= r.pci.req; pcio.frameen <= oe_frame; pcio.frame <= r.pci.frame; pcio.irdyen <= oe_irdy; pcio.irdy <= r.pci.irdy; end process; rstinputgen : if hostrst = 0 generate pcirstin <= pcii.rst; pcio.rst <= '1'; end generate; hostrstgen : if hostrst = 1 generate --pcirstin <= rst when pcii.host = '0' else pcii.rst; pcirstin <= pcii.rst; pcio.rst <= rst when pcii.host = '0' else '1'; end generate; pcirst <= ahbmi.testrst when (scanen = 1) and (ahbmi.testen = '1') else pcirstin; pr_regs : process (pciclk) begin if rising_edge (pciclk) then pr.ad <= to_x01(pcii.ad); pr.cbe <= to_x01(pcii.cbe); pr.devsel <= to_x01(pcii.devsel); pr.frame <= to_x01(pcii.frame); pr.idsel <= to_x01(pcii.idsel); pr.irdy <= to_x01(pcii.irdy); pr.trdy <= to_x01(pcii.trdy); pr.par <= to_x01(pcii.par); pr.stop <= to_x01(pcii.stop); prrst <= to_x01(pcirstin); pr.gnt <= to_x01(pcii.gnt); pr.host <= to_x01(pcii.host); end if; end process; regs : process (pciclk, pcirst) begin if rising_edge (pciclk) then r <= rin; ad <= adin; end if; if (syncrst = 0) and (pcirst = '0') then -- asynch reset required r.pci.oe_ad <= '1'; r.pci.oe_ctrl <= '1'; r.pci.oe_par <= '1'; r.pci.oe_req <= '1'; r.pci.oe_frame <= '1'; r.pci.oe_cbe <= '1'; r.pci.oe_irdy <= '1'; r.pci.oe_perr <= '1'; r.noe_ad <= '0'; r.noe_ctrl <= '0'; r.noe_par <= '0'; r.noe_req <= '0'; r.noe_frame <= '0'; r.noe_cbe <= '0'; r.noe_irdy <= '0'; r.noe_perr <= '0'; end if; end process; oeregs_pol0 : if oepol = 0 generate oeregs : process (pciclk, pcirst) begin if rising_edge (pciclk) then roe_ad <= rioe_ad; end if; if (syncrst = 0) and (pcirst = '0') then -- asynch reset required roe_ad <= (others => '1'); end if; end process; end generate; oeregs_pol1 : if oepol = 1 generate oeregs : process (pciclk, pcirst) begin if rising_edge (pciclk) then roe_ad <= rioe_ad; end if; if (syncrst = 0) and (pcirst = '0') then -- asynch reset required roe_ad <= (others => '0'); end if; end process; end generate; cpur : process (clk) begin if rising_edge (clk) then r2 <= r2in; end if; end process; oe0 : if oepol = 0 generate pcio.inten <= '1'; pcio.vinten <= (others => '1'); pcio.locken <= '1'; end generate; oe1 : if oepol = 1 generate pcio.inten <= '0'; pcio.vinten <= (others => '0'); pcio.locken <= '0'; end generate; pcio.int <= '1'; pcio.lock <= '1'; pcio.power_state <= (others => '0'); pcio.pme_enable <= '0'; pcio.pme_clear <= '0'; msttgt : if MASTER = 1 generate ahbmst0 : pciahbmst generic map (hindex => hmstndx, devid => GAISLER_PCIFBRG, incaddr => 1) port map (rst, clk, dmai, dmao, ahbmi, ahbmo); fifo1 : syncram_2p generic map (tech => memtech, abits => FIFO_DEPTH, dbits => FIFO_DATA_BITS, sepclk => 1) port map (pciclk, fifo1i.ren, fifo1i.raddr, fifo1o.rdata, clk, fifo1i.wen, fifo1i.waddr, fifo1i.wdata); fifo2 : syncram_2p generic map (tech => memtech, abits => FIFO_DEPTH, dbits => FIFO_DATA_BITS, sepclk => 1) port map (clk, fifo2i.ren, fifo2i.raddr, fifo2o.rdata, pciclk, fifo2i.wen, fifo2i.waddr, fifo2i.wdata); fifo3 : syncram_2p generic map (tech => memtech, abits => FIFO_DEPTH, dbits => FIFO_DATA_BITS, sepclk => 1) port map (pciclk, fifo3i.ren, fifo3i.raddr, fifo3o.rdata, clk, fifo3i.wen, fifo3i.waddr, fifo3i.wdata); fifo4 : syncram_2p generic map (tech => memtech, abits => FIFO_DEPTH, dbits => FIFO_DATA_BITS, sepclk => 1) port map (clk, fifo4i.ren, fifo4i.raddr, fifo4o.rdata, pciclk, fifo4i.wen, fifo4i.waddr, fifo4i.wdata); cbe_fifo : syncram_2p generic map (tech => 0, abits => FIFO_DEPTH, dbits => 4, sepclk => 1) port map (clk, cbe_fifoi.ren, cbe_fifoi.raddr, cbe_fifoo.rdata(3 downto 0), pciclk, cbe_fifoi.wen, cbe_fifoi.waddr, cbe_fifoi.wdata(3 downto 0)); -- pragma translate_off bootmsg : report_version generic map ("pci_mtf" & tost(hslvndx) & ": 32-bit PCI/AHB bridge rev " & tost(REVISION) & ", " & tost(2**abits/2**20) & " Mbyte PCI memory BAR, " & tost(2**FIFO_DEPTH) & "-word FIFOs" ); -- pragma translate_on end generate; tgtonly : if MASTER = 0 generate ahbmst0 : pciahbmst generic map (hindex => hmstndx, devid => GAISLER_PCIFBRG, incaddr => 1) port map (rst, clk, dmai, dmao, ahbmi, ahbmo); fifo1 : syncram_2p generic map (tech => memtech, abits => FIFO_DEPTH, dbits => FIFO_DATA_BITS, sepclk => 1) port map (pciclk, fifo1i.ren, fifo1i.raddr, fifo1o.rdata, clk, fifo1i.wen, fifo1i.waddr, fifo1i.wdata); fifo2 : syncram_2p generic map (tech => memtech, abits => FIFO_DEPTH, dbits => FIFO_DATA_BITS, sepclk => 1) port map (clk, fifo2i.ren, fifo2i.raddr, fifo2o.rdata, pciclk, fifo2i.wen, fifo2i.waddr, fifo2i.wdata); cbe_fifo : syncram_2p generic map (tech => 0, abits => FIFO_DEPTH, dbits => 4, sepclk => 1) port map (clk, cbe_fifoi.ren, cbe_fifoi.raddr, cbe_fifoo.rdata(3 downto 0), pciclk, cbe_fifoi.wen, cbe_fifoi.waddr, cbe_fifoi.wdata(3 downto 0)); -- pragma translate_off bootmsg : report_version generic map ("pci_mtf" & tost(hmstndx) & ": 32-bit PCI/AHB bridge rev, target-only, " & tost(REVISION) & ", " & tost(2**abits/2**20) & " Mbyte PCI memory BAR, " & tost(2**FIFO_DEPTH) & "-word FIFOs" ); -- pragma translate_on end generate; end;
gpl-2.0
7d499d87eb2cd6f249a3adc5f13c791a
0.463978
3.399514
false
false
false
false
Fairyland0902/BlockyRoads
src/BlockyRoads/ipcore_dir/police/simulation/bmg_stim_gen.vhd
1
12,580
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port ROM -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SROM -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SROM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SROM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SROM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST /= '0' ) THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; --USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS GENERIC ( C_ROM_SYNTH : INTEGER := 0 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA: OUT STD_LOGIC_VECTOR(12 DOWNTO 0) := (OTHERS => '0'); DATA_IN : IN STD_LOGIC_VECTOR (11 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS FUNCTION hex_to_std_logic_vector( hex_str : STRING; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 DOWNTO 0); BEGIN tmp := (OTHERS => '0'); FOR i IN 1 TO hex_str'LENGTH LOOP CASE hex_str((hex_str'LENGTH+1)-i) IS WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; END CASE; END LOOP; RETURN tmp(return_width-1 DOWNTO 0); END hex_to_std_logic_vector; CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(12 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL CHECK_READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL CHECK_DATA : STD_LOGIC := '0'; SIGNAL CHECK_DATA_R : STD_LOGIC := '0'; SIGNAL CHECK_DATA_2R : STD_LOGIC := '0'; SIGNAL DO_READ_REG: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(11 DOWNTO 0):= hex_to_std_logic_vector("0",12); BEGIN SYNTH_COE: IF(C_ROM_SYNTH =0 ) GENERATE type mem_type is array (6399 downto 0) of std_logic_vector(11 downto 0); FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; function char_to_std_logic ( char : in character) return std_logic is variable data : std_logic; begin if char = '0' then data := '0'; elsif char = '1' then data := '1'; elsif char = 'X' then data := 'X'; else assert false report "character which is not '0', '1' or 'X'." severity warning; data := 'U'; end if; return data; end char_to_std_logic; impure FUNCTION init_memory( C_USE_DEFAULT_DATA : INTEGER; C_LOAD_INIT_FILE : INTEGER ; C_INIT_FILE_NAME : STRING ; DEFAULT_DATA : STD_LOGIC_VECTOR(11 DOWNTO 0); width : INTEGER; depth : INTEGER) RETURN mem_type IS VARIABLE init_return : mem_type := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(width-1 DOWNTO 0); VARIABLE bitline : LINE; variable bitsgood : boolean := true; variable bitchar : character; VARIABLE i : INTEGER; VARIABLE j : INTEGER; BEGIN --Display output message indicating that the behavioral model is being --initialized ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator CORE Generator module loading initial data..." SEVERITY NOTE; -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN FOR i IN 0 TO depth-1 LOOP init_return(i) := DEFAULT_DATA; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, bitline); -- read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO width-1 LOOP read(bitline,bitchar,bitsgood); init_return(i)(width-1-j) := char_to_std_logic(bitchar); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** constant c_init : mem_type := init_memory(0, 1, "police.mif", DEFAULT_DATA, 12, 6400); constant rom : mem_type := c_init; BEGIN EXPECTED_DATA <= rom(conv_integer(unsigned(check_read_addr))); CHECKER_RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH =>6400 ) PORT MAP( CLK => CLK, RST => RST, EN => CHECK_DATA_2R, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => CHECK_READ_ADDR ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R ='1') THEN IF(EXPECTED_DATA = DATA_IN) THEN STATUS<='0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; -- Simulatable ROM --Synthesizable ROM SYNTH_CHECKER: IF(C_ROM_SYNTH = 1) GENERATE PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R='1') THEN IF(DATA_IN=DEFAULT_DATA) THEN STATUS <= '0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; READ_ADDR_INT(12 DOWNTO 0) <= READ_ADDR(12 DOWNTO 0); ADDRA <= READ_ADDR_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 6400 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); RD_PROCESS: PROCESS (CLK) BEGIN IF (RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_READ <= '0'; ELSE DO_READ <= '1'; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(0), CLK =>CLK, RST=>RST, D =>DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(I), CLK =>CLK, RST=>RST, D =>DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; CHECK_DATA_REG_1: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_2R, CLK =>CLK, RST=>RST, D =>CHECK_DATA_R ); CHECK_DATA_REG: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_R, CLK =>CLK, RST=>RST, D =>CHECK_DATA ); END ARCHITECTURE;
mit
9f816e56c853e8b922ddca099bfefd8c
0.547774
3.686987
false
false
false
false
Yuriu5/MiniBlaze
src/hw1/special_purpose_register_bank.vhd
1
3,604
-- ********************************************************************************** -- Project : MiniBlaze -- Author : Benjamin Lemoine -- Module : special_purpose_register_bank -- Date : 07/07/2016 -- -- Description : Bank containing the spcial purpose registers -- -- -------------------------------------------------------------------------------- -- Modifications -- -------------------------------------------------------------------------------- -- Date : Ver. : Author : Modification comments -- -------------------------------------------------------------------------------- -- : : : -- 25/07/2016 : 1.0 : B.Lemoine : First draft -- : : : -- ********************************************************************************** -- MIT License -- -- Copyright (c) 07/07/2016, Benjamin Lemoine -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in all -- copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. -- ********************************************************************************** library ieee; use ieee.std_logic_1164.all; entity special_purpose_register_bank is generic( D_WIDTH : natural := 32 ); port( clk : in std_logic; addr_i : in std_logic_vector(4 downto 0); data_i : in std_logic_vector(D_WIDTH-1 downto 0); wr_i : in std_logic; data_o : in std_logic_vector(D_WIDTH-1 downto 0); ); )end special_purpose_register_bank; architecture rtl of special_purpose_register_bank is -- Component declaration -- ----------------------- component ram_single_port is generic ( ADDR_WIDTH : integer := 15; DATA_WIDTH : integer := 32 ); port ( clk : in std_logic; we : in std_logic; addr : in std_logic_vector(ADDR_WIDTH-1 downto 0); di : in std_logic_vector(NB_COL*COL_WIDTH-1 downto 0); do : out std_logic_vector(NB_COL*COL_WIDTH-1 downto 0) ); end component; -- Signal declaration -- ----------------------- begin -- R0 : Always has a value of zero. Anything written to R0 is discarded s_wr_en_filt <= '0' when addr_i = (others => '0') else wr_i; i_reg32 : ram_single_port generic map( ADDR_WIDTH => 4, DATA_WIDTH => D_WIDTH, ) port map( clk => clk, we => s_wr_en_filt, addr => addr_i, di => data_i, do => data_o ); end rtl;
mit
3d635e424acb1eebf3a904cd745b53a9
0.506382
4.255018
false
false
false
false
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_dma_v7_1/2a047f91/hdl/src/vhdl/axi_dma_mm2s_cmdsts_if.vhd
3
15,441
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_cmdsts_if.vhd -- Description: This entity is the descriptor fetch command and status inteface -- for the Scatter Gather Engine AXI DataMover. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_mm2s_cmdsts_if is generic ( C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; C_ENABLE_QUEUE : integer range 0 to 1 := 1; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 -- Master AXI Memory Map Address Width for Scatter Gather R/W Port ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Command write interface from mm2s sm -- mm2s_cmnd_wr : in std_logic ; -- mm2s_cmnd_data : in std_logic_vector -- ((2*C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0); -- mm2s_cmnd_pending : out std_logic ; -- mm2s_sts_received_clr : in std_logic ; -- mm2s_sts_received : out std_logic ; -- mm2s_tailpntr_enble : in std_logic ; -- mm2s_desc_cmplt : in std_logic ; -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_mm2s_cmd_tvalid : out std_logic ; -- s_axis_mm2s_cmd_tready : in std_logic ; -- s_axis_mm2s_cmd_tdata : out std_logic_vector -- ((2*C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0); -- -- -- User Status Interface Ports (AXI Stream) -- m_axis_mm2s_sts_tvalid : in std_logic ; -- m_axis_mm2s_sts_tready : out std_logic ; -- m_axis_mm2s_sts_tdata : in std_logic_vector(7 downto 0) ; -- m_axis_mm2s_sts_tkeep : in std_logic_vector(0 downto 0) ; -- -- -- Scatter Gather Fetch Status -- mm2s_err : in std_logic ; -- mm2s_done : out std_logic ; -- mm2s_error : out std_logic ; -- mm2s_interr : out std_logic ; -- mm2s_slverr : out std_logic ; -- mm2s_decerr : out std_logic ; -- mm2s_tag : out std_logic_vector(3 downto 0) -- ); end axi_dma_mm2s_cmdsts_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_cmdsts_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal sts_tready : std_logic := '0'; signal sts_received_i : std_logic := '0'; signal stale_desc : std_logic := '0'; signal log_status : std_logic := '0'; signal mm2s_slverr_i : std_logic := '0'; signal mm2s_decerr_i : std_logic := '0'; signal mm2s_interr_i : std_logic := '0'; signal mm2s_error_or : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin mm2s_slverr <= mm2s_slverr_i; mm2s_decerr <= mm2s_decerr_i; mm2s_interr <= mm2s_interr_i; -- Stale descriptor if complete bit already set and in tail pointer mode. stale_desc <= '1' when mm2s_desc_cmplt = '1' and mm2s_tailpntr_enble = '1' else '0'; ------------------------------------------------------------------------------- -- DataMover Command Interface ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- When command by fetch sm, drive descriptor fetch command to data mover. -- Hold until data mover indicates ready. ------------------------------------------------------------------------------- GEN_NO_HOLD_DATA : if C_ENABLE_QUEUE = 1 generate begin GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_axis_mm2s_cmd_tvalid <= '0'; -- s_axis_mm2s_cmd_tdata <= (others => '0'); mm2s_cmnd_pending <= '0'; -- New command write and not flagged as stale descriptor elsif(mm2s_cmnd_wr = '1' and stale_desc = '0')then s_axis_mm2s_cmd_tvalid <= '1'; -- s_axis_mm2s_cmd_tdata <= mm2s_cmnd_data; mm2s_cmnd_pending <= '1'; -- Clear flags when command excepted by datamover elsif(s_axis_mm2s_cmd_tready = '1')then s_axis_mm2s_cmd_tvalid <= '0'; -- s_axis_mm2s_cmd_tdata <= (others => '0'); mm2s_cmnd_pending <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; s_axis_mm2s_cmd_tdata <= mm2s_cmnd_data; end generate GEN_NO_HOLD_DATA; GEN_HOLD_DATA : if C_ENABLE_QUEUE = 0 generate begin GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_axis_mm2s_cmd_tvalid <= '0'; s_axis_mm2s_cmd_tdata <= (others => '0'); mm2s_cmnd_pending <= '0'; -- New command write and not flagged as stale descriptor elsif(mm2s_cmnd_wr = '1' and stale_desc = '0')then s_axis_mm2s_cmd_tvalid <= '1'; s_axis_mm2s_cmd_tdata <= mm2s_cmnd_data; mm2s_cmnd_pending <= '1'; -- Clear flags when command excepted by datamover elsif(s_axis_mm2s_cmd_tready = '1')then s_axis_mm2s_cmd_tvalid <= '0'; s_axis_mm2s_cmd_tdata <= (others => '0'); mm2s_cmnd_pending <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; -- s_axis_mm2s_cmd_tdata <= mm2s_cmnd_data; end generate GEN_HOLD_DATA; ------------------------------------------------------------------------------- -- DataMover Status Interface ------------------------------------------------------------------------------- -- Drive ready low during reset to indicate not ready REG_STS_READY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_tready <= '0'; -- De-assert tready on acceptance of status to prevent -- over writing current status elsif(sts_tready = '1' and m_axis_mm2s_sts_tvalid = '1')then sts_tready <= '0'; -- If not status received assert ready to datamover elsif(sts_received_i = '0') then sts_tready <= '1'; end if; end if; end process REG_STS_READY; -- Pass to DataMover m_axis_mm2s_sts_tready <= sts_tready; ------------------------------------------------------------------------------- -- Log status bits out of data mover. ------------------------------------------------------------------------------- log_status <= '1' when m_axis_mm2s_sts_tvalid = '1' and sts_received_i = '0' else '0'; DATAMOVER_STS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_done <= '0'; mm2s_slverr_i <= '0'; mm2s_decerr_i <= '0'; mm2s_interr_i <= '0'; mm2s_tag <= (others => '0'); -- Status valid, therefore capture status elsif(log_status = '1')then mm2s_done <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_CMDDONE_BIT); mm2s_slverr_i <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_SLVERR_BIT); mm2s_decerr_i <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_DECERR_BIT); mm2s_interr_i <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_INTERR_BIT); mm2s_tag <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_TAGMSB_BIT downto DATAMOVER_STS_TAGLSB_BIT); -- Only assert when valid else mm2s_done <= '0'; mm2s_slverr_i <= '0'; mm2s_decerr_i <= '0'; mm2s_interr_i <= '0'; mm2s_tag <= (others => '0'); end if; end if; end process DATAMOVER_STS; -- Flag when status is received. Used to hold status until sg if -- can use status. This only has meaning when SG Engine Queues are turned -- on STS_RCVD_FLAG : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- Clear flag on reset or sg_if status clear if(m_axi_sg_aresetn = '0' or mm2s_sts_received_clr = '1')then sts_received_i <= '0'; -- Status valid, therefore capture status elsif(m_axis_mm2s_sts_tvalid = '1' and sts_received_i = '0')then sts_received_i <= '1'; end if; end if; end process STS_RCVD_FLAG; mm2s_sts_received <= sts_received_i; ------------------------------------------------------------------------------- -- Register global error from data mover. ------------------------------------------------------------------------------- mm2s_error_or <= mm2s_slverr_i or mm2s_decerr_i or mm2s_interr_i; -- Log errors into a global error output MM2S_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_error <= '0'; -- If Datamover issues error on the transfer or if a stale descriptor is -- detected when in tailpointer mode then issue an error elsif((mm2s_error_or = '1') or (stale_desc = '1' and mm2s_cmnd_wr='1'))then mm2s_error <= '1'; end if; end if; end process MM2S_ERROR_PROCESS; end implementation;
gpl-3.0
fe210c8fd650c8fa740a1aa3b6239634
0.443041
4.397892
false
false
false
false
gtaylormb/opl3_fpga
fpga/modules/clks/ip/clk_gen/clk_gen_sim_netlist.vhdl
1
6,740
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.1 (lin64) Build 1538259 Fri Apr 8 15:45:23 MDT 2016 -- Date : Mon Jun 6 23:00:46 2016 -- Host : edinburgh running 64-bit Ubuntu 15.04 -- Command : write_vhdl -force -mode funcsim -- /home/greg/opl3_fpga_vivado_project/opl3_fpga_vivado_project.srcs/sources_1/ip/clk_gen/clk_gen_sim_netlist.vhdl -- Design : clk_gen -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_gen_clk_gen_clk_wiz is port ( clk125 : in STD_LOGIC; clk : out STD_LOGIC; clk_locked : out STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of clk_gen_clk_gen_clk_wiz : entity is "clk_gen_clk_wiz"; end clk_gen_clk_gen_clk_wiz; architecture STRUCTURE of clk_gen_clk_gen_clk_wiz is signal clk125_clk_gen : STD_LOGIC; signal clk_clk_gen : STD_LOGIC; signal clkfbout_clk_gen : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE"; begin clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk125, O => clk125_clk_gen ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_clk_gen, O => clk ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "HIGH", CLKFBOUT_MULT_F => 53.375000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 8.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 87.375000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 1, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => 6, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.010000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_clk_gen, CLKFBOUT => clkfbout_clk_gen, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk125_clk_gen, CLKIN2 => '0', CLKINSEL => '1', CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_clk_gen, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6 downto 0) => B"0000000", DCLK => '0', DEN => '0', DI(15 downto 0) => B"0000000000000000", DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => '0', LOCKED => clk_locked, PSCLK => '0', PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => '0', PSINCDEC => '0', PWRDWN => '0', RST => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_gen is port ( clk125 : in STD_LOGIC; clk : out STD_LOGIC; clk_locked : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of clk_gen : entity is true; end clk_gen; architecture STRUCTURE of clk_gen is begin inst: entity work.clk_gen_clk_gen_clk_wiz port map ( clk => clk, clk125 => clk125, clk_locked => clk_locked ); end STRUCTURE;
lgpl-3.0
c8076d87d52fc9708d6fad762158c7ad
0.635757
3.426538
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-ztex-ufm-111/config.vhd
1
5,589
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := spartan6; constant CFG_MEMTECH : integer := spartan6; constant CFG_PADTECH : integer := spartan6; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := spartan6; constant CFG_CLKMUL : integer := (3); constant CFG_CLKDIV : integer := (2); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (2); constant CFG_NOTAG : integer := 1; constant CFG_NWP : integer := (0); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 1; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 1; constant CFG_DSETSZ : integer := 8; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 0 + 0 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 0; constant CFG_ATBSZ : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 1; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Xilinx MIG constant CFG_MIG_DDR2 : integer := 1; constant CFG_MIG_RANKS : integer := (1); constant CFG_MIG_COLBITS : integer := (10); constant CFG_MIG_ROWBITS : integer := (13); constant CFG_MIG_BANKBITS: integer := (2); constant CFG_MIG_HMASK : integer := 16#FC0#; -- AHB ROM constant CFG_AHBROMEN : integer := 1; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#100#; constant CFG_ROMMASK : integer := 16#E00# + 16#100#; -- AHB RAM constant CFG_AHBRAMEN : integer := 1; constant CFG_AHBRSZ : integer := 4; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 0; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := 1; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 1; constant CFG_SPICTRL_NUM : integer := (1); constant CFG_SPICTRL_SLVS : integer := (1); constant CFG_SPICTRL_FIFO : integer := (2); constant CFG_SPICTRL_SLVREG : integer := 1; constant CFG_SPICTRL_ODMODE : integer := 1; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 0; constant CFG_SPICTRL_MAXWLEN : integer := (0); constant CFG_SPICTRL_SYNCRAM : integer := 0; constant CFG_SPICTRL_FT : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
6ab24a4f4b5faffb4828ff7bf40f9df3
0.643228
3.674556
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/tech/atc18/components/atmel_simprims.vhd
1
7,875
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: Various -- File: atmel_simprims.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: ATMEL ATC18 behavioural models -- Modelled after IO33/PCILIB data sheets ------------------------------------------------------------------------------ -- pragma translate_off -- input pad library ieee; use ieee.std_logic_1164.all; entity pc33d00z is port (pad : in std_logic; cin : out std_logic); end; architecture rtl of pc33d00z is begin cin <= to_x01(pad) after 1 ns; end; -- input pad with pull-up library ieee; use ieee.std_logic_1164.all; entity pc33d00uz is port (pad : inout std_logic; cin : out std_logic); end; architecture rtl of pc33d00uz is begin cin <= to_x01(pad) after 1 ns; pad <= 'H'; end; -- input schmitt pad library ieee; use ieee.std_logic_1164.all; entity pc33d20z is port (pad : in std_logic; cin : out std_logic); end; architecture rtl of pc33d20z is begin cin <= to_x01(pad) after 1 ns; end; -- input schmitt pad with pull-up library ieee; use ieee.std_logic_1164.all; entity pc33d20uz is port (pad : inout std_logic; cin : out std_logic); end; architecture rtl of pc33d20uz is begin cin <= to_x01(pad) after 1 ns; pad <= 'H'; end; -- output pads library ieee; use ieee.std_logic_1164.all; entity pt33o01z is port (i : in std_logic; pad : out std_logic); end; architecture rtl of pt33o01z is begin pad <= to_x01(i) after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33o02z is port (i : in std_logic; pad : out std_logic); end; architecture rtl of pt33o02z is begin pad <= to_x01(i) after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33o04z is port (i : in std_logic; pad : out std_logic); end; architecture rtl of pt33o04z is begin pad <= to_x01(i) after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33o08z is port (i : in std_logic; pad : out std_logic); end; architecture rtl of pt33o08z is begin pad <= to_x01(i) after 2 ns; end; -- output tri-state pads library ieee; use ieee.std_logic_1164.all; entity pt33t01z is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t01z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33t02z is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t02z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33t04z is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t04z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33t08z is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t08z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; -- output tri-state pads with pull-up library ieee; use ieee.std_logic_1164.all; entity pt33t01uz is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t01uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33t02uz is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t02uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33t04uz is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t04uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; -- bidirectional pad library ieee; use ieee.std_logic_1164.all; entity pt33b01z is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b01z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns; cin <= to_x01(pad) after 1 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33b02z is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b02z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns; cin <= to_x01(pad) after 1 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33b08z is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b08z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns; cin <= to_x01(pad) after 1 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33b04z is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b04z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns; cin <= to_x01(pad) after 1 ns; end; -- bidirectional pads with pull-up library ieee; use ieee.std_logic_1164.all; entity pt33b01uz is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b01uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; cin <= to_x01(pad) after 1 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33b02uz is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b02uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; cin <= to_x01(pad) after 1 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33b08uz is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b08uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; cin <= to_x01(pad) after 1 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33b04uz is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b04uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; cin <= to_x01(pad) after 1 ns; end; -- PCI output pad library ieee; use ieee.std_logic_1164.all; entity pp33o01z is port (i : in std_logic; pad : out std_logic); end; architecture rtl of pp33o01z is begin pad <= to_x01(i) after 2 ns; end; -- PCI bidirectional pad library ieee; use ieee.std_logic_1164.all; entity pp33b01z is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pp33b01z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns; cin <= to_x01(pad) after 1 ns; end; -- PCI output tri-state pad library ieee; use ieee.std_logic_1164.all; entity pp33t01z is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pp33t01z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns; end; -- pragma translate_on
gpl-2.0
b012acc7981183981fbb422384fe29a0
0.667683
2.871991
false
false
false
false
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_updt_sm.vhd
5
41,952
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_sm.vhd -- Description: This entity manages updating of descriptors. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_sm is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0 -- Starting update word offset ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- ftch_error : in std_logic ; -- -- -- Channel 1 Control and Status -- ch1_updt_queue_empty : in std_logic ; -- ch1_updt_curdesc_wren : in std_logic ; -- ch1_updt_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_updt_ioc : in std_logic ; -- ch1_dma_interr : in std_logic ; -- ch1_dma_slverr : in std_logic ; -- ch1_dma_decerr : in std_logic ; -- ch1_updt_active : out std_logic ; -- ch1_updt_idle : out std_logic ; -- ch1_updt_interr_set : out std_logic ; -- ch1_updt_slverr_set : out std_logic ; -- ch1_updt_decerr_set : out std_logic ; -- ch1_dma_interr_set : out std_logic ; -- ch1_dma_slverr_set : out std_logic ; -- ch1_dma_decerr_set : out std_logic ; -- ch1_updt_ioc_irq_set : out std_logic ; -- ch1_updt_done : out std_logic ; -- -- -- Channel 2 Control and Status -- ch2_updt_queue_empty : in std_logic ; -- -- ch2_updt_curdesc_wren : in std_logic ; -- -- ch2_updt_curdesc : in std_logic_vector -- -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_updt_ioc : in std_logic ; -- ch2_dma_interr : in std_logic ; -- ch2_dma_slverr : in std_logic ; -- ch2_dma_decerr : in std_logic ; -- ch2_updt_active : out std_logic ; -- ch2_updt_idle : out std_logic ; -- ch2_updt_interr_set : out std_logic ; -- ch2_updt_slverr_set : out std_logic ; -- ch2_updt_decerr_set : out std_logic ; -- ch2_dma_interr_set : out std_logic ; -- ch2_dma_slverr_set : out std_logic ; -- ch2_dma_decerr_set : out std_logic ; -- ch2_updt_ioc_irq_set : out std_logic ; -- ch2_updt_done : out std_logic ; -- -- -- DataMover Command -- updt_cmnd_wr : out std_logic ; -- updt_cmnd_data : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH -- +CMD_BASE_WIDTH)-1 downto 0) ; -- -- DataMover Status -- updt_done : in std_logic ; -- updt_error : in std_logic ; -- updt_interr : in std_logic ; -- updt_slverr : in std_logic ; -- updt_decerr : in std_logic ; -- updt_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) -- ); end axi_sg_updt_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; attribute mark_debug : string; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant UPDATE_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0'); -- DataMover Command Type -- Always set to INCR type constant UPDATE_CMD_TYPE : std_logic := '1'; -- DataMover Cmnd Reserved Bits constant UPDATE_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant UPDATE_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0'); -- DataMover Cmnd Bytes to Xfer for Channel 1 constant UPDATE_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH1_WORDS_TO_UPDATE*4),SG_BTT_WIDTH)); -- DataMover Cmnd Bytes to Xfer for Channel 2 constant UPDATE_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH2_WORDS_TO_UPDATE*4),SG_BTT_WIDTH)); -- DataMover Cmnd Reserved Bits constant UPDATE_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH) := (others => '0'); -- DataMover Cmnd Address Offset for channel 1 constant UPDATE_CH1_ADDR_OFFSET : integer := C_SG_CH1_FIRST_UPDATE_WORD*4; -- DataMover Cmnd Address Offset for channel 2 constant UPDATE_CH2_ADDR_OFFSET : integer := C_SG_CH2_FIRST_UPDATE_WORD*4; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_UPDATE_STATE_TYPE is ( IDLE, GET_UPDATE_PNTR, UPDATE_DESCRIPTOR, UPDATE_STATUS, UPDATE_ERROR ); signal updt_cs : SG_UPDATE_STATE_TYPE; signal updt_ns : SG_UPDATE_STATE_TYPE; -- State Machine Signals signal ch1_active_set : std_logic := '0'; signal ch2_active_set : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal ch1_updt_sm_idle : std_logic := '0'; signal ch2_updt_sm_idle : std_logic := '0'; -- Misc Signals signal ch1_active_i : std_logic := '0'; signal service_ch1 : std_logic := '0'; signal ch2_active_i : std_logic := '0'; signal service_ch2 : std_logic := '0'; attribute mark_debug of ch1_active_i: signal is "true"; attribute mark_debug of ch2_active_i: signal is "true"; signal update_address : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal update_cmd_btt : std_logic_vector (SG_BTT_WIDTH-1 downto 0) := (others => '0'); signal update_tag : std_logic_vector (3 downto 0); signal updt_ioc_irq_set : std_logic := '0'; signal ch1_interr_catch : std_logic := '0'; signal ch2_interr_catch : std_logic := '0'; signal ch1_decerr_catch : std_logic := '0'; signal ch2_decerr_catch : std_logic := '0'; signal ch1_slverr_catch : std_logic := '0'; signal ch2_slverr_catch : std_logic := '0'; signal updt_cmnd_data_int : std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH -- +CMD_BASE_WIDTH)-1 downto 0) ; -- ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ch1_updt_active <= ch1_active_i; ch2_updt_active <= ch2_active_i; ------------------------------------------------------------------------------- -- Scatter Gather Fetch State Machine ------------------------------------------------------------------------------- SG_UPDT_MACHINE : process(updt_cs, ch1_active_i, ch2_active_i, service_ch1, service_ch2, ch1_updt_curdesc_wren, -- ch2_updt_curdesc_wren, updt_error, updt_done) begin -- Default signal assignment ch1_active_set <= '0'; ch2_active_set <= '0'; write_cmnd_cmb <= '0'; ch1_updt_sm_idle <= '0'; ch2_updt_sm_idle <= '0'; updt_ns <= updt_cs; case updt_cs is ------------------------------------------------------------------- when IDLE => ch1_updt_sm_idle <= not service_ch1; ch2_updt_sm_idle <= not service_ch2; -- error during update - therefore shut down if(updt_error = '1')then updt_ns <= UPDATE_ERROR; -- If channel 1 is running and not idle and queue is not full -- then fetch descriptor for channel 1 elsif(service_ch1 = '1')then ch1_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- If channel 2 is running and not idle and queue is not full -- then fetch descriptor for channel 2 elsif(service_ch2 = '1')then ch2_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; else updt_ns <= IDLE; end if; when GET_UPDATE_PNTR => if(ch1_updt_curdesc_wren = '1')then updt_ns <= UPDATE_DESCRIPTOR; else updt_ns <= GET_UPDATE_PNTR; end if; -- if(ch1_updt_curdesc_wren = '1' or ch2_updt_curdesc_wren = '1')then -- updt_ns <= UPDATE_DESCRIPTOR; -- else -- updt_ns <= GET_UPDATE_PNTR; -- end if; ------------------------------------------------------------------- when UPDATE_DESCRIPTOR => -- error during update - therefore shut down if(updt_error = '1')then -- coverage off updt_ns <= UPDATE_ERROR; -- coverage on -- write command else ch1_updt_sm_idle <= not ch1_active_i and not service_ch1; ch2_updt_sm_idle <= not ch2_active_i and not service_ch2; write_cmnd_cmb <= '1'; updt_ns <= UPDATE_STATUS; end if; ------------------------------------------------------------------- when UPDATE_STATUS => ch1_updt_sm_idle <= not ch1_active_i and not service_ch1; ch2_updt_sm_idle <= not ch2_active_i and not service_ch2; -- error during update - therefore shut down if(updt_error = '1')then -- coverage off updt_ns <= UPDATE_ERROR; -- coverage on -- wait until done with update elsif(updt_done = '1')then -- If just finished fethcing for channel 2 then... if(ch2_active_i = '1')then -- If ready, update descriptor for channel 1 if(service_ch1 = '1')then ch1_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- Otherwise return to IDLE else updt_ns <= IDLE; end if; -- If just finished fethcing for channel 1 then... elsif(ch1_active_i = '1')then -- If ready, update descriptor for channel 2 if(service_ch2 = '1')then ch2_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- Otherwise return to IDLE else updt_ns <= IDLE; end if; else -- coverage off updt_ns <= IDLE; -- coverage on end if; else updt_ns <= UPDATE_STATUS; end if; ------------------------------------------------------------------- when UPDATE_ERROR => ch1_updt_sm_idle <= '1'; ch2_updt_sm_idle <= '1'; updt_ns <= UPDATE_ERROR; ------------------------------------------------------------------- -- coverage off when others => updt_ns <= IDLE; -- coverage on end case; end process SG_UPDT_MACHINE; ------------------------------------------------------------------------------- -- Register states of state machine ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_cs <= IDLE; else updt_cs <= updt_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH1_UPDATE : if C_INCLUDE_CH1 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_active_i <= '0'; elsif(ch1_active_i = '1' and updt_done = '1')then ch1_active_i <= '0'; elsif(ch1_active_set = '1')then ch1_active_i <= '1'; end if; end if; end process CH1_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 ready to be serviced? ------------------------------------------------------------------------------- service_ch1 <= '1' when ch1_updt_queue_empty = '0' -- Queue not empty and ftch_error = '0' -- No SG Fetch Error else '0'; ------------------------------------------------------------------------------- -- Channel 1 Interrupt On Complete ------------------------------------------------------------------------------- CH1_INTR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_ioc_irq_set <= '0'; -- Set interrupt on Done and Descriptor IOC set elsif(updt_done = '1' and ch1_updt_ioc = '1')then ch1_updt_ioc_irq_set <= '1'; else ch1_updt_ioc_irq_set <= '0'; end if; end if; end process CH1_INTR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Internal Error ------------------------------------------------------------------------------- CH1_INTERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_interr_set <= '0'; -- Set internal error on desc updt Done and Internal Error elsif(updt_done = '1' and ch1_dma_interr = '1')then ch1_dma_interr_set <= '1'; end if; end if; end process CH1_INTERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Slave Error ------------------------------------------------------------------------------- CH1_SLVERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_slverr_set <= '0'; -- Set slave error on desc updt Done and Slave Error elsif(updt_done = '1' and ch1_dma_slverr = '1')then ch1_dma_slverr_set <= '1'; end if; end if; end process CH1_SLVERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Decode Error ------------------------------------------------------------------------------- CH1_DECERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_decerr_set <= '0'; -- Set decode error on desc updt Done and Decode Error elsif(updt_done = '1' and ch1_dma_decerr = '1')then ch1_dma_decerr_set <= '1'; end if; end if; end process CH1_DECERR_PROCESS; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- -- Log Slave Errors reported during descriptor update SLV_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_slverr_set <= '0'; elsif(ch1_active_i = '1' and updt_slverr = '1')then ch1_updt_slverr_set <= '1'; end if; end if; end process SLV_SET_PROCESS; -- Log Internal Errors reported during descriptor update INT_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_interr_set <= '0'; elsif(ch1_active_i = '1' and updt_interr = '1')then -- coverage off ch1_updt_interr_set <= '1'; -- coverage on end if; end if; end process INT_SET_PROCESS; -- Log Decode Errors reported during descriptor update DEC_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_decerr_set <= '0'; elsif(ch1_active_i = '1' and updt_decerr = '1')then ch1_updt_decerr_set <= '1'; end if; end if; end process DEC_SET_PROCESS; -- Indicate update is idle if state machine is idle and update queue is empty IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then ch1_updt_idle <= '1'; elsif(service_ch1 = '1')then ch1_updt_idle <= '0'; elsif(service_ch1 = '0' and ch1_updt_sm_idle = '1')then ch1_updt_idle <= '1'; end if; end if; end process IDLE_PROCESS; --------------------------------------------------------------------------- -- Indicate update is done to allow fetch of next descriptor -- This is needed to prevent a partial descriptor being fetched -- and then axi read is throttled for extended periods until the -- remainder of the descriptor is fetched. -- -- Note: Only used when fetch queue not inluded otherwise -- tools optimize out this process --------------------------------------------------------------------------- REG_CH1_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_done <= '0'; elsif(updt_done = '1' and ch1_active_i = '1')then ch1_updt_done <= '1'; else ch1_updt_done <= '0'; end if; end if; end process REG_CH1_DONE; end generate GEN_CH1_UPDATE; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH1_UPDATE : if C_INCLUDE_CH1 = 0 generate begin service_ch1 <= '0'; ch1_active_i <= '0'; ch1_updt_idle <= '0'; ch1_updt_interr_set <= '0'; ch1_updt_slverr_set <= '0'; ch1_updt_decerr_set <= '0'; ch1_dma_interr_set <= '0'; ch1_dma_slverr_set <= '0'; ch1_dma_decerr_set <= '0'; ch1_updt_ioc_irq_set <= '0'; ch1_updt_done <= '0'; end generate GEN_NO_CH1_UPDATE; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH2_UPDATE : if C_INCLUDE_CH2 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_active_i <= '0'; elsif(ch2_active_i = '1' and updt_done = '1')then ch2_active_i <= '0'; elsif(ch2_active_set = '1')then ch2_active_i <= '1'; end if; end if; end process CH2_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 2 ready to be serviced? ------------------------------------------------------------------------------- service_ch2 <= '1' when ch2_updt_queue_empty = '0' -- Queue not empty and ftch_error = '0' -- No SG Fetch Error else '0'; ------------------------------------------------------------------------------- -- Channel 2 Interrupt On Complete ------------------------------------------------------------------------------- CH2_INTR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_ioc_irq_set <= '0'; -- Set interrupt on Done and Descriptor IOC set elsif(updt_done = '1' and ch2_updt_ioc = '1')then ch2_updt_ioc_irq_set <= '1'; else ch2_updt_ioc_irq_set <= '0'; end if; end if; end process CH2_INTR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Internal Error ------------------------------------------------------------------------------- CH2_INTERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_interr_set <= '0'; -- Set internal error on desc updt Done and Internal Error elsif(updt_done = '1' and ch2_dma_interr = '1')then ch2_dma_interr_set <= '1'; end if; end if; end process CH2_INTERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Slave Error ------------------------------------------------------------------------------- CH2_SLVERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_slverr_set <= '0'; -- Set slave error on desc updt Done and Slave Error elsif(updt_done = '1' and ch2_dma_slverr = '1')then ch2_dma_slverr_set <= '1'; end if; end if; end process CH2_SLVERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Decode Error ------------------------------------------------------------------------------- CH2_DECERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_decerr_set <= '0'; -- Set decode error on desc updt Done and Decode Error elsif(updt_done = '1' and ch2_dma_decerr = '1')then ch2_dma_decerr_set <= '1'; end if; end if; end process CH2_DECERR_PROCESS; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- -- Log Slave Errors reported during descriptor update SLV_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_slverr_set <= '0'; elsif(ch2_active_i = '1' and updt_slverr = '1')then ch2_updt_slverr_set <= '1'; end if; end if; end process SLV_SET_PROCESS; -- Log Internal Errors reported during descriptor update INT_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_interr_set <= '0'; elsif(ch2_active_i = '1' and updt_interr = '1')then -- coverage off ch2_updt_interr_set <= '1'; -- coverage on end if; end if; end process INT_SET_PROCESS; -- Log Decode Errors reported during descriptor update DEC_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_decerr_set <= '0'; elsif(ch2_active_i = '1' and updt_decerr = '1')then ch2_updt_decerr_set <= '1'; end if; end if; end process DEC_SET_PROCESS; -- Indicate update is idle if state machine is idle and update queue is empty IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then ch2_updt_idle <= '1'; elsif(service_ch2 = '1')then ch2_updt_idle <= '0'; elsif(service_ch2 = '0' and ch2_updt_sm_idle = '1')then ch2_updt_idle <= '1'; end if; end if; end process IDLE_PROCESS; --------------------------------------------------------------------------- -- Indicate update is done to allow fetch of next descriptor -- This is needed to prevent a partial descriptor being fetched -- and then axi read is throttled for extended periods until the -- remainder of the descriptor is fetched. -- -- Note: Only used when fetch queue not inluded otherwise -- tools optimize out this process --------------------------------------------------------------------------- REG_CH2_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_done <= '0'; elsif(updt_done = '1' and ch2_active_i = '1')then ch2_updt_done <= '1'; else ch2_updt_done <= '0'; end if; end if; end process REG_CH2_DONE; end generate GEN_CH2_UPDATE; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH2_UPDATE : if C_INCLUDE_CH2 = 0 generate begin service_ch2 <= '0'; ch2_active_i <= '0'; ch2_updt_idle <= '0'; ch2_updt_interr_set <= '0'; ch2_updt_slverr_set <= '0'; ch2_updt_decerr_set <= '0'; ch2_dma_interr_set <= '0'; ch2_dma_slverr_set <= '0'; ch2_dma_decerr_set <= '0'; ch2_updt_ioc_irq_set <= '0'; ch2_updt_done <= '0'; end generate GEN_NO_CH2_UPDATE; --------------------------------------------------------------------------- -- Register Current Update Address. Address captured from channel port -- or queue by axi_sg_updt_queue --------------------------------------------------------------------------- REG_UPDATE_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0'); -- update_tag <= "0000"; -- Channel 1 descriptor update pointer elsif(ch1_updt_curdesc_wren = '1')then update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4)) + 1); -- update_tag <= "0001"; -- -- Channel 2 descriptor update pointer -- elsif(ch2_updt_curdesc_wren = '1')then -- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4)) -- + 1); -- update_tag <= "0000"; end if; end if; end process REG_UPDATE_ADDRESS; update_tag <= "0000" when ch2_active_i = '1' else "0001"; --REG_UPDATE_ADDRESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0'); -- update_tag <= "0000"; -- -- Channel 1 descriptor update pointer -- elsif(ch1_updt_curdesc_wren = '1')then -- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4)) -- + 1); -- update_tag <= "0001"; -- -- Channel 2 descriptor update pointer -- elsif(ch2_updt_curdesc_wren = '1')then -- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4)) -- + 1); -- update_tag <= "0000"; -- end if; -- end if; -- end process REG_UPDATE_ADDRESS; update_address (3 downto 0) <= "1100"; -- Assigne Bytes to Transfer (BTT) update_cmd_btt <= UPDATE_CH1_CMD_BTT when ch1_active_i = '1' else UPDATE_CH2_CMD_BTT; updt_cmnd_data <= updt_cmnd_data_int; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- When command by sm, drive command to updt_cmdsts_if --GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- updt_cmnd_wr <= '0'; -- updt_cmnd_data_int <= (others => '0'); -- -- Fetch SM issued a command write -- elsif(write_cmnd_cmb = '1')then updt_cmnd_wr <= write_cmnd_cmb; --'1'; updt_cmnd_data_int <= UPDATE_CMD_RSVD & update_tag --UPDATE_CMD_TAG & update_address & UPDATE_MSB_IGNORED & UPDATE_CMD_TYPE & UPDATE_LSB_IGNORED & update_cmd_btt; -- else -- updt_cmnd_wr <= '0'; -- end if; -- end if; -- end process GEN_DATAMOVER_CMND; ------------------------------------------------------------------------------- -- Capture and hold fetch address in case an error occurs ------------------------------------------------------------------------------- LOG_ERROR_ADDR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= (others => '0'); elsif(write_cmnd_cmb = '1')then updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= update_address(C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB); end if; end if; end process LOG_ERROR_ADDR; updt_error_addr (5 downto 0) <= "000000"; end implementation;
gpl-3.0
92530dbd7c97c17cb09442cdb1e547fb
0.400791
4.603533
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/bftLib/round_4.vhdl
1
2,683
--///////////////////////////////////////////////////////////////////////// --// Copyright (c) 2008 Xilinx, Inc. All rights reserved. --// --// XILINX CONFIDENTIAL PROPERTY --// This document contains proprietary information which is --// protected by copyright. All rights are reserved. This notice --// refers to original work by Xilinx, Inc. which may be derivitive --// of other work distributed under license of the authors. In the --// case of derivitive work, nothing in this notice overrides the --// original author's license agreeement. Where applicable, the --// original license agreement is included in it's original --// unmodified form immediately below this header. --// --// Xilinx, Inc. --// XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" AS A --// COURTESY TO YOU. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION AS --// ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION OR --// STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION --// IS FREE FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE --// FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. --// XILINX EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO --// THE ADEQUACY OF THE IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO --// ANY WARRANTIES OR REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE --// FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY --// AND FITNESS FOR A PARTICULAR PURPOSE. --// --///////////////////////////////////////////////////////////////////////// -- This is round_4 of the FFT calculation -- Step size is 4 so X and X +8 are mixed together -- X0 with X8, X1 with X9 and etc -- U is a constant with a bogus value - you will want to change it library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_SIGNED.all; library bftLib; use bftLib.bftPackage.all; entity round_4 is generic ( DATA_WIDTH : integer := 16 ); port ( clk : in std_logic; x : in xType; xOut : out xType ); end entity round_4; architecture aR4 of round_4 is constant u : uType := (X"AF05", X"50FA", X"AE15", X"51EA", X"A2D5", X"5D2A", X"AC35", X"53CA"); begin transformLoop: for N in 0 to 7 generate ct: entity bftLib.coreTransform(aCT) generic map (DATA_WIDTH=> DATA_WIDTH) port map (clk => clk, x =>x(N), xStep=>x(N+8), u=>u(N), xOut=>xOut(N), xOutStep =>xOut(N+8)); end generate transformLoop; end architecture aR4;
gpl-2.0
68f4dc09b6291962b6f4d47eec5f8499
0.616101
3.968935
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/spi/spimctrl.vhd
1
38,341
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: spimctrl -- File: spimctrl.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- [email protected] -- -- Description: SPI flash memory controller. Supports a wide range of SPI -- memory devices with the data read instruction configurable via -- generics. Also has limited support for initializing and reading -- SD Cards in SPI mode. -- -- The controller has two memory areas. The flash area where the flash memory -- is directly mapped and the I/O area where core registers are mapped. -- -- Revision 1 added support for burst reads when sdcard = 0 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.devices.all; use grlib.stdlib.all; library gaisler; use gaisler.spi.all; entity spimctrl is generic ( hindex : integer := 0; -- AHB slave index hirq : integer := 0; -- Interrupt line faddr : integer := 16#000#; -- Flash map base address fmask : integer := 16#fff#; -- Flash area mask ioaddr : integer := 16#000#; -- I/O base address iomask : integer := 16#fff#; -- I/O mask spliten : integer := 0; -- AMBA SPLIT support oepol : integer := 0; -- Output enable polarity sdcard : integer range 0 to 1 := 0; -- Core is connected to SD card readcmd : integer range 0 to 255 := 16#0B#; -- Mem. dev. READ command dummybyte : integer range 0 to 1 := 1; -- Dummy byte after cmd dualoutput : integer range 0 to 1 := 0; -- Enable dual output scaler : integer range 1 to 512 := 1; -- SCK scaler altscaler : integer range 1 to 512 := 1; -- Alternate SCK scaler pwrupcnt : integer := 0; -- System clock cycles to init maxahbaccsz : integer range 0 to 256 := AHBDW; -- Max AHB access size offset : integer := 0 ); port ( rstn : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; spii : in spimctrl_in_type; spio : out spimctrl_out_type ); end spimctrl; architecture rtl of spimctrl is constant REVISION : amba_version_type := 1; constant HCONFIG : ahb_config_type := ( 0 => ahb_device_reg(VENDOR_GAISLER, GAISLER_SPIMCTRL, 0, REVISION, hirq), 4 => ahb_iobar(ioaddr, iomask), 5 => ahb_membar(faddr, '1', '1', fmask), others => zero32); -- BANKs constant CTRL_BANK : integer := 0; constant FLASH_BANK : integer := 1; constant MAXDW : integer := maxahbaccsz; ----------------------------------------------------------------------------- -- SD card constants ----------------------------------------------------------------------------- constant SD_BLEN : integer := 4; constant SD_CRC_BYTE : std_logic_vector(7 downto 0) := X"95"; constant SD_BLOCKLEN : std_logic_vector(31 downto 0) := conv_std_logic_vector(SD_BLEN, 32); -- Commands constant SD_CMD0 : std_logic_vector(5 downto 0) := "000000"; constant SD_CMD16 : std_logic_vector(5 downto 0) := "010000"; constant SD_CMD17 : std_logic_vector(5 downto 0) := "010001"; constant SD_CMD55 : std_logic_vector(5 downto 0) := "110111"; constant SD_ACMD41 : std_logic_vector(5 downto 0) := "101001"; -- Command timeout constant SD_CMD_TIMEOUT : integer := 100; -- Data token timeout constant SD_DATATOK_TIMEOUT : integer := 312500; ----------------------------------------------------------------------------- -- SPI device constants ----------------------------------------------------------------------------- -- Length of read instruction argument-1 constant SPI_ARG_LEN : integer := 2 + dummybyte; ----------------------------------------------------------------------------- -- Core constants ----------------------------------------------------------------------------- -- OEN constant OUTPUT : std_ulogic := conv_std_logic(oepol = 1); -- Enable outputs constant INPUT : std_ulogic := not OUTPUT; -- Tri-state outputs -- Register offsets constant CONF_REG_OFF : std_logic_vector(7 downto 2) := "000000"; constant CTRL_REG_OFF : std_logic_vector(7 downto 2) := "000001"; constant STAT_REG_OFF : std_logic_vector(7 downto 2) := "000010"; constant RX_REG_OFF : std_logic_vector(7 downto 2) := "000011"; constant TX_REG_OFF : std_logic_vector(7 downto 2) := "000100"; ----------------------------------------------------------------------------- -- Subprograms ----------------------------------------------------------------------------- -- Description: Determines required size of timer used for clock scaling function timer_size return integer is begin -- timer_size if altscaler > scaler then return altscaler; end if; return scaler; end timer_size; -- Description: Returns the number of bits required for the haddr vector to -- be able to save the Flash area address. function req_addr_bits return integer is begin -- req_addr_bits case fmask is when 16#fff# => return 20; when 16#ffe# => return 21; when 16#ffc# => return 22; when 16#ff8# => return 23; when 16#ff0# => return 24; when 16#fe0# => return 25; when 16#fc0# => return 26; when 16#f80# => return 27; when 16#f00# => return 28; when 16#e00# => return 29; when 16#c00# => return 30; when others => return 31; end case; end req_addr_bits; -- Description: Returns true if SCK clock should transition function sck_toggle ( curr : std_logic_vector((timer_size-1) downto 0); last : std_logic_vector((timer_size-1) downto 0); usealtscaler : boolean) return boolean is begin -- sck_toggle if usealtscaler then return (curr(altscaler-1) xor last(altscaler-1)) = '1'; end if; return (curr(scaler-1) xor last(scaler-1)) = '1'; end sck_toggle; -- Description: Short for conv_std_logic_vector, avoiding an alias function cslv ( i : integer; w : integer) return std_logic_vector is begin -- cslv return conv_std_logic_vector(i,w); end cslv; -- Description: Calculates value for spi.cnt based on AMBA HSIZE function calc_spi_cnt ( hsize : std_logic_vector(2 downto 0)) return std_logic_vector is variable cnt : std_logic_vector(4 downto 0) := (others => '0'); begin -- calc_spi_cnt for i in 0 to 4 loop if i < conv_integer(hsize) then cnt(i) := '1'; end if; end loop; -- i return cnt; end calc_spi_cnt; ----------------------------------------------------------------------------- -- States ----------------------------------------------------------------------------- -- Main FSM states type spimstate_type is (IDLE, AHB_RESPOND, USER_SPI, BUSY); -- SPI device FSM states type spistate_type is (SPI_PWRUP, SPI_READY, SPI_READ, SPI_ADDR, SPI_DATA); -- SD FSM states type sdstate_type is (SD_CHECK_PRES, SD_PWRUP0, SD_PWRUP1, SD_INIT_IDLE, SD_ISS_ACMD41, SD_CHECK_CMD16, SD_READY, SD_CHECK_CMD17, SD_CHECK_TOKEN, SD_HANDLE_DATA, SD_SEND_CMD, SD_GET_RESP); ----------------------------------------------------------------------------- -- Types ----------------------------------------------------------------------------- type spim_ctrl_reg_type is record -- Control register eas : std_ulogic; -- Enable alternate scaler ien : std_ulogic; -- Interrupt enable usrc : std_ulogic; -- User mode end record; type spim_stat_reg_type is record -- Status register busy : std_ulogic; -- Core busy done : std_ulogic; -- User operation done end record; type spim_regif_type is record -- Register bank ctrl : spim_ctrl_reg_type; -- Control register stat : spim_stat_reg_type; -- Status register end record; type sdcard_type is record -- Present when SD card state : sdstate_type; -- SD state tcnt : std_logic_vector(2 downto 0); -- Transmit count rcnt : std_logic_vector(3 downto 0); -- Receive count cmd : std_logic_vector(5 downto 0); -- SD command rstate : sdstate_type; -- Return state htb : std_ulogic; -- Handle trailing byte vresp : std_ulogic; -- Valid response cd : std_ulogic; -- Synchronized card detect timeout : std_ulogic; -- Timeout status bit dtocnt : std_logic_vector(18 downto 0); -- Data token timeout counter ctocnt : std_logic_vector(6 downto 0); -- CMD resp. timeout counter end record; type spiflash_type is record -- Present when !SD card state : spistate_type; -- Mem. device comm. state cnt : std_logic_vector(4 downto 0); -- Generic counter hsize : std_logic_vector(2 downto 0); -- Size of access hburst : std_logic_vector(0 downto 0); -- Incremental burst end record; type spimctrl_in_array is array (1 downto 0) of spimctrl_in_type; type spim_reg_type is record -- Common spimstate : spimstate_type; -- Main FSM rst : std_ulogic; -- Reset reg : spim_regif_type; -- Register bank timer : std_logic_vector((timer_size-1) downto 0); sample : std_logic_vector(1 downto 0); -- Sample data line bd : std_ulogic; sreg : std_logic_vector(7 downto 0); -- Shiftreg bcnt : std_logic_vector(2 downto 0); -- Bit counter go : std_ulogic; -- SPI comm. active stop : std_ulogic; -- Stop SPI comm. ar : std_logic_vector(MAXDW-1 downto 0); -- argument/response hold : std_ulogic; -- Do not shift ar insplit : std_ulogic; -- SPLIT response issued unsplit : std_ulogic; -- SPLIT complete not issued -- SPI flash device spi : spiflash_type; -- Used when !SD card -- SD sd : sdcard_type; -- Used when SD card -- AHB irq : std_ulogic; -- Interrupt request hsize : std_logic_vector(2 downto 0); hwrite : std_ulogic; hsel : std_ulogic; hmbsel : std_logic_vector(0 to 1); haddr : std_logic_vector((req_addr_bits-1) downto 0); hready : std_ulogic; frdata : std_logic_vector(MAXDW-1 downto 0); -- Flash response data rrdata : std_logic_vector(7 downto 0); -- Register response data hresp : std_logic_vector(1 downto 0); splmst : std_logic_vector(log2(NAHBMST)-1 downto 0); -- SPLIT:ed master hsplit : std_logic_vector(NAHBMST-1 downto 0); -- Other SPLIT:ed masters ahbcancel : std_ulogic; -- Locked access cancels ongoing SPLIT -- response hburst : std_logic_vector(0 downto 0); seq : std_ulogic; -- Sequential burst -- Inputs and outputs spii : spimctrl_in_array; spio : spimctrl_out_type; end record; ----------------------------------------------------------------------------- -- Signals ----------------------------------------------------------------------------- signal r, rin : spim_reg_type; begin -- rtl comb: process (r, rstn, ahbsi, spii) variable v : spim_reg_type; variable change : std_ulogic; variable regaddr : std_logic_vector(7 downto 2); variable hsplit : std_logic_vector(NAHBMST-1 downto 0); variable ahbirq : std_logic_vector((NAHBIRQ-1) downto 0); variable lastbit : std_ulogic; variable enable_altscaler : boolean; variable disable_flash : boolean; variable read_flash : boolean; variable hrdata : std_logic_vector(MAXDW-1 downto 0); variable hwdata : std_logic_vector(7 downto 0); begin -- process comb v := r; v.spii := r.spii(0) & spii; v.sample := r.sample(0) & '0'; change := '0'; v.irq := '0'; v.hresp := HRESP_OKAY; v.hready := '1'; regaddr := r.haddr(7 downto 2); hsplit := (others => '0'); hwdata := ahbreadword(ahbsi.hwdata, r.haddr(4 downto 2))(7 downto 0); ahbirq := (others => '0'); ahbirq(hirq) := r.irq; if sdcard = 1 then v.sd.cd := r.spii(0).cd; else v.sd.cd := '0'; end if; read_flash := false; enable_altscaler := (not r.spio.initialized or r.reg.ctrl.eas) = '1'; disable_flash := (r.spio.errorn = '0' or r.reg.ctrl.usrc = '1' or r.spio.initialized = '0' or r.spimstate = USER_SPI); if dualoutput = 1 and sdcard = 0 then lastbit := andv(r.bcnt(1 downto 0)) and ((r.spio.mosioen xnor INPUT) or r.bcnt(2)); else lastbit := andv(r.bcnt); end if; v.bd := lastbit and r.sample(0); --------------------------------------------------------------------------- -- AHB communication --------------------------------------------------------------------------- if ahbsi.hready = '1' then if (ahbsi.hsel(hindex) and ahbsi.htrans(1)) = '1' then v.hmbsel := ahbsi.hmbsel(r.hmbsel'range); if (spliten = 0 or r.spimstate /= AHB_RESPOND or ahbsi.hmbsel(CTRL_BANK) = '1' or ahbsi.hmastlock = '1') then -- Writes to register space have no wait state v.hready := ahbsi.hmbsel(CTRL_BANK) and ahbsi.hwrite; v.hsize := ahbsi.hsize; v.hwrite := ahbsi.hwrite; v.haddr := ahbsi.haddr(r.haddr'range); v.hsel := '1'; if ahbsi.hmbsel(FLASH_BANK) = '1' then if sdcard = 0 then v.hburst(r.hburst'range) := ahbsi.hburst(r.hburst'range); v.seq := ahbsi.htrans(0); end if; if ahbsi.hwrite = '1' or disable_flash then v.hresp := HRESP_ERROR; v.hsel := '0'; else if spliten /= 0 then if ahbsi.hmastlock = '0' then v.hresp := HRESP_SPLIT; v.splmst := ahbsi.hmaster; v.unsplit := '1'; else v.ahbcancel := r.insplit; end if; v.insplit := not ahbsi.hmastlock; end if; end if; end if; else -- Core is busy, transfer is not locked and access was to flash -- area. Respond with SPLIT or insert wait states v.hready := '0'; if spliten /= 0 then v.hresp := HRESP_SPLIT; v.hsplit(conv_integer(ahbsi.hmaster)) := '1'; end if; end if; else v.hsel := '0'; end if; end if; if (r.hready = '0') then if (r.hresp = HRESP_OKAY) then v.hready := '0'; else v.hresp := r.hresp; end if; end if; -- Read access to core registers if (r.hsel and r.hmbsel(CTRL_BANK) and not r.hwrite) = '1' then v.rrdata := (others => '0'); v.hready := '1'; v.hsel := '0'; case regaddr is when CONF_REG_OFF => if sdcard = 1 then v.rrdata := (others => '0'); else v.rrdata := cslv(readcmd, 8); end if; when CTRL_REG_OFF => v.rrdata(3) := r.spio.csn; v.rrdata(2) := r.reg.ctrl.eas; v.rrdata(1) := r.reg.ctrl.ien; v.rrdata(0) := r.reg.ctrl.usrc; when STAT_REG_OFF => v.rrdata(5) := r.sd.cd; v.rrdata(4) := r.sd.timeout; v.rrdata(3) := not r.spio.errorn; v.rrdata(2) := r.spio.initialized; v.rrdata(1) := r.reg.stat.busy; v.rrdata(0) := r.reg.stat.done; when RX_REG_OFF => v.rrdata := r.ar(7 downto 0); when others => null; end case; end if; -- Write access to core registers if (r.hsel and r.hmbsel(CTRL_BANK) and r.hwrite) = '1' then case regaddr is when CTRL_REG_OFF => v.rst := hwdata(4); if (r.reg.ctrl.usrc and not hwdata(0)) = '1' then v.spio.csn := '1'; elsif hwdata(0) = '1' then v.spio.csn := hwdata(3); end if; v.reg.ctrl.eas := hwdata(2); v.reg.ctrl.ien := hwdata(1); v.reg.ctrl.usrc := hwdata(0); when STAT_REG_OFF => v.spio.errorn := r.spio.errorn or hwdata(3); v.reg.stat.done := r.reg.stat.done and not hwdata(0); when RX_REG_OFF => null; when TX_REG_OFF => if r.reg.ctrl.usrc = '1' then v.sreg := hwdata(7 downto 0); end if; when others => null; end case; end if; --------------------------------------------------------------------------- -- SPIMCTRL control FSM --------------------------------------------------------------------------- v.reg.stat.busy := '1'; case r.spimstate is when BUSY => -- Wait for core to finish user mode access if (r.go or r.spio.sck) = '0' then v.spimstate := IDLE; v.reg.stat.done:= '1'; v.irq := r.reg.ctrl.ien; end if; when AHB_RESPOND => if r.spio.ready = '1' then if spliten /= 0 and r.unsplit = '1' then hsplit(conv_integer(r.splmst)) := '1'; v.unsplit := '0'; end if; if ((spliten = 0 or v.ahbcancel = '0') and (spliten = 0 or ahbsi.hmaster = r.splmst or r.insplit = '0') and (((ahbsi.hsel(hindex) and ahbsi.hready and ahbsi.htrans(1)) = '1') or ((spliten = 0 or r.insplit = '0') and r.hready = '0' and r.hresp = HRESP_OKAY))) then v.spimstate := IDLE; v.hresp := HRESP_OKAY; if spliten /= 0 then v.insplit := '0'; v.hsplit := r.hsplit; end if; v.hready := '1'; v.hsel := '0'; if r.spio.errorn = '0' then v.hready := '0'; v.hresp := HRESP_ERROR; end if; elsif spliten /= 0 and v.ahbcancel = '1' then v.spimstate := IDLE; v.ahbcancel := '0'; end if; end if; when USER_SPI => if r.bd = '1' then v.spimstate := BUSY; v.hold := '1'; end if; when others => -- IDLE if spliten /= 0 and r.hresp /= HRESP_SPLIT then hsplit := r.hsplit; v.hsplit := (others => '0'); end if; v.reg.stat.busy := '0'; if r.hsel = '1' then if r.hmbsel(FLASH_BANK) = '1' then -- Access to memory mapped flash area v.spimstate := AHB_RESPOND; read_flash := true; elsif regaddr = TX_REG_OFF and (r.hwrite and r.reg.ctrl.usrc) = '1' then -- Access to core transmit register v.spimstate := USER_SPI; v.go := '1'; v.stop := '1'; change := '1'; v.hold := '0'; if sdcard = 0 and dualoutput = 1 then v.spio.mosioen := OUTPUT; end if; end if; end if; end case; --------------------------------------------------------------------------- -- SD Card specific code --------------------------------------------------------------------------- -- SD card initialization sequence: -- * Check if card is present -- * Perform power-up initialization sequence -- * Issue CMD0 GO_IDLE_STATE -- * Issue CMD55 APP_CMD -- * Issue ACMD41 SEND_OP_COND -- * Issue CMD16 SET_BLOCKLEN if sdcard = 1 then case r.sd.state is when SD_PWRUP0 => v.go := '1'; v.sd.vresp := '1'; v.sd.state := SD_GET_RESP; v.sd.rstate := SD_PWRUP1; v.sd.rcnt := cslv(2, r.sd.rcnt'length); when SD_PWRUP1 => v.sd.state := SD_SEND_CMD; v.sd.rstate := SD_INIT_IDLE; v.sd.cmd := SD_CMD0; v.sd.rcnt := (others => '0'); v.ar := (others => '0'); when SD_INIT_IDLE => v.sd.state := SD_SEND_CMD; v.sd.rcnt := (others => '0'); if r.ar(0) = '0' and r.sd.cmd /= SD_CMD0 then v.sd.cmd := SD_CMD16; v.ar := SD_BLOCKLEN; v.sd.rstate := SD_CHECK_CMD16; else v.sd.cmd := SD_CMD55; v.ar := (others => '0'); v.sd.rstate := SD_ISS_ACMD41; end if; when SD_ISS_ACMD41 => v.sd.state := SD_SEND_CMD; v.sd.cmd := SD_ACMD41; v.sd.rcnt := (others => '0'); v.ar := (others => '0'); v.sd.rstate := SD_INIT_IDLE; when SD_CHECK_CMD16 => if r.ar(7 downto 0) /= zero32(7 downto 0) then v.spio.errorn := '0'; else v.spio.errorn := '1'; v.spio.initialized := '1'; v.sd.timeout := '0'; end if; v.sd.state := SD_READY; when SD_READY => v.spio.ready := '1'; v.sd.cmd := SD_CMD17; v.sd.rstate := SD_CHECK_CMD17; if read_flash then v.sd.state := SD_SEND_CMD; v.spio.ready := '0'; v.ar := (others => '0'); v.ar(r.haddr'left downto 2) := r.haddr(r.haddr'left downto 2); end if; when SD_CHECK_CMD17 => if r.ar(7 downto 0) /= X"00" then v.sd.state := SD_READY; v.spio.errorn := '0'; else v.sd.rstate := SD_CHECK_TOKEN; v.spio.csn := '0'; v.go := '1'; change := '1'; end if; v.sd.dtocnt := cslv(SD_DATATOK_TIMEOUT, r.sd.dtocnt'length); v.sd.state := SD_GET_RESP; v.sd.vresp := '1'; v.hold := '0'; when SD_CHECK_TOKEN => if (r.ar(7 downto 5) = "111" and r.sd.dtocnt /= zero32(r.sd.dtocnt'range)) then v.sd.dtocnt := r.sd.dtocnt - 1; v.sd.state := SD_GET_RESP; if r.ar(0) = '0' then v.sd.rstate := SD_HANDLE_DATA; v.sd.rcnt := cslv(SD_BLEN-1, r.sd.rcnt'length); end if; v.spio.csn := '0'; v.go := '1'; change := '1'; else v.spio.errorn := '0'; v.sd.state := SD_READY; end if; v.sd.timeout := not orv(r.sd.dtocnt); v.sd.ctocnt := cslv(SD_CMD_TIMEOUT, r.sd.ctocnt'length); v.hold := '0'; when SD_HANDLE_DATA => v.frdata := r.ar; -- Receive and discard CRC v.sd.state := SD_GET_RESP; v.sd.rstate := SD_READY; v.sd.htb := '1'; v.spio.csn := '0'; v.go := '1'; change := '1'; v.sd.vresp := '1'; v.spio.errorn := '1'; when SD_SEND_CMD => v.sd.htb := '1'; v.sd.vresp := '0'; v.spio.csn := '0'; v.sd.ctocnt := cslv(SD_CMD_TIMEOUT, r.sd.ctocnt'length); if (v.bd or not r.go) = '1'then v.hold := '0'; case r.sd.tcnt is when "000" => v.sreg := "01" & r.sd.cmd; v.hold := '1'; change := '1'; when "001" => v.sreg := r.ar(31 downto 24); when "010" => v.sreg := r.ar(30 downto 23); when "011" => v.sreg := r.ar(30 downto 23); when "100" => v.sreg := r.ar(30 downto 23); when "101" => v.sreg := SD_CRC_BYTE; when others => v.sd.state := SD_GET_RESP; end case; v.go := '1'; v.sd.tcnt := r.sd.tcnt + 1; end if; when SD_GET_RESP => if v.bd = '1' then if r.sd.vresp = '1' or r.sd.ctocnt = zero32(r.sd.ctocnt'range) then if r.sd.rcnt = zero32(r.sd.rcnt'range) then if r.sd.htb = '0' then v.spio.csn := '1'; end if; v.sd.htb := '0'; v.hold := '1'; else v.sd.rcnt := r.sd.rcnt - 1; end if; else v.sd.ctocnt := r.sd.ctocnt - 1; end if; end if; if lastbit = '1' then v.sd.vresp := r.sd.vresp or not r.ar(6); if r.sd.rcnt = zero32(r.sd.rcnt'range) then v.stop := r.sd.vresp and r.go and not r.sd.htb; end if; end if; if r.sd.ctocnt = zero32(r.sd.ctocnt'range) then v.stop := r.go; end if; if (r.go or r.spio.sck) = '0' then v.sd.state := r.sd.rstate; if r.sd.ctocnt = zero32(r.sd.ctocnt'range) then if r.spio.initialized = '1' then v.sd.state := SD_READY; else -- Try to initialize again v.sd.state := SD_CHECK_PRES; end if; v.spio.errorn := '0'; v.sd.timeout := '1'; end if; v.spio.csn := '1'; end if; v.sd.tcnt := (others => '0'); when others => -- SD_CHECK_PRES if r.sd.cd = '1' then v.go := '1'; v.spio.csn := '0'; v.sd.state := SD_GET_RESP; v.spio.cdcsnoen := OUTPUT; end if; v.sd.htb := '0'; v.sd.vresp := '1'; v.sd.rstate := SD_PWRUP0; v.sd.rcnt := cslv(10, r.sd.rcnt'length); v.sd.ctocnt := cslv(SD_CMD_TIMEOUT, r.sd.ctocnt'length); end case; end if; --------------------------------------------------------------------------- -- SPI Flash (non SD) specific code --------------------------------------------------------------------------- if sdcard = 0 then case r.spi.state is when SPI_READ => if r.go = '0' then v.go := '1'; change := '1'; end if; v.spi.cnt := cslv(SPI_ARG_LEN, r.spi.cnt'length); if v.bd = '1' then v.sreg := r.ar(23 downto 16); end if; if r.bd = '1' then v.hold := '0'; v.spi.state := SPI_ADDR; end if; when SPI_ADDR => if v.bd = '1' then v.sreg := r.ar(22 downto 15); if dualoutput = 1 then if r.spi.cnt = zero32(r.spi.cnt'range) then v.spio.mosioen := INPUT; end if; end if; end if; if r.bd = '1' then if r.spi.cnt = zero32(r.spi.cnt'range) then v.spi.state := SPI_DATA; v.spi.cnt := calc_spi_cnt(r.spi.hsize); else v.spi.cnt := r.spi.cnt - 1; end if; end if; when SPI_DATA => if v.bd = '1' then v.spi.cnt := r.spi.cnt - 1; end if; if lastbit = '1' and r.spi.cnt = zero32(r.spi.cnt'range) then v.stop := r.go; end if; if (r.go or r.spio.sck) = '0' then if r.spi.hburst(0) = '0' then -- not an incrementing burst v.spi.state := SPI_PWRUP; -- CSN wait v.spio.csn := '1'; v.go := '1'; v.stop := '1'; v.seq := '1'; -- Make right choice in SPI_PWRUP v.bcnt := "110"; else v.spi.state := SPI_READY; end if; v.hold := '1'; end if; when SPI_READY => v.spio.ready := '1'; if read_flash then v.go := '1'; if dualoutput = 1 then v.bcnt(2) := '0'; end if; if r.spio.csn = '1' then -- New access, command and address v.go := '0'; v.spio.csn := '0'; v.spi.state := SPI_READ; elsif r.seq = '1' then -- Continuation of burst v.spi.state := SPI_DATA; v.hold := '0'; else -- Burst ended and new access v.stop := '1'; v.spio.csn := '1'; v.spi.state := SPI_PWRUP; v.bcnt := "011"; end if; v.ar := (others => '0'); if offset /= 0 then v.ar(r.haddr'range) := r.haddr + cslv(offset, req_addr_bits); else v.ar(r.haddr'range) := r.haddr; end if; v.spio.ready := '0'; v.sreg := cslv(readcmd, 8); end if; if r.spio.ready = '0' then case r.spi.hsize is when HSIZE_BYTE => for i in 0 to (MAXDW/8-1) loop v.frdata(7+8*i downto 8*i):= r.ar(7 downto 0); end loop; -- i when HSIZE_HWORD => for i in 0 to (MAXDW/16-1) loop v.frdata(15+16*i downto 16*i) := r.ar(15 downto 0); end loop; -- i when HSIZE_WORD => for i in 0 to (MAXDW/32-1) loop v.frdata(31+32*i downto 32*i) := r.ar(31 downto 0); end loop; -- i when HSIZE_DWORD => if MAXDW > 32 and AHBDW > 32 then for i in 0 to (MAXDW/64-1) loop if MAXDW = 64 then v.frdata(MAXDW-1+MAXDW*i downto MAXDW*i) := r.ar(MAXDW-1 downto 0); elsif MAXDW = 128 then v.frdata(MAXDW/2-1+MAXDW/2*i downto MAXDW/2*i) := r.ar(MAXDW/2-1 downto 0); else v.frdata(MAXDW/4-1+MAXDW/4*i downto MAXDW/4*i) := r.ar(MAXDW/4-1 downto 0); end if; end loop; -- i else null; end if; when HSIZE_4WORD => if MAXDW > 64 and AHBDW > 64 then for i in 0 to (MAXDW/128-1) loop if MAXDW = 128 then v.frdata(MAXDW-1+MAXDW*i downto MAXDW*i) := r.ar(MAXDW-1 downto 0); else v.frdata(MAXDW/2-1+MAXDW/2*i downto MAXDW/2*i) := r.ar(MAXDW/2-1 downto 0); end if; end loop; -- i else null; end if; when others => if MAXDW > 128 and AHBDW > 128 then v.frdata := r.ar; else null; end if; end case; end if; v.spi.hsize := r.hsize; v.spi.hburst(0) := r.hburst(0); v.spi.cnt := calc_spi_cnt(r.spi.hsize); when others => -- SPI_PWRUP v.hold := '1'; if r.spio.initialized = '1' then -- Chip select wait if (r.go or r.spio.sck) = '0' then if r.seq = '1' then v.spi.state := SPI_READY; else v.spi.state := SPI_READ; v.spio.csn := '0'; end if; if dualoutput = 1 then v.spio.mosioen := OUTPUT; v.bcnt(2) := '0'; end if; end if; else -- Power up wait if pwrupcnt /= 0 then v.frdata := r.frdata - 1; if r.frdata = zahbdw(r.frdata'range) then v.spio.initialized := '1'; v.spi.state := SPI_READY; end if; else v.spio.initialized := '1'; v.spi.state := SPI_READY; end if; end if; end case; end if; --------------------------------------------------------------------------- -- SPI communication --------------------------------------------------------------------------- -- Clock generation if (r.go or r.spio.sck) = '1' then v.timer := r.timer - 1; if sck_toggle(v.timer, r.timer, enable_altscaler) then v.spio.sck := not r.spio.sck; v.sample(0) := not r.spio.sck; change := r.spio.sck and r.go; if (v.stop and lastbit and not r.spio.sck) = '1' then v.go := '0'; v.stop := '0'; end if; end if; else v.timer := (others => '1'); end if; if r.sample(0) = '1' then v.bcnt := r.bcnt + 1; end if; if r.sample(1-sdcard) = '1' then if r.hold = '0' then if sdcard = 0 and dualoutput = 1 and r.spio.mosioen = INPUT then v.ar := r.ar(r.ar'left-2 downto 0) & r.spii(1-sdcard).miso & r.spii(1-sdcard).mosi; else v.ar := r.ar(r.ar'left-1 downto 0) & r.spii(1-sdcard).miso; end if; end if; end if; if change = '1' then v.spio.mosi := v.sreg(7); if sdcard = 1 or r.spi.state /= SPI_PWRUP then v.sreg(7 downto 0) := v.sreg(6 downto 0) & '1'; end if; end if; --------------------------------------------------------------------------- -- System and core reset --------------------------------------------------------------------------- if (not rstn or r.rst) = '1' then if sdcard = 1 then v.sd.state := SD_CHECK_PRES; v.spio.cdcsnoen := INPUT; v.sd.timeout := '0'; else v.spi.state := SPI_PWRUP; v.frdata := cslv(pwrupcnt, r.frdata'length); v.spio.cdcsnoen := OUTPUT; end if; v.spimstate := IDLE; v.rst := '0'; -- v.reg.ctrl := ('0', '0', '0'); v.reg.stat.done := '0'; -- v.sample := (others => '0'); v.sreg := (others => '1'); v.bcnt := (others => '0'); v.go := '0'; v.stop := '0'; v.hold := '0'; v.unsplit := '0'; -- v.hready := '1'; v.hwrite := '0'; v.hsel := '0'; v.hmbsel := (others => '0'); v.ahbcancel := '0'; -- v.spio.sck := '0'; v.spio.mosi := '1'; v.spio.mosioen := OUTPUT; v.spio.csn := '1'; v.spio.errorn := '1'; v.spio.initialized := '0'; v.spio.ready := '0'; end if; --------------------------------------------------------------------------- -- Drive unused signals --------------------------------------------------------------------------- if sdcard = 1 then v.spi.state := SPI_PWRUP; v.spi.cnt := (others => '0'); v.spi.hsize := (others => '0'); v.spi.hburst := (others => '0'); v.hburst := (others => '0'); v.seq := '0'; else v.sd.state := SD_CHECK_PRES; v.sd.tcnt := (others => '0'); v.sd.rcnt := (others => '0'); v.sd.cmd := (others => '0'); v.sd.rstate := SD_CHECK_PRES; v.sd.htb := '0'; v.sd.vresp := '0'; v.sd.timeout := '0'; v.sd.dtocnt := (others => '0'); v.sd.ctocnt := (others => '0'); end if; if spliten = 0 then v.insplit := '0'; v.unsplit := '0'; v.splmst := (others => '0'); v.hsplit := (others => '0'); v.ahbcancel := '0'; end if; --------------------------------------------------------------------------- -- Signal assignments --------------------------------------------------------------------------- -- Core registers rin <= v; -- AHB slave output ahbso.hready <= r.hready; ahbso.hresp <= r.hresp; if r.hmbsel(CTRL_BANK) = '1' then for i in 0 to (MAXDW/32-1) loop hrdata(31 + 32*i downto 32*i) := zero32(31 downto 8) & r.rrdata; end loop; else hrdata := r.frdata; end if; ahbso.hrdata <= ahbdrivedata(hrdata); ahbso.hconfig <= HCONFIG; ahbso.hirq <= ahbirq; ahbso.hindex <= hindex; ahbso.hsplit <= hsplit; -- SPI signals spio <= r.spio; end process comb; reg: process (clk) begin -- process reg if rising_edge(clk) then r <= rin; end if; end process reg; -- Boot message -- pragma translate_off bootmsg : report_version generic map ( "spimctrl" & tost(hindex) & ": SPI memory controller rev " & tost(REVISION) & ", irq " & tost(hirq)); -- pragma translate_on end rtl;
gpl-2.0
43a94a4666c7b7ac9bc5911c8890e0fb
0.45064
3.836786
false
false
false
false
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_ftch_queue.vhd
3
37,512
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_queue.vhd -- Description: This entity is the descriptor fetch queue interface -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_pkg.all; --use axi_sg_v4_1.axi_sg_afifo_autord.all; library lib_fifo_v1_0; use lib_fifo_v1_0.sync_fifo_fg; library lib_pkg_v1_0; use lib_pkg_v1_0.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_queue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Stream Data width C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_SG2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_INCLUDE_MM2S : integer range 0 to 1 := 0; C_INCLUDE_S2MM : integer range 0 to 1 := 0; C_ENABLE_CDMA : integer range 0 to 1 := 0; C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; C_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_primary_aclk : in std_logic ; m_axi_sg_aresetn : in std_logic ; -- p_reset_n : in std_logic ; ch2_sg_idle : in std_logic ; -- Channel Control -- desc1_flush : in std_logic ; -- ch1_cntrl_strm_stop : in std_logic ; desc2_flush : in std_logic ; -- ftch1_active : in std_logic ; -- ftch2_active : in std_logic ; -- ftch1_queue_empty : out std_logic ; -- ftch2_queue_empty : out std_logic ; -- ftch1_queue_full : out std_logic ; -- ftch2_queue_full : out std_logic ; -- ftch1_pause : out std_logic ; -- ftch2_pause : out std_logic ; -- -- writing_nxtdesc_in : in std_logic ; -- writing1_curdesc_out : out std_logic ; -- writing2_curdesc_out : out std_logic ; -- -- -- DataMover Command -- ftch_cmnd_wr : in std_logic ; -- ftch_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- MM2S Stream In from DataMover -- m_axis_mm2s_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_mm2s_tlast : in std_logic ; -- m_axis_mm2s_tvalid : in std_logic ; -- sof_ftch_desc : in std_logic ; m_axis1_mm2s_tready : out std_logic ; -- m_axis2_mm2s_tready : out std_logic ; -- -- data_concat : in std_logic_vector -- (95 downto 0) ; -- data_concat_mcdma : in std_logic_vector -- (63 downto 0) ; -- data_concat_tlast : in std_logic ; -- next_bd : in std_logic_vector (31 downto 0); data_concat_valid : in std_logic ; -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ftch_aclk : in std_logic ; -- m_axis_ftch1_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ftch1_tvalid : out std_logic ; -- m_axis_ftch1_tready : in std_logic ; -- m_axis_ftch1_tlast : out std_logic ; -- m_axis_ftch1_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA downto 0); -- m_axis_ftch1_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ftch1_tvalid_new : out std_logic ; -- m_axis_ftch1_desc_available : out std_logic ; m_axis_ftch2_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ftch2_tvalid : out std_logic ; -- m_axis_ftch2_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA downto 0); -- m_axis_ftch2_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ftch2_tvalid_new : out std_logic ; -- m_axis_ftch2_desc_available : out std_logic ; m_axis_ftch2_tready : in std_logic ; -- m_axis_ftch2_tlast : out std_logic ; -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (31 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- (3 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic := '0'; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_sg_ftch_queue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_queue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; attribute mark_debug : string; -- Number of words deep fifo needs to be -- 6 is subtracted as BD address are always 16 word aligned constant FIFO_WIDTH : integer := (128*C_ENABLE_CDMA + 97*(1-C_ENABLE_CDMA) -6); constant C_SG_WORDS_TO_FETCH1 : integer := C_SG_WORDS_TO_FETCH + 2*C_ENABLE_MULTI_CHANNEL; --constant FETCH_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_FTCH_DESC2QUEUE -- * C_SG_WORDS_TO_FETCH1)); constant FETCH_QUEUE_DEPTH : integer := 16; -- Select between BRAM or Logic Memory Type constant MEMORY_TYPE : integer := bo2int(C_SG_FTCH_DESC2QUEUE * C_SG_WORDS_TO_FETCH1 > 16); constant FETCH_QUEUE_CNT_WIDTH : integer := clog2(FETCH_QUEUE_DEPTH+1); constant DCNT_LO_INDEX : integer := max2(1,clog2(C_SG_WORDS_TO_FETCH1)) - 1; constant DCNT_HI_INDEX : integer := FETCH_QUEUE_CNT_WIDTH-1; -- CR616461 constant C_SG2_WORDS_TO_FETCH1 : integer := C_SG2_WORDS_TO_FETCH; constant FETCH2_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_FTCH_DESC2QUEUE * C_SG2_WORDS_TO_FETCH1)); -- Select between BRAM or Logic Memory Type constant MEMORY2_TYPE : integer := bo2int(C_SG_FTCH_DESC2QUEUE * C_SG2_WORDS_TO_FETCH1 > 16); constant FETCH2_QUEUE_CNT_WIDTH : integer := clog2(FETCH2_QUEUE_DEPTH+1); constant DCNT2_LO_INDEX : integer := max2(1,clog2(C_SG2_WORDS_TO_FETCH1)) - 1; constant DCNT2_HI_INDEX : integer := FETCH2_QUEUE_CNT_WIDTH-1; -- CR616461 -- Width of fifo rd and wr counts - only used for proper fifo operation constant DESC2QUEUE_VECT_WIDTH : integer := 4; --constant SG_FTCH_DESC2QUEUE_VECT : std_logic_vector(DESC2QUEUE_VECT_WIDTH-1 downto 0) -- := std_logic_vector(to_unsigned(C_SG_FTCH_DESC2QUEUE,DESC2QUEUE_VECT_WIDTH)); -- CR616461 constant SG_FTCH_DESC2QUEUE_VECT : std_logic_vector(DESC2QUEUE_VECT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned(C_SG_FTCH_DESC2QUEUE,DESC2QUEUE_VECT_WIDTH)); -- CR616461 --constant DCNT_HI_INDEX : integer := (DCNT_LO_INDEX + DESC2QUEUE_VECT_WIDTH) - 1; -- CR616461 constant ZERO_COUNT : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); constant ZERO_COUNT1 : std_logic_vector(FETCH2_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Internal signals signal curdesc_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_tvalid : std_logic := '0'; signal ftch_tvalid : std_logic := '0'; signal ftch_tvalid_new : std_logic := '0'; signal ftch_tdata : std_logic_vector (31 downto 0) := (others => '0'); signal ftch_tdata_new, reg1, reg2 : std_logic_vector (FIFO_WIDTH-1 downto 0) := (others => '0'); attribute mark_debug of ftch_tdata_new : signal is "true"; signal ftch_tlast : std_logic := '0'; signal ftch_tlast_new : std_logic := '0'; signal ftch_tready : std_logic := '0'; signal ftch_tready_ch1 : std_logic := '0'; signal ftch_tready_ch2 : std_logic := '0'; -- Misc Signals signal writing_curdesc : std_logic := '0'; signal writing_nxtdesc : std_logic := '0'; signal msb_curdesc : std_logic_vector(31 downto 0) := (others => '0'); signal writing_lsb : std_logic := '0'; signal writing_msb : std_logic := '0'; -- FIFO signals signal queue_rden2 : std_logic := '0'; signal queue_rden2_new : std_logic := '0'; signal queue_wren2 : std_logic := '0'; signal queue_wren2_new : std_logic := '0'; signal queue_empty2 : std_logic := '0'; signal queue_empty2_new : std_logic := '0'; signal queue_rden : std_logic := '0'; signal queue_rden_new : std_logic := '0'; signal queue_wren : std_logic := '0'; signal queue_wren_new : std_logic := '0'; signal queue_empty : std_logic := '0'; signal queue_empty_new : std_logic := '0'; signal queue_dout_valid : std_logic := '0'; signal queue_dout2_valid : std_logic := '0'; attribute mark_debug of queue_dout_valid : signal is "true"; attribute mark_debug of queue_dout2_valid : signal is "true"; signal queue_full_new : std_logic := '0'; signal queue_full2_new : std_logic := '0'; signal queue_full, queue_full2 : std_logic := '0'; signal queue_din_new : std_logic_vector (127 downto 0) := (others => '0'); signal queue_dout_new : std_logic_vector (96+31*C_ENABLE_CDMA-6 downto 0) := (others => '0'); signal queue_dout_mcdma_new : std_logic_vector (63 downto 0) := (others => '0'); signal queue_dout2_new : std_logic_vector (96+31*C_ENABLE_CDMA-6 downto 0) := (others => '0'); attribute mark_debug of queue_dout_new : signal is "true"; attribute mark_debug of queue_dout2_new : signal is "true"; signal queue_dout2_mcdma_new : std_logic_vector (63 downto 0) := (others => '0'); signal queue_din : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_dout : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_dout2 : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_sinit : std_logic := '0'; signal queue_sinit2 : std_logic := '0'; signal queue_dcount_new : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); signal queue_dcount2_new : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); signal ftch_no_room : std_logic; signal ftch_active : std_logic := '0'; attribute mark_debug of ftch_active : signal is "true"; signal ftch_tvalid_mult : std_logic := '0'; signal ftch_tdata_mult : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal ftch_tlast_mult : std_logic := '0'; signal counter : std_logic_vector (3 downto 0) := (others => '0'); signal wr_cntl : std_logic := '0'; signal sof_ftch_desc_del : std_logic; signal sof_ftch_desc_del1 : std_logic; signal sof_ftch_desc_pulse : std_logic; signal current_bd : std_logic_vector (31 downto 0) := (others => '0'); signal xfer_in_progress : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin SOF_DEL_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sof_ftch_desc_del <= '0'; else sof_ftch_desc_del <= sof_ftch_desc; end if; end if; end process SOF_DEL_PROCESS; SOF_DEL1_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or m_axis_mm2s_tlast = '1')then sof_ftch_desc_del1 <= '0'; elsif (m_axis_mm2s_tvalid = '1') then sof_ftch_desc_del1 <= sof_ftch_desc; end if; end if; end process SOF_DEL1_PROCESS; sof_ftch_desc_pulse <= sof_ftch_desc and (not sof_ftch_desc_del1); ftch_active <= ftch1_active or ftch2_active; --------------------------------------------------------------------------- -- Write current descriptor to FIFO or out channel port --------------------------------------------------------------------------- CMDDATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then current_bd <= (others => '0'); elsif (ftch2_active = '1' and C_ENABLE_MULTI_CHANNEL = 1) then current_bd <= next_bd; elsif (ftch_cmnd_wr = '1' and ftch_active = '1') then current_bd <= ftch_cmnd_data(DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT downto DATAMOVER_CMD_ADDRLSB_BIT); end if; end if; end process CMDDATA_PROCESS; GEN_MULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 1 generate begin ftch_tvalid_mult <= m_axis_mm2s_tvalid; ftch_tdata_mult <= m_axis_mm2s_tdata; ftch_tlast_mult <= m_axis_mm2s_tlast; wr_cntl <= m_axis_mm2s_tvalid; end generate GEN_MULT_CHANNEL; GEN_NOMULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 0 generate begin ftch_tvalid_mult <= '0'; --m_axis_mm2s_tvalid; ftch_tdata_mult <= (others => '0'); --m_axis_mm2s_tdata; ftch_tlast_mult <= '0'; --m_axis_mm2s_tlast; m_axis_ftch1_tdata_mcdma_new <= (others => '0'); m_axis_ftch2_tdata_mcdma_new <= (others => '0'); COUNTER_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or m_axis_mm2s_tlast = '1')then counter <= (others => '0'); elsif (m_axis_mm2s_tvalid = '1') then counter <= std_logic_vector(unsigned(counter) + 1); end if; end if; end process COUNTER_PROCESS; end generate GEN_NOMULT_CHANNEL; --------------------------------------------------------------------------- -- TVALID MUX -- MUX tvalid out channel port --------------------------------------------------------------------------- CDMA_FIELDS : if C_ENABLE_CDMA = 1 generate begin ftch_tdata_new (95 downto 0) <= data_concat;-- when (ftch_active = '1') else (others =>'0'); -- BD is always 16 word aligned ftch_tdata_new (121 downto 96) <= current_bd (31 downto 6); end generate CDMA_FIELDS; DMA_FIELDS : if C_ENABLE_CDMA = 0 generate begin ftch_tdata_new (64 downto 0) <= data_concat (95) & data_concat (63 downto 0);-- when (ftch_active = '1') else (others =>'0'); -- BD is always 16 word aligned ftch_tdata_new (90 downto 65) <= current_bd (31 downto 6); end generate DMA_FIELDS; ftch_tvalid_new <= data_concat_valid and ftch_active; ftch_tlast_new <= data_concat_tlast and ftch_active; GEN_MM2S : if C_INCLUDE_MM2S = 1 generate begin process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1' or queue_rden_new = '1') then queue_empty_new <= '1'; queue_full_new <= '0'; elsif (queue_wren_new = '1') then queue_empty_new <= '0'; queue_full_new <= '1'; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1') then reg1 <= (others => '0'); elsif (queue_wren_new = '1') then reg1 <= ftch_tdata_new; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1') then queue_dout_new <= (others => '0'); elsif (queue_rden_new = '1') then queue_dout_new <= reg1; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1' or queue_dout_valid = '1') then queue_dout_valid <= '0'; elsif (queue_rden_new = '1') then queue_dout_valid <= '1'; end if; end if; end process; MCDMA_MM2S : if C_ENABLE_MULTI_CHANNEL = 1 generate begin -- Generate Synchronous FIFO I_CH1_FTCH_MCDMA_FIFO_NEW : entity lib_fifo_v1_0.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => 0, --MEMORY_TYPE , C_WRITE_DATA_WIDTH => 64, C_WRITE_DEPTH => FETCH_QUEUE_DEPTH , C_READ_DATA_WIDTH => 64, C_READ_DEPTH => FETCH_QUEUE_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 0, C_DCOUNT_WIDTH => FETCH_QUEUE_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 0,-- 1 = first word fall through C_PRELOAD_LATENCY => 1 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => queue_sinit , Din => data_concat_mcdma, --ftch_tdata_new, --queue_din , Wr_en => queue_wren_new , Rd_en => queue_rden_new , Dout => queue_dout_mcdma_new , Full => open, --queue_full_new , Empty => open, --queue_empty_new , Almost_full => open , Data_count => open, --queue_dcount_new , Rd_ack => open, --queue_dout_valid, --open , Rd_err => open , Wr_ack => open , Wr_err => open ); m_axis_ftch1_tdata_mcdma_new <= queue_dout_mcdma_new; end generate MCDMA_MM2S; CONTROL_STREAM : if C_SG_WORDS_TO_FETCH = 13 generate begin I_MM2S_CNTRL_STREAM : entity axi_sg_v4_1.axi_sg_cntrl_strm generic map( C_PRMRY_IS_ACLK_ASYNC => C_ASYNC , C_PRMY_CMDFIFO_DEPTH => FETCH_QUEUE_DEPTH , C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_FAMILY => C_FAMILY ) port map( -- Secondary clock / reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Primary clock / reset axi_prmry_aclk => m_axi_primary_aclk , p_reset_n => p_reset_n , -- MM2S Error mm2s_stop => ch1_cntrl_strm_stop , -- Control Stream input cntrlstrm_fifo_wren => queue_wren , cntrlstrm_fifo_full => queue_full , cntrlstrm_fifo_din => queue_din , -- Memory Map to Stream Control Stream Interface m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast ); end generate CONTROL_STREAM; end generate GEN_MM2S; GEN_S2MM : if C_INCLUDE_S2MM = 1 generate begin process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1' or queue_rden2_new = '1') then queue_empty2_new <= '1'; queue_full2_new <= '0'; elsif (queue_wren2_new = '1') then queue_empty2_new <= '0'; queue_full2_new <= '1'; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1') then reg2 <= (others => '0'); elsif (queue_wren2_new = '1') then reg2 <= ftch_tdata_new; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1') then queue_dout2_new <= (others => '0'); elsif (queue_rden2_new = '1') then queue_dout2_new <= reg2; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1' or queue_dout2_valid = '1') then queue_dout2_valid <= '0'; elsif (queue_rden2_new = '1') then queue_dout2_valid <= '1'; end if; end if; end process; MCDMA_S2MM : if C_ENABLE_MULTI_CHANNEL = 1 generate begin -- Generate Synchronous FIFO I_CH2_FTCH_MCDMA_FIFO_NEW : entity lib_fifo_v1_0.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => 0, --MEMORY_TYPE , C_WRITE_DATA_WIDTH => 64, C_WRITE_DEPTH => FETCH_QUEUE_DEPTH , C_READ_DATA_WIDTH => 64, C_READ_DEPTH => FETCH_QUEUE_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 0, C_DCOUNT_WIDTH => FETCH_QUEUE_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 0,-- 1 = first word fall through C_PRELOAD_LATENCY => 1 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => queue_sinit2 , Din => data_concat_mcdma, --ftch_tdata_new, --queue_din , Wr_en => queue_wren2_new , Rd_en => queue_rden2_new , Dout => queue_dout2_new , Full => open, --queue_full2_new , Empty => open, --queue_empty2_new , Almost_full => open , Data_count => queue_dcount2_new , Rd_ack => open, --queue_dout2_valid , Rd_err => open , Wr_ack => open , Wr_err => open ); m_axis_ftch2_tdata_mcdma_new <= queue_dcount2_new; end generate MCDMA_S2MM; end generate GEN_S2MM; ----------------------------------------------------------------------- -- Internal Side ----------------------------------------------------------------------- -- Drive tready with fifo not full ftch_tready <= ftch_tready_ch1 or ftch_tready_ch2; -- Following is the APP data that goes into APP FIFO queue_din(C_M_AXIS_SG_TDATA_WIDTH) <= m_axis_mm2s_tlast; queue_din(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) <= x"A0000000" when (sof_ftch_desc_pulse = '1') else m_axis_mm2s_tdata; GEN_CH1_CTRL : if C_INCLUDE_MM2S =1 generate begin --queue_full_new <= '1' when (queue_dcount_new = "00100") else '0'; queue_sinit <= desc1_flush or not m_axi_sg_aresetn; ftch_tready_ch1 <= (not queue_full and ftch1_active); m_axis1_mm2s_tready <= ftch_tready_ch1; -- Wr_en to APP FIFO. Data is written only when BD with SOF is fetched. queue_wren <= not queue_full and sof_ftch_desc and m_axis_mm2s_tvalid and ftch1_active; -- Wr_en of BD FIFO queue_wren_new <= not queue_full_new and ftch_tvalid_new and ftch1_active; ftch1_queue_empty <= queue_empty_new; ftch1_queue_full <= queue_full_new; ftch1_pause <= queue_full_new; -- RD_en of APP FIFO based on empty and tready -- RD_EN of BD FIFO based on empty and tready queue_rden_new <= not queue_empty_new and m_axis_ftch1_tready; -- drive valid if fifo is not empty m_axis_ftch1_tvalid <= '0'; m_axis_ftch1_tvalid_new <= queue_dout_valid; --not queue_empty_new and (not ch2_sg_idle); -- below signal triggers the fetch of BD in MM2S Mngr m_axis_ftch1_desc_available <= not queue_empty_new and (not ch2_sg_idle); -- Pass data out to port channel with MSB driving tlast m_axis_ftch1_tlast <= '0'; m_axis_ftch1_tdata <= (others => '0'); m_axis_ftch1_tdata_new <= queue_dout_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout_new (FIFO_WIDTH-27 downto 0); writing1_curdesc_out <= writing_curdesc and ftch1_active; NOCONTROL_STREAM_ASST : if C_SG_WORDS_TO_FETCH = 8 generate begin m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= (others => '0'); m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; end generate NOCONTROL_STREAM_ASST; end generate GEN_CH1_CTRL; GEN_NO_CH1_CTRL : if C_INCLUDE_MM2S =0 generate begin m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= "0000"; m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; ftch_tready_ch1 <= '0'; m_axis1_mm2s_tready <= '0'; -- Write to fifo if it is not full and data is valid queue_wren <= '0'; ftch1_queue_empty <= '0'; ftch1_queue_full <= '0'; ftch1_pause <= '0'; queue_rden <= '0'; -- drive valid if fifo is not empty m_axis_ftch1_tvalid <= '0'; -- Pass data out to port channel with MSB driving tlast m_axis_ftch1_tlast <= '0'; m_axis_ftch1_tdata <= (others => '0'); writing1_curdesc_out <= '0'; m_axis_ftch1_tdata_new <= (others => '0'); m_axis_ftch1_tvalid_new <= '0'; m_axis_ftch1_desc_available <= '0'; end generate GEN_NO_CH1_CTRL; GEN_CH2_CTRL : if C_INCLUDE_S2MM =1 generate begin queue_sinit2 <= desc2_flush or not m_axi_sg_aresetn; ftch_tready_ch2 <= (not queue_full2_new and ftch2_active); m_axis2_mm2s_tready <= ftch_tready_ch2; queue_wren2 <= '0'; -- Wr_en for S2MM BD FIFO queue_wren2_new <= not queue_full2_new and ftch_tvalid_new and ftch2_active; --queue_full2_new <= '1' when (queue_dcount2_new = "00100") else '0'; -- Pass fifo status back to fetch sm for channel IDLE determination ftch2_queue_empty <= queue_empty2_new; ftch2_queue_full <= queue_full2_new; ftch2_pause <= queue_full2_new; queue_rden2 <= '0'; -- Rd_en for S2MM BD FIFO queue_rden2_new <= not queue_empty2_new and m_axis_ftch2_tready; m_axis_ftch2_tvalid <= '0'; m_axis_ftch2_tvalid_new <= queue_dout2_valid; -- not queue_empty2_new and (not ch2_sg_idle); m_axis_ftch2_desc_available <= not queue_empty2_new and (not ch2_sg_idle); -- Pass data out to port channel with MSB driving tlast m_axis_ftch2_tlast <= '0'; m_axis_ftch2_tdata <= (others => '0'); m_axis_ftch2_tdata_new <= queue_dout2_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout2_new (FIFO_WIDTH-27 downto 0); writing2_curdesc_out <= writing_curdesc and ftch2_active; end generate GEN_CH2_CTRL; GEN_NO_CH2_CTRL : if C_INCLUDE_S2MM =0 generate begin ftch_tready_ch2 <= '0'; m_axis2_mm2s_tready <= '0'; queue_wren2 <= '0'; -- Pass fifo status back to fetch sm for channel IDLE determination --ftch_queue_empty <= queue_empty; CR 621600 ftch2_queue_empty <= '0'; ftch2_queue_full <= '0'; ftch2_pause <= '0'; queue_rden2 <= '0'; m_axis_ftch2_tvalid <= '0'; -- Pass data out to port channel with MSB driving tlast m_axis_ftch2_tlast <= '0'; m_axis_ftch2_tdata <= (others => '0'); m_axis_ftch2_tdata_new <= (others => '0'); m_axis_ftch2_tvalid_new <= '0'; writing2_curdesc_out <= '0'; m_axis_ftch2_desc_available <= '0'; end generate GEN_NO_CH2_CTRL; -- If writing curdesc out then flag for proper mux selection writing_curdesc <= curdesc_tvalid; -- Map intnal signal to port -- Map port to internal signal writing_nxtdesc <= writing_nxtdesc_in; end implementation;
gpl-3.0
d9ece2779300a5b57108219b299dd070
0.470569
3.78374
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/techmap/maps/syncrambw.vhd
1
5,257
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: syncrambw -- File: syncrambw.vhd -- Author: Jan Andersson - Aeroflex Gaisler -- Description: Synchronous 1-port ram with 8-bit write strobes -- and tech selection ------------------------------------------------------------------------------ library ieee; library techmap; use ieee.std_logic_1164.all; use techmap.gencomp.all; use techmap.allmem.all; library grlib; use grlib.config.all; use grlib.config_types.all; use grlib.stdlib.all; entity syncrambw is generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; testen : integer := 0; custombits: integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits-1 downto 0); datain : in std_logic_vector (dbits-1 downto 0); dataout : out std_logic_vector (dbits-1 downto 0); enable : in std_logic_vector (dbits/8-1 downto 0); write : in std_logic_vector (dbits/8-1 downto 0); testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector((dbits/8)*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector((dbits/8)*custombits-1 downto 0)); end; architecture rtl of syncrambw is constant nctrl : integer := abits + (TESTIN_WIDTH-2) + 2*dbits/8; signal dataoutx, databp, testdata : std_logic_vector((dbits -1) downto 0); constant SCANTESTBP : boolean := (testen = 1) and (tech /= 0) and (tech /= ut90); signal xenable, xwrite : std_logic_vector(dbits/8-1 downto 0); signal custominx,customoutx: std_logic_vector(syncram_customif_maxwidth downto 0); begin xenable <= enable when testen=0 or testin(TESTIN_WIDTH-2)='0' else (others => '0'); xwrite <= write when testen=0 or testin(TESTIN_WIDTH-2)='0' else (others => '0'); sbw : if has_srambw(tech) = 1 generate -- RAM bypass for scan scanbp : if SCANTESTBP generate comb : process (address, datain, enable, write, testin) variable tmp : std_logic_vector((dbits -1) downto 0); variable ctrlsigs : std_logic_vector((nctrl -1) downto 0); begin ctrlsigs := testin(TESTIN_WIDTH-3 downto 0) & write & enable & address; tmp := datain; for i in 0 to nctrl-1 loop tmp(i mod dbits) := tmp(i mod dbits) xor ctrlsigs(i); end loop; testdata <= tmp; end process; reg : process (clk) begin if rising_edge(clk) then databp <= testdata; end if; end process; dmuxout : for i in 0 to dbits-1 generate x0: grmux2 generic map (tech) port map (dataoutx(i), databp(i), testin(TESTIN_WIDTH-1), dataout(i)); end generate; end generate; noscanbp : if not SCANTESTBP generate dataout <= dataoutx; end generate; n2x : if tech = easic45 generate x0 : n2x_syncram_be generic map (abits, dbits) port map (clk, address, datain, dataout, xenable, xwrite); end generate; customout(customout'high downto custombits) <= (others => '0'); customout(custombits-1 downto 0) <= customoutx(custombits-1 downto 0); -- pragma translate_off dmsg : if GRLIB_CONFIG_ARRAY(grlib_debug_level) >= 2 generate x : process begin assert false report "syncrambw: " & tost(2**abits) & "x" & tost(dbits) & " (" & tech_table(tech) & ")" severity note; wait; end process; end generate; -- pragma translate_on end generate; nosbw : if has_srambw(tech) = 0 generate rx : for i in 0 to dbits/8-1 generate x0 : syncram generic map (tech, abits, 8, testen, custombits) port map (clk, address, datain(i*8+7 downto i*8), dataoutx(i*8+7 downto i*8), enable(i), write(i), testin, customclk, customin((i+1)*custombits-1 downto i*custombits), customout((i+1)*custombits-1 downto i*custombits)); end generate; dataout <= dataoutx; end generate; custominx(custominx'high downto (dbits/8)*custombits) <= (others => '0'); custominx((dbits/8)*custombits-1 downto 0) <= customin; nocust: if has_srambw(tech)=0 or syncram_has_customif(tech)=0 generate customoutx <= (others => '0'); end generate; end;
gpl-2.0
8a5697430d8538955400cd61c1ff0c71
0.623359
3.782014
false
true
false
false
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/syn/vhdl/image_filter_mul_8ns_24ns_31_3.vhd
2
2,706
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity image_filter_mul_8ns_24ns_31_3_MulnS_0 is port ( clk: in std_logic; ce: in std_logic; a: in std_logic_vector(8 - 1 downto 0); b: in std_logic_vector(24 - 1 downto 0); p: out std_logic_vector(31 - 1 downto 0)); end entity; architecture behav of image_filter_mul_8ns_24ns_31_3_MulnS_0 is signal tmp_product : std_logic_vector(31 - 1 downto 0); signal a_i : std_logic_vector(8 - 1 downto 0); signal b_i : std_logic_vector(24 - 1 downto 0); signal p_tmp : std_logic_vector(31 - 1 downto 0); signal a_reg0 : std_logic_vector(8 - 1 downto 0); signal b_reg0 : std_logic_vector(24 - 1 downto 0); attribute keep : string; attribute keep of a_i : signal is "true"; attribute keep of b_i : signal is "true"; signal buff0 : std_logic_vector(31 - 1 downto 0); begin a_i <= a; b_i <= b; p <= p_tmp; p_tmp <= buff0; tmp_product <= std_logic_vector(resize(unsigned(a_reg0) * unsigned(b_reg0), 31)); process(clk) begin if (clk'event and clk = '1') then if (ce = '1') then a_reg0 <= a_i; b_reg0 <= b_i; buff0 <= tmp_product; end if; end if; end process; end architecture; Library IEEE; use IEEE.std_logic_1164.all; entity image_filter_mul_8ns_24ns_31_3 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of image_filter_mul_8ns_24ns_31_3 is component image_filter_mul_8ns_24ns_31_3_MulnS_0 is port ( clk : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; p : OUT STD_LOGIC_VECTOR); end component; begin image_filter_mul_8ns_24ns_31_3_MulnS_0_U : component image_filter_mul_8ns_24ns_31_3_MulnS_0 port map ( clk => clk, ce => ce, a => din0, b => din1, p => dout); end architecture;
gpl-3.0
521c3bbc9830af81e9c6de05ec5530f0
0.551367
3.248499
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/openchip/gpio/apbgpio.vhd
3
3,391
---------------------------------------------------------------------------- -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2004 GAISLER RESEARCH -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- See the file COPYING for the full details of the license. -- ----------------------------------------------------------------------------- -- Entity: gpio -- File: apbgpio.vhd -- Author: Antti Lukats, OpenChip -- Description: General Purpose I/O -- ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library openchip; use openchip.gpio.all; --pragma translate_off use std.textio.all; --pragma translate_on entity apbgpio is generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; pirq : integer := 0); port ( rst : in std_ulogic; clk : in std_ulogic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; gpioi : in gpio_in_type; gpioo : out gpio_out_type); end; architecture rtl of apbgpio is constant REVISION : integer := 0; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_OPENCHIP, OPENCHIP_APBGPIO, 0, REVISION, pirq), 1 => apb_iobar(paddr, pmask)); type gpioregs is record outreg : std_logic_vector(31 downto 0); -- Output Latch dirreg : std_logic_vector(31 downto 0); -- Direction Register inreg : std_logic_vector(31 downto 0); -- Input Latch irq : std_ulogic; -- interrupt (internal), not used end record; signal r, rin : gpioregs; begin comb : process(rst, r, apbi, gpioi ) variable rdata : std_logic_vector(31 downto 0); variable irq : std_logic_vector(NAHBIRQ-1 downto 0); variable v : gpioregs; begin v := r; v.inreg := gpioi.d_in; irq := (others => '0'); --irq(pirq) := r.irq; v.irq := '0'; rdata := (others => '0'); -- read/write registers case apbi.paddr(3 downto 2) is when "00" => rdata(31 downto 0) := r.inreg; -- read IO pin when "01" => rdata(31 downto 0) := r.dirreg; -- read back of direction reg ? when others => end case; if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case apbi.paddr(3 downto 2) is when "00" => v.outreg := apbi.pwdata(31 downto 0); when "01" => v.dirreg := apbi.pwdata(31 downto 0); when others => end case; end if; -- reset operation if rst = '0' then v.outreg := (others => '0'); v.dirreg := (others => '0'); end if; -- update registers rin <= v; -- drive outputs gpioo.d_out <= r.outreg; gpioo.t_out <= r.dirreg; apbo.prdata <= rdata; apbo.pirq <= irq; apbo.pindex <= pindex; end process; apbo.pconfig <= pconfig; regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; -- pragma translate_off bootmsg : report_version generic map ("apbgpio" & tost(pindex) & ": Generic GPIO rev " & tost(REVISION) & ", irq " & tost(pirq)); -- pragma translate_on end;
gpl-2.0
40766fbaf7fbb0b6a28258ba0fc2cb62
0.576231
3.435664
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/techmap/maps/system_monitor.vhd
1
13,140
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: system_monitor -- File: system_monitor.vhd -- Author: Jan Andersson, Jiri Gaisler - Gaisler Research -- Description: System monitor wrapper ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity system_monitor is generic ( -- GRLIB generics tech : integer := DEFFABTECH; -- Virtex 5 SYSMON generics INIT_40 : bit_vector := X"0000"; INIT_41 : bit_vector := X"0000"; INIT_42 : bit_vector := X"0800"; INIT_43 : bit_vector := X"0000"; INIT_44 : bit_vector := X"0000"; INIT_45 : bit_vector := X"0000"; INIT_46 : bit_vector := X"0000"; INIT_47 : bit_vector := X"0000"; INIT_48 : bit_vector := X"0000"; INIT_49 : bit_vector := X"0000"; INIT_4A : bit_vector := X"0000"; INIT_4B : bit_vector := X"0000"; INIT_4C : bit_vector := X"0000"; INIT_4D : bit_vector := X"0000"; INIT_4E : bit_vector := X"0000"; INIT_4F : bit_vector := X"0000"; INIT_50 : bit_vector := X"0000"; INIT_51 : bit_vector := X"0000"; INIT_52 : bit_vector := X"0000"; INIT_53 : bit_vector := X"0000"; INIT_54 : bit_vector := X"0000"; INIT_55 : bit_vector := X"0000"; INIT_56 : bit_vector := X"0000"; INIT_57 : bit_vector := X"0000"; SIM_MONITOR_FILE : string := "design.txt"); port ( alm : out std_logic_vector(2 downto 0); busy : out std_ulogic; channel : out std_logic_vector(4 downto 0); do : out std_logic_vector(15 downto 0); drdy : out std_ulogic; eoc : out std_ulogic; eos : out std_ulogic; jtagbusy : out std_ulogic; jtaglocked : out std_ulogic; jtagmodified : out std_ulogic; ot : out std_ulogic; convst : in std_ulogic; convstclk : in std_ulogic; daddr : in std_logic_vector(6 downto 0); dclk : in std_ulogic; den : in std_ulogic; di : in std_logic_vector(15 downto 0); dwe : in std_ulogic; reset : in std_ulogic; vauxn : in std_logic_vector(15 downto 0); vauxp : in std_logic_vector(15 downto 0); vn : in std_ulogic; vp : in std_ulogic); end system_monitor; architecture struct of system_monitor is component sysmon_virtex5 generic ( INIT_40 : bit_vector := X"0000"; INIT_41 : bit_vector := X"0000"; INIT_42 : bit_vector := X"0800"; INIT_43 : bit_vector := X"0000"; INIT_44 : bit_vector := X"0000"; INIT_45 : bit_vector := X"0000"; INIT_46 : bit_vector := X"0000"; INIT_47 : bit_vector := X"0000"; INIT_48 : bit_vector := X"0000"; INIT_49 : bit_vector := X"0000"; INIT_4A : bit_vector := X"0000"; INIT_4B : bit_vector := X"0000"; INIT_4C : bit_vector := X"0000"; INIT_4D : bit_vector := X"0000"; INIT_4E : bit_vector := X"0000"; INIT_4F : bit_vector := X"0000"; INIT_50 : bit_vector := X"0000"; INIT_51 : bit_vector := X"0000"; INIT_52 : bit_vector := X"0000"; INIT_53 : bit_vector := X"0000"; INIT_54 : bit_vector := X"0000"; INIT_55 : bit_vector := X"0000"; INIT_56 : bit_vector := X"0000"; INIT_57 : bit_vector := X"0000"; SIM_MONITOR_FILE : string := "design.txt"); port ( alm : out std_logic_vector(2 downto 0); busy : out std_ulogic; channel : out std_logic_vector(4 downto 0); do : out std_logic_vector(15 downto 0); drdy : out std_ulogic; eoc : out std_ulogic; eos : out std_ulogic; jtagbusy : out std_ulogic; jtaglocked : out std_ulogic; jtagmodified : out std_ulogic; ot : out std_ulogic; convst : in std_ulogic; convstclk : in std_ulogic; daddr : in std_logic_vector(6 downto 0); dclk : in std_ulogic; den : in std_ulogic; di : in std_logic_vector(15 downto 0); dwe : in std_ulogic; reset : in std_ulogic; vauxn : in std_logic_vector(15 downto 0); vauxp : in std_logic_vector(15 downto 0); vn : in std_ulogic; vp : in std_ulogic); end component; component sysmon generic ( INIT_40 : bit_vector := X"0000"; INIT_41 : bit_vector := X"0000"; INIT_42 : bit_vector := X"0800"; INIT_43 : bit_vector := X"0000"; INIT_44 : bit_vector := X"0000"; INIT_45 : bit_vector := X"0000"; INIT_46 : bit_vector := X"0000"; INIT_47 : bit_vector := X"0000"; INIT_48 : bit_vector := X"0000"; INIT_49 : bit_vector := X"0000"; INIT_4A : bit_vector := X"0000"; INIT_4B : bit_vector := X"0000"; INIT_4C : bit_vector := X"0000"; INIT_4D : bit_vector := X"0000"; INIT_4E : bit_vector := X"0000"; INIT_4F : bit_vector := X"0000"; INIT_50 : bit_vector := X"0000"; INIT_51 : bit_vector := X"0000"; INIT_52 : bit_vector := X"0000"; INIT_53 : bit_vector := X"0000"; INIT_54 : bit_vector := X"0000"; INIT_55 : bit_vector := X"0000"; INIT_56 : bit_vector := X"0000"; INIT_57 : bit_vector := X"0000"; SIM_DEVICE : string := "VIRTEX5"; SIM_MONITOR_FILE : string := "design.txt"); port ( alm : out std_logic_vector(2 downto 0); busy : out std_ulogic; channel : out std_logic_vector(4 downto 0); do : out std_logic_vector(15 downto 0); drdy : out std_ulogic; eoc : out std_ulogic; eos : out std_ulogic; jtagbusy : out std_ulogic; jtaglocked : out std_ulogic; jtagmodified : out std_ulogic; ot : out std_ulogic; convst : in std_ulogic; convstclk : in std_ulogic; daddr : in std_logic_vector(6 downto 0); dclk : in std_ulogic; den : in std_ulogic; di : in std_logic_vector(15 downto 0); dwe : in std_ulogic; reset : in std_ulogic; vauxn : in std_logic_vector(15 downto 0); vauxp : in std_logic_vector(15 downto 0); vn : in std_ulogic; vp : in std_ulogic); end component; begin -- struct gen: if not ((tech = virtex5) or (tech = virtex6) or (tech = virtex7) or (tech = kintex7)) generate alm <= (others => '0'); busy <= '0'; channel <= (others => '0'); do <= (others => '0'); drdy <= '0'; eoc <= '0'; eos <= '0'; jtagbusy <= '0'; jtaglocked <= '0'; jtagmodified <= '0'; ot <= '0'; end generate gen; v5: if tech = virtex5 generate v50 : sysmon_virtex5 generic map ( INIT_40 => INIT_40, INIT_41 => INIT_41, INIT_42 => INIT_42, INIT_43 => INIT_43, INIT_44 => INIT_44, INIT_45 => INIT_45, INIT_46 => INIT_46, INIT_47 => INIT_47, INIT_48 => INIT_48, INIT_49 => INIT_49, INIT_4A => INIT_4A, INIT_4B => INIT_4B, INIT_4C => INIT_4C, INIT_4D => INIT_4D, INIT_4E => INIT_4E, INIT_4F => INIT_4F, INIT_50 => INIT_50, INIT_51 => INIT_51, INIT_52 => INIT_52, INIT_53 => INIT_53, INIT_54 => INIT_54, INIT_55 => INIT_55, INIT_56 => INIT_56, INIT_57 => INIT_57, SIM_MONITOR_FILE => SIM_MONITOR_FILE) port map (alm => alm, busy => busy, channel => channel, do => do, drdy => drdy, eoc => eoc, eos => eos, jtagbusy => jtagbusy, jtaglocked => jtaglocked, jtagmodified => jtagmodified, ot => ot, convst => convst, convstclk => convstclk, daddr => daddr, dclk => dclk, den => den, di => di, dwe => dwe, reset => reset, vauxn => vauxn, vauxp => vauxp, vn => vn, vp => vp); end generate v5; v6: if tech = virtex6 generate v60 : sysmon generic map ( INIT_40 => INIT_40, INIT_41 => INIT_41, INIT_42 => INIT_42, INIT_43 => INIT_43, INIT_44 => INIT_44, INIT_45 => INIT_45, INIT_46 => INIT_46, INIT_47 => INIT_47, INIT_48 => INIT_48, INIT_49 => INIT_49, INIT_4A => INIT_4A, INIT_4B => INIT_4B, INIT_4C => INIT_4C, INIT_4D => INIT_4D, INIT_4E => INIT_4E, INIT_4F => INIT_4F, INIT_50 => INIT_50, INIT_51 => INIT_51, INIT_52 => INIT_52, INIT_53 => INIT_53, INIT_54 => INIT_54, INIT_55 => INIT_55, INIT_56 => INIT_56, INIT_57 => INIT_57, SIM_DEVICE => "VIRTEX6", SIM_MONITOR_FILE => SIM_MONITOR_FILE) port map (alm => alm, busy => busy, channel => channel, do => do, drdy => drdy, eoc => eoc, eos => eos, jtagbusy => jtagbusy, jtaglocked => jtaglocked, jtagmodified => jtagmodified, ot => ot, convst => convst, convstclk => convstclk, daddr => daddr, dclk => dclk, den => den, di => di, dwe => dwe, reset => reset, vauxn => vauxn, vauxp => vauxp, vn => vn, vp => vp); end generate v6; v7: if tech = virtex7 generate v70 : sysmon generic map ( INIT_40 => INIT_40, INIT_41 => INIT_41, INIT_42 => INIT_42, INIT_43 => INIT_43, INIT_44 => INIT_44, INIT_45 => INIT_45, INIT_46 => INIT_46, INIT_47 => INIT_47, INIT_48 => INIT_48, INIT_49 => INIT_49, INIT_4A => INIT_4A, INIT_4B => INIT_4B, INIT_4C => INIT_4C, INIT_4D => INIT_4D, INIT_4E => INIT_4E, INIT_4F => INIT_4F, INIT_50 => INIT_50, INIT_51 => INIT_51, INIT_52 => INIT_52, INIT_53 => INIT_53, INIT_54 => INIT_54, INIT_55 => INIT_55, INIT_56 => INIT_56, INIT_57 => INIT_57, SIM_DEVICE => "VIRTEX7", SIM_MONITOR_FILE => SIM_MONITOR_FILE) port map (alm => alm, busy => busy, channel => channel, do => do, drdy => drdy, eoc => eoc, eos => eos, jtagbusy => jtagbusy, jtaglocked => jtaglocked, jtagmodified => jtagmodified, ot => ot, convst => convst, convstclk => convstclk, daddr => daddr, dclk => dclk, den => den, di => di, dwe => dwe, reset => reset, vauxn => vauxn, vauxp => vauxp, vn => vn, vp => vp); end generate v7; k7: if tech = kintex7 generate k70 : sysmon generic map ( INIT_40 => INIT_40, INIT_41 => INIT_41, INIT_42 => INIT_42, INIT_43 => INIT_43, INIT_44 => INIT_44, INIT_45 => INIT_45, INIT_46 => INIT_46, INIT_47 => INIT_47, INIT_48 => INIT_48, INIT_49 => INIT_49, INIT_4A => INIT_4A, INIT_4B => INIT_4B, INIT_4C => INIT_4C, INIT_4D => INIT_4D, INIT_4E => INIT_4E, INIT_4F => INIT_4F, INIT_50 => INIT_50, INIT_51 => INIT_51, INIT_52 => INIT_52, INIT_53 => INIT_53, INIT_54 => INIT_54, INIT_55 => INIT_55, INIT_56 => INIT_56, INIT_57 => INIT_57, SIM_DEVICE => "KINTEX7", SIM_MONITOR_FILE => SIM_MONITOR_FILE) port map (alm => alm, busy => busy, channel => channel, do => do, drdy => drdy, eoc => eoc, eos => eos, jtagbusy => jtagbusy, jtaglocked => jtaglocked, jtagmodified => jtagmodified, ot => ot, convst => convst, convstclk => convstclk, daddr => daddr, dclk => dclk, den => den, di => di, dwe => dwe, reset => reset, vauxn => vauxn, vauxp => vauxp, vn => vn, vp => vp); end generate k7; end struct;
gpl-2.0
ee0284b83bc81002f9287e1eb85289fd
0.504033
3.499334
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-xilinx-sp601/leon3mp.vhd
1
24,173
------------------------------------------------------------------------------ -- LEON3 Demonstration design -- Copyright (C) 2006 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; use techmap.allclkgen.all; library gaisler; use gaisler.memctrl.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.spi.all; use gaisler.net.all; use gaisler.jtag.all; use gaisler.ddrpkg.all; library esa; use esa.memoryctrl.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( reset : in std_ulogic; reset_o1 : out std_ulogic; reset_o2 : out std_ulogic; clk27 : in std_ulogic; clk200_p : in std_ulogic; clk200_n : in std_ulogic; errorn : out std_ulogic; -- PROM interface address : out std_logic_vector(23 downto 0); data : inout std_logic_vector(7 downto 0); romsn : out std_ulogic; oen : out std_ulogic; writen : out std_ulogic; -- pragma translate_off iosn : out std_ulogic; testdata : inout std_logic_vector(23 downto 0); -- pragma translate_on -- DDR2 memory ddr_clk : out std_logic; ddr_clkb : out std_logic; ddr_cke : out std_logic; ddr_we : out std_ulogic; -- write enable ddr_ras : out std_ulogic; -- ras ddr_cas : out std_ulogic; -- cas ddr_dm : out std_logic_vector(1 downto 0); -- dm ddr_dqs : inout std_logic_vector(1 downto 0); -- dqs -- ddr_dqsn : inout std_logic_vector(1 downto 0); -- dqsn ddr_ad : out std_logic_vector(12 downto 0); -- address ddr_ba : out std_logic_vector(2 downto 0); -- bank address ddr_dq : inout std_logic_vector(15 downto 0); -- data ddr_odt : out std_logic; ddr_rzq : inout std_logic; ddr_zio : inout std_logic; -- Debug support unit dsubre : in std_ulogic; -- Debug Unit break (connect to button) -- AHB Uart dsurx : in std_ulogic; dsutx : out std_ulogic; -- Ethernet signals etx_clk : in std_ulogic; erx_clk : in std_ulogic; erxd : in std_logic_vector(7 downto 0); erx_dv : in std_ulogic; erx_er : in std_ulogic; erx_col : in std_ulogic; erx_crs : in std_ulogic; etxd : out std_logic_vector(7 downto 0); etx_en : out std_ulogic; etx_er : out std_ulogic; emdc : out std_ulogic; emdio : inout std_logic; -- SPI flash -- spi_sel_n : inout std_ulogic; -- spi_clk : out std_ulogic; -- spi_mosi : out std_ulogic; -- Output signals to LEDs led : out std_logic_vector(2 downto 0) ); end; architecture rtl of leon3mp is signal vcc : std_logic; signal gnd : std_logic; signal ddr_dqsn : std_logic_vector(1 downto 0); -- dqsn signal ddr_clk_fb_out : std_logic; signal ddr_clk_fb : std_logic; signal memi : memory_in_type; signal memo : memory_out_type; signal wpo : wprot_out_type; signal gpioi : gpio_in_type; signal gpioo : gpio_out_type; signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal cgi : clkgen_in_type; signal cgo : clkgen_out_type; signal u1i, dui : uart_in_type; signal u1o, duo : uart_out_type; signal irqi : irq_in_vector(0 to CFG_NCPU-1); signal irqo : irq_out_vector(0 to CFG_NCPU-1); signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1); signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal ethi : eth_in_type; signal etho : eth_out_type; signal gpti : gptimer_in_type; signal spii : spi_in_type; signal spio : spi_out_type; signal slvsel : std_logic_vector(CFG_SPICTRL_SLVS-1 downto 0); signal spmi : spimctrl_in_type; signal spmo : spimctrl_out_type; signal lclk, lclk200 : std_ulogic; signal clkm, rstn, clkml : std_ulogic; signal tck, tms, tdi, tdo : std_ulogic; signal rstraw : std_logic; signal lock : std_logic; -- RS232 APB Uart signal rxd1 : std_logic; signal txd1 : std_logic; -- Used for connecting input/output signals to the DDR2 controller signal core_ddr_clk : std_logic_vector(2 downto 0); signal core_ddr_clkb : std_logic_vector(2 downto 0); signal core_ddr_cke : std_logic_vector(1 downto 0); signal core_ddr_csb : std_logic_vector(1 downto 0); signal core_ddr_ad : std_logic_vector(13 downto 0); signal core_ddr_odt : std_logic_vector(1 downto 0); attribute keep : boolean; attribute syn_keep : boolean; attribute syn_preserve : boolean; attribute syn_keep of lock : signal is true; attribute syn_keep of clkml : signal is true; attribute syn_keep of clkm : signal is true; attribute syn_preserve of clkml : signal is true; attribute syn_preserve of clkm : signal is true; attribute keep of lock : signal is true; attribute keep of clkml : signal is true; attribute keep of clkm : signal is true; constant BOARD_FREQ : integer := 27000; -- CLK input frequency in KHz constant DDR2_FREQ : integer := 200000; -- DDR2 input frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz begin ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= '1'; gnd <= '0'; cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; -- Glitch free reset that can be used for the Eth Phy and flash memory reset_o1 <= rstn; reset_o2 <= rstn; rst0 : rstgen generic map (acthigh => 1) port map (reset, clkm, lock, rstn, rstraw); clk27_pad : clkpad generic map (tech => padtech) port map (clk27, lclk); -- clk200_pad : inpad_ds generic map (tech => padtech, voltage => x25v) -- port map (clk200_p, clk200_n, lclk200); -- clock generator clkgen0 : clkgen generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0) port map (lclk, gnd, clkm, open, open, open, open, cgi, cgo, open, open, open); ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1, nahbm => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH, nahbs => 8, devid => XILINX_SP601) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- --- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- -- LEON3 processor leon3gen : if CFG_LEON3 = 1 generate cpu : for i in 0 to CFG_NCPU-1 generate u0 : leon3s generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; error_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error); -- LEON3 Debug Support Unit dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break); dsui.enable <= '1'; led(2) <= dsuo.active; end generate; end generate; nodsu : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0'; end generate; -- Debug UART dcomgen : if CFG_AHB_UART = 1 generate dcom0 : ahbuart generic map (hindex => CFG_NCPU, pindex => 4, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU)); dsurx_pad : inpad generic map (tech => padtech) port map (dsurx, dui.rxd); dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd); led(0) <= not dui.rxd; led(1) <= not duo.txd; end generate; nouah : if CFG_AHB_UART = 0 generate apbo(4) <= apb_none; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- mg2 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller sr1 : mctrl generic map (hindex => 5, pindex => 0, paddr => 0, ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT, rammask => 0) port map (rstn, clkm, memi, memo, ahbsi, ahbso(5), apbi, apbo(0), wpo, open); end generate; memi.brdyn <= '1'; memi.bexcn <= '1'; memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "00"; mg0 : if (CFG_MCTRL_LEON2 = 0) generate apbo(0) <= apb_none; ahbso(5) <= ahbs_none; roms_pad : outpad generic map (tech => padtech) port map (romsn, vcc); memo.bdrive(0) <= '1'; end generate; mgpads : if (CFG_MCTRL_LEON2 /= 0) generate addr_pad : outpadv generic map (tech => padtech, width => 24) port map (address, memo.address(23 downto 0)); roms_pad : outpad generic map (tech => padtech) port map (romsn, memo.romsn(0)); oen_pad : outpad generic map (tech => padtech) port map (oen, memo.oen); wri_pad : outpad generic map (tech => padtech) port map (writen, memo.writen); -- pragma translate_off iosn_pad : outpad generic map (tech => padtech) port map (iosn, memo.iosn); tbdr : iopadv generic map (tech => padtech, width => 24) port map (testdata(23 downto 0), memo.data(23 downto 0), memo.bdrive(1), memi.data(23 downto 0)); -- pragma translate_on end generate; bdr : iopadv generic map (tech => padtech, width => 8) port map (data(7 downto 0), memo.data(31 downto 24), memo.bdrive(0), memi.data(31 downto 24)); ---------------------------------------------------------------------- --- DDR2 memory controller ------------------------------------------ ---------------------------------------------------------------------- ddr2sp0 : if (CFG_DDR2SP /= 0) generate ddrc0 : ddr2spa generic map ( fabtech => fabtech, memtech => memtech, hindex => 4, haddr => 16#400#, hmask => 16#F00#, ioaddr => 1, pwron => CFG_DDR2SP_INIT, MHz => DDR2_FREQ/1000, clkmul => 5, clkdiv => 8, TRFC => CFG_DDR2SP_TRFC, ahbfreq => CPU_FREQ/1000, col => CFG_DDR2SP_COL, Mbyte => CFG_DDR2SP_SIZE, ddrbits => 16, eightbanks => 1, odten => 0) port map ( cgo.clklock, rstn, lclk200, clkm, vcc, lock, clkml, clkml, ahbsi, ahbso(4), core_ddr_clk, core_ddr_clkb, ddr_clk_fb_out, ddr_clk_fb, core_ddr_cke, core_ddr_csb, ddr_we, ddr_ras, ddr_cas, ddr_dm, ddr_dqs, ddr_dqsn, core_ddr_ad, ddr_ba, ddr_dq, core_ddr_odt); ddr_clk <= core_ddr_clk(0); ddr_clkb <= core_ddr_clkb(0); ddr_cke <= core_ddr_cke(0); ddr_ad <= core_ddr_ad(12 downto 0); ddr_odt <= core_ddr_odt(0); end generate; mig_gen : if (CFG_MIG_DDR2 = 1) generate ddrc : entity work.ahb2mig_sp601 generic map( hindex => 4, haddr => 16#400#, hmask => 16#F80#, pindex => 5, paddr => 5) port map( mcb3_dram_dq => ddr_dq, mcb3_dram_a => ddr_ad, mcb3_dram_ba => ddr_ba, mcb3_dram_ras_n => ddr_ras, mcb3_dram_cas_n => ddr_cas, mcb3_dram_we_n => ddr_we, mcb3_dram_odt => ddr_odt, mcb3_dram_cke => ddr_cke, mcb3_dram_dm => ddr_dm(0), mcb3_dram_udqs => ddr_dqs(1), mcb3_rzq => ddr_rzq, mcb3_zio => ddr_zio, mcb3_dram_udm => ddr_dm(1), mcb3_dram_dqs => ddr_dqs(0), mcb3_dram_ck => ddr_clk, mcb3_dram_ck_n => ddr_clkb, ahbsi => ahbsi, ahbso => ahbso(4), apbi => apbi, apbo => apbo(5), calib_done => lock, rst_n_syn => rstn, rst_n_async => rstraw, clk_amba => clkm, clk_mem_n => clk200_n, clk_mem_p => clk200_p, test_error => open ); end generate; noddr : if (CFG_DDR2SP+CFG_MIG_DDR2) = 0 generate lock <= '1'; end generate; ---------------------------------------------------------------------- --- SPI Memory Controller-------------------------------------------- ---------------------------------------------------------------------- -- spimc: if CFG_SPICTRL_ENABLE = 0 and CFG_SPIMCTRL = 1 generate -- spimctrl0 : spimctrl -- SPI Memory Controller -- generic map (hindex => 7, hirq => 11, faddr => 16#e00#, fmask => 16#ff8#, -- ioaddr => 16#002#, iomask => 16#fff#, -- spliten => CFG_SPLIT, oepol => 0, -- sdcard => CFG_SPIMCTRL_SDCARD, -- readcmd => CFG_SPIMCTRL_READCMD, -- dummybyte => CFG_SPIMCTRL_DUMMYBYTE, -- dualoutput => CFG_SPIMCTRL_DUALOUTPUT, -- scaler => CFG_SPIMCTRL_SCALER, -- altscaler => CFG_SPIMCTRL_ASCALER, -- pwrupcnt => CFG_SPIMCTRL_PWRUPCNT) -- port map (rstn, clkm, ahbsi, ahbso(7), spmi, spmo); -- -- -- MISO is shared with Flash data 0 -- spmi.miso <= memi.data(24); -- mosi_pad : outpad generic map (tech => padtech) -- port map (spi_mosi, spmo.mosi); -- sck_pad : outpad generic map (tech => padtech) -- port map (spi_clk, spmo.sck); -- slvsel0_pad : odpad generic map (tech => padtech) -- port map (spi_sel_n, spmo.csn); -- end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- -- APB Bridge apb0 : apbctrl generic map (hindex => 1, haddr => CFG_APBADDR) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo); -- Interrupt controller irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to CFG_NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; -- Time Unit gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(3), gpti, open); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; end generate; notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; -- GPIO Unit gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate grgpio0: grgpio generic map(pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK, nbits => 12) port map(rstn, clkm, apbi, apbo(11), gpioi, gpioo); end generate; ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd; serrx_pad : inpad generic map (tech => padtech) port map (dsurx, rxd1); sertx_pad : outpad generic map (tech => padtech) port map (dsutx, txd1); led(0) <= not rxd1; led(1) <= not txd1; end generate; noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; -- spic: if CFG_SPICTRL_ENABLE = 1 generate -- SPI controller -- spi1 : spictrl -- generic map (pindex => 7, paddr => 7, pmask => 16#fff#, pirq => 11, -- fdepth => CFG_SPICTRL_FIFO, slvselen => CFG_SPICTRL_SLVREG, -- slvselsz => CFG_SPICTRL_SLVS, odmode => 0) -- port map (rstn, clkm, apbi, apbo(7), spii, spio, slvsel); -- spii.spisel <= '1'; -- Master only -- -- MISO is shared with Flash data 0 -- spii.miso <= memi.data(24); -- mosi_pad : outpad generic map (tech => padtech) -- port map (spi_mosi, spio.mosi); -- sck_pad : outpad generic map (tech => padtech) -- port map (spi_clk, spio.sck); -- slvsel_pad : odpad generic map (tech => padtech) -- port map (spi_sel_n, slvsel(0)); -- end generate spic; nospi: if CFG_SPICTRL_ENABLE = 0 and CFG_SPIMCTRL = 0 generate apbo(7) <= apb_none; -- mosi_pad : outpad generic map (tech => padtech) -- port map (spi_mosi, gnd); -- sck_pad : outpad generic map (tech => padtech) -- port map (spi_clk, gnd); -- slvsel_pad : odpad generic map (tech => padtech) -- port map (spi_sel_n, vcc); end generate; ----------------------------------------------------------------------- --- ETHERNET --------------------------------------------------------- ----------------------------------------------------------------------- eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC e1 : grethm generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, pindex => 15, paddr => 15, pirq => 12, memtech => memtech, mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO, nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, phyrstadr => 7, ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G) port map(rst => rstn, clk => clkm, ahbmi => ahbmi, ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), apbi => apbi, apbo => apbo(15), ethi => ethi, etho => etho); end generate; ethpads : if (CFG_GRETH = 1) generate -- eth pads emdio_pad : iopad generic map (tech => padtech) port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i); etxc_pad : clkpad generic map (tech => padtech, arch => 2) port map (etx_clk, ethi.tx_clk); erxc_pad : clkpad generic map (tech => padtech, arch => 2) port map (erx_clk, ethi.rx_clk); erxd_pad : inpadv generic map (tech => padtech, width => 8) port map (erxd, ethi.rxd(7 downto 0)); erxdv_pad : inpad generic map (tech => padtech) port map (erx_dv, ethi.rx_dv); erxer_pad : inpad generic map (tech => padtech) port map (erx_er, ethi.rx_er); erxco_pad : inpad generic map (tech => padtech) port map (erx_col, ethi.rx_col); erxcr_pad : inpad generic map (tech => padtech) port map (erx_crs, ethi.rx_crs); etxd_pad : outpadv generic map (tech => padtech, width => 8) port map (etxd, etho.txd(7 downto 0)); etxen_pad : outpad generic map (tech => padtech) port map (etx_en, etho.tx_en); etxer_pad : outpad generic map (tech => padtech) port map (etx_er, etho.tx_er); emdc_pad : outpad generic map (tech => padtech) port map (emdc, etho.mdc); end generate; ----------------------------------------------------------------------- --- AHB ROM ---------------------------------------------------------- ----------------------------------------------------------------------- bpromgen : if CFG_AHBROMEN /= 0 generate brom : entity work.ahbrom generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP) port map ( rstn, clkm, ahbsi, ahbso(6)); end generate; nobpromgen : if CFG_AHBROMEN = 0 generate ahbso(6) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- ahbramgen : if CFG_AHBRAMEN = 1 generate ahbram0 : ahbram generic map (hindex => 3, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE) port map (rstn, clkm, ahbsi, ahbso(3)); end generate; nram : if CFG_AHBRAMEN = 0 generate ahbso(3) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+1) to NAHBMST-1 generate ahbmo(i) <= ahbm_none; end generate; ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3 Demonstration design for Xilinx Spartan6 SP601 board", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end rtl;
gpl-2.0
c6ca2f2090cb1e86bec9a5bc89b5e9ce
0.531006
3.67986
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-jopdesign-ep1c12/testbench.vhd
1
19,454
------------------------------------------------------------------------------ -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; use work.debug.all; use work.config.all; -- configuration entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; ncpu : integer := CFG_NCPU; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 20; -- system clock period romwidth : integer := 32; -- rom data width (8/32) romdepth : integer := 16; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 18; -- ram address depth srambanks : integer := 2 -- number of ram banks ); port ( pci_rst : inout std_logic; -- PCI bus pci_clk : in std_logic; pci_gnt : in std_logic; pci_idsel : in std_logic; pci_lock : inout std_logic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_logic; pci_irdy : inout std_logic; pci_trdy : inout std_logic; pci_devsel : inout std_logic; pci_stop : inout std_logic; pci_perr : inout std_logic; pci_par : inout std_logic; pci_req : inout std_logic; pci_serr : inout std_logic; pci_host : in std_logic; pci_66 : in std_logic ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents component leon3mp generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( resetn : in std_logic; clk : in std_logic; pllref : in std_logic; errorn : out std_logic; address : out std_logic_vector(27 downto 0); data : inout std_logic_vector(31 downto 0); sa : out std_logic_vector(14 downto 0); sd : inout std_logic_vector(63 downto 0); sdclk : out std_logic; sdcke : out std_logic_vector (1 downto 0); -- sdram clock enable sdcsn : out std_logic_vector (1 downto 0); -- sdram chip select sdwen : out std_logic; -- sdram write enable sdrasn : out std_logic; -- sdram ras sdcasn : out std_logic; -- sdram cas sddqm : out std_logic_vector (7 downto 0); -- sdram dqm dsutx : out std_logic; -- DSU tx data dsurx : in std_logic; -- DSU rx data dsuen : in std_logic; dsubre : in std_logic; dsuact : out std_logic; txd1 : out std_logic; -- UART1 tx data rxd1 : in std_logic; -- UART1 rx data txd2 : out std_logic; -- UART1 tx data rxd2 : in std_logic; -- UART1 rx data ramsn : out std_logic_vector (4 downto 0); ramoen : out std_logic_vector (4 downto 0); rwen : out std_logic_vector (3 downto 0); oen : out std_logic; writen : out std_logic; read : out std_logic; iosn : out std_logic; romsn : out std_logic_vector (1 downto 0); gpio : inout std_logic_vector(7 downto 0); -- I/O port emdio : inout std_logic; -- ethernet PHY interface etx_clk : in std_logic; erx_clk : in std_logic; erxd : in std_logic_vector(3 downto 0); erx_dv : in std_logic; erx_er : in std_logic; erx_col : in std_logic; erx_crs : in std_logic; etxd : out std_logic_vector(3 downto 0); etx_en : out std_logic; etx_er : out std_logic; emdc : out std_logic; emddis : out std_logic; epwrdwn : out std_logic; ereset : out std_logic; esleep : out std_logic; epause : out std_logic; pci_rst : inout std_logic; -- PCI bus pci_clk : in std_logic; pci_gnt : in std_logic; pci_idsel : in std_logic; pci_lock : inout std_logic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_logic; pci_irdy : inout std_logic; pci_trdy : inout std_logic; pci_devsel : inout std_logic; pci_stop : inout std_logic; pci_perr : inout std_logic; pci_par : inout std_logic; pci_req : inout std_logic; pci_serr : inout std_logic; pci_host : in std_logic; pci_66 : in std_logic; pci_arb_req : in std_logic_vector(0 to 3); pci_arb_gnt : out std_logic_vector(0 to 3); can_txd : out std_logic; can_rxd : in std_logic; can_stb : out std_logic; spw_clk : in std_logic; spw_rxd : in std_logic_vector(0 to 2); spw_rxdn : in std_logic_vector(0 to 2); spw_rxs : in std_logic_vector(0 to 2); spw_rxsn : in std_logic_vector(0 to 2); spw_txd : out std_logic_vector(0 to 2); spw_txdn : out std_logic_vector(0 to 2); spw_txs : out std_logic_vector(0 to 2); spw_txsn : out std_logic_vector(0 to 2) ); end component; signal clk : std_logic := '0'; signal Rst : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal address : std_logic_vector(27 downto 0); signal data : std_logic_vector(31 downto 0); signal ramsn : std_logic_vector(4 downto 0); signal ramoen : std_logic_vector(4 downto 0); signal rwen : std_logic_vector(3 downto 0); signal rwenx : std_logic_vector(3 downto 0); signal romsn : std_logic_vector(1 downto 0); signal iosn : std_logic; signal oen : std_logic; signal read : std_logic; signal writen : std_logic; signal brdyn : std_logic; signal bexcn : std_logic; signal wdog : std_logic; signal dsuen, dsutx, dsurx, dsubre, dsuact : std_logic; signal dsurst : std_logic; signal test : std_logic; signal error : std_logic; signal gpio : std_logic_vector(7 downto 0); signal GND : std_logic := '0'; signal VCC : std_logic := '1'; signal NC : std_logic := 'Z'; signal clk2 : std_logic := '1'; signal sdcke : std_logic_vector ( 1 downto 0); -- clk en signal sdcsn : std_logic_vector ( 1 downto 0); -- chip sel signal sdwen : std_logic; -- write en signal sdrasn : std_logic; -- row addr stb signal sdcasn : std_logic; -- col addr stb signal sddqm : std_logic_vector ( 7 downto 0); -- data i/o mask signal sdclk : std_logic; signal plllock : std_logic; signal txd1, rxd1 : std_logic; signal txd2, rxd2 : std_logic; signal etx_clk, erx_clk, erx_dv, erx_er, erx_col, erx_crs, etx_en, etx_er : std_logic:='0'; signal erxd, etxd: std_logic_vector(3 downto 0):=(others=>'0'); signal erxdt, etxdt: std_logic_vector(7 downto 0):=(others=>'0'); signal emdc, emdio: std_logic; --dummy signal for the mdc,mdio in the phy which is not used signal gtx_clk : std_logic; signal emddis : std_logic; signal epwrdwn : std_logic; signal ereset : std_logic; signal esleep : std_logic; signal epause : std_logic; constant lresp : boolean := false; signal sa : std_logic_vector(14 downto 0); signal sd : std_logic_vector(63 downto 0); signal pci_arb_req, pci_arb_gnt : std_logic_vector(0 to 3); signal can_txd : std_logic; signal can_rxd : std_logic; signal can_stb : std_logic; signal spw_clk : std_logic := '0'; signal spw_rxd : std_logic_vector(0 to 2) := "000"; signal spw_rxdn : std_logic_vector(0 to 2) := "000"; signal spw_rxs : std_logic_vector(0 to 2) := "000"; signal spw_rxsn : std_logic_vector(0 to 2) := "000"; signal spw_txd : std_logic_vector(0 to 2); signal spw_txdn : std_logic_vector(0 to 2); signal spw_txs : std_logic_vector(0 to 2); signal spw_txsn : std_logic_vector(0 to 2); constant CFG_SDEN : integer := CFG_SDCTRL + CFG_MCTRL_SDEN ; constant CFG_SD64 : integer := CFG_SDCTRL_SD64 + CFG_MCTRL_SD64; begin -- clock and reset spw_clk <= not spw_clk after 20 ns; spw_rxd(0) <= spw_txd(0); spw_rxdn(0) <= spw_txdn(0); spw_rxs(0) <= spw_txs(0); spw_rxsn(0) <= spw_txsn(0); spw_rxd(1) <= spw_txd(1); spw_rxdn(1) <= spw_txdn(1); spw_rxs(1) <= spw_txs(1); spw_rxsn(1) <= spw_txsn(1); spw_rxd(2) <= spw_txd(0); spw_rxdn(2) <= spw_txdn(2); spw_rxs(2) <= spw_txs(0); spw_rxsn(2) <= spw_txsn(2); clk <= not clk after ct * 1 ns; rst <= dsurst; dsuen <= '1'; dsubre <= '0'; rxd1 <= '1'; can_rxd <= '1'; d3 : leon3mp generic map ( fabtech, memtech, padtech, clktech, disas, dbguart, pclow ) port map (rst, clk, sdclk, error, address(27 downto 0), data, sa, sd, sdclk, sdcke, sdcsn, sdwen, sdrasn, sdcasn, sddqm, dsutx, dsurx, dsuen, dsubre, dsuact, txd1, rxd1, txd2, rxd2, ramsn, ramoen, rwen, oen, writen, read, iosn, romsn, gpio, emdio, etx_clk, erx_clk, erxd, erx_dv, erx_er, erx_col, erx_crs, etxd, etx_en, etx_er, emdc, emddis, epwrdwn, ereset, esleep, epause, pci_rst, pci_clk, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe, pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr, pci_par, pci_req, pci_serr, pci_host, pci_66, pci_arb_req, pci_arb_gnt, can_txd, can_rxd, can_stb, spw_clk, spw_rxd, spw_rxdn, spw_rxs, spw_rxsn, spw_txd, spw_txdn, spw_txs, spw_txsn); -- optional sdram sd0 : if (CFG_SDEN /= 0) and (CFG_MCTRL_SEPBUS = 0) generate u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => data(31 downto 16), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => data(15 downto 0), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); u2: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => data(31 downto 16), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u3: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => data(15 downto 0), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); end generate; sd1 : if (CFG_SDEN /= 0) and (CFG_MCTRL_SEPBUS = 1) generate u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); u2: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u3: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); sd64 : if (CFG_SD64 /= 0) generate u4: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(63 downto 48), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(7 downto 6)); u5: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); u6: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(63 downto 48), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(7 downto 6)); u7: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); end generate; end generate; prom0 : for i in 0 to (romwidth/8)-1 generate sr0 : sram generic map (index => i, abits => romdepth, fname => promfile) port map (address(romdepth+1 downto 2), data(31-i*8 downto 24-i*8), romsn(0), rwen(i), oen); end generate; sram0 : for i in 0 to (sramwidth/8)-1 generate sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile) port map (address(sramdepth+1 downto 2), data(31-i*8 downto 24-i*8), ramsn(0), rwen(0), ramoen(0)); end generate; phy0 : if (CFG_GRETH = 1) generate emdio <= 'H'; erxd <= erxdt(3 downto 0); etxdt <= "0000" & etxd; p0: phy generic map(base1000_t_fd => 0, base1000_t_hd => 0) port map(rst, emdio, etx_clk, erx_clk, erxdt, erx_dv, erx_er, erx_col, erx_crs, etxdt, etx_en, etx_er, emdc, gtx_clk); end generate; error <= 'H'; -- ERROR pull-up iuerr : process begin wait for 2500 ns; if to_x01(error) = '1' then wait on error; end if; assert (to_x01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; end process; data <= buskeep(data), (others => 'H') after 250 ns; sd <= buskeep(sd), (others => 'H') after 250 ns; test0 : grtestmod port map ( rst, clk, error, address(21 downto 2), data, iosn, oen, writen, brdyn); dsucom : process procedure dsucfg(signal dsurx : in std_logic; signal dsutx : out std_logic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; wait for 500 ns; dsurst <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#6f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#11#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#04#, txp); txa(dsutx, 16#00#, 16#02#, 16#20#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end ;
gpl-2.0
22837c7e7f33f7feb08016baed82e5ce
0.570423
3.038738
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/openchip/sui/sui.vhd
3
2,355
---------------------------------------------------------------------------- -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2004 GAISLER RESEARCH -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- See the file COPYING for the full details of the license. -- ----------------------------------------------------------------------------- -- package: sui -- File: sui.vhd -- Author: Antti Lukats, OpenChip -- Description: Simple User Interface types and components ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; package sui is type sui_in_type is record post_code_in : std_logic_vector(7 downto 0); switch_in : std_logic_vector(31 downto 0); button_in : std_logic_vector(31 downto 0); lcd_in : std_logic_vector(7 downto 0); end record; type sui_out_type is record led_a_out : std_logic_vector(3 downto 0); led_b_out : std_logic_vector(3 downto 0); led_c_out : std_logic_vector(3 downto 0); led_d_out : std_logic_vector(3 downto 0); led_e_out : std_logic_vector(3 downto 0); led_f_out : std_logic_vector(3 downto 0); led_g_out : std_logic_vector(3 downto 0); led_dp_out : std_logic_vector(3 downto 0); led_com_out : std_logic_vector(31 downto 0); led_out : std_logic_vector(31 downto 0); lcd_out : std_logic_vector(7 downto 0); lcd_oe : std_logic; lcd_en : std_logic_vector(3 downto 0); lcd_rs : std_logic; lcd_r_wn : std_logic; lcd_backlight : std_logic; buzzer : std_logic; end record; component apbsui generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; pirq : integer := 0; -- active level for Segment LED segments led7act : integer := 1; -- active level for single LED's ledact : integer := 1); port ( rst : in std_ulogic; clk : in std_ulogic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; suii : in sui_in_type; suio : out sui_out_type); end component; end;
gpl-2.0
9b29c6978b105e67547a8ccfb51d8ca0
0.575372
3.252762
false
false
false
false
Fairyland0902/BlockyRoads
src/BlockyRoads/ipcore_dir/score/simulation/score_tb.vhd
1
4,337
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: score_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY score_tb IS END ENTITY; ARCHITECTURE score_tb_ARCH OF score_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; score_synth_inst:ENTITY work.score_synth GENERIC MAP (C_ROM_SYNTH => 0) PORT MAP( CLK_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
mit
0ba7c03bd79f007140e08b84e64fa6ae
0.618861
4.663441
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-avnet-xc2v1500/testbench.vhd
1
7,663
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; use work.debug.all; use work.config.all; -- configuration entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; ncpu : integer := CFG_NCPU; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 25; -- system clock period romwidth : integer := 32; -- rom data width (8/32) romdepth : integer := 16; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 18; -- ram address depth srambanks : integer := 2 -- number of ram banks ); port ( pci_rst : inout std_logic; -- PCI bus pci_clk : in std_logic; pci_gnt : in std_logic; pci_idsel : in std_logic; pci_lock : inout std_logic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_logic; pci_irdy : inout std_logic; pci_trdy : inout std_logic; pci_devsel : inout std_logic; pci_stop : inout std_logic; pci_perr : inout std_logic; pci_par : inout std_logic; pci_req : inout std_logic; pci_serr : inout std_logic; pci_host : in std_logic; pci_66 : in std_logic ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents signal sys_clk : std_logic := '0'; signal sys_rst_in : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal errorn : std_logic; signal address : std_logic_vector(27 downto 0); signal data : std_logic_vector(15 downto 0); signal xdata : std_logic_vector(31 downto 0); signal romsn : std_logic; signal writen, read : std_logic; signal oen : std_logic; signal flash_rstn : std_logic; signal ddr_clk : std_logic_vector(1 downto 0); signal ddr_clkb : std_logic_vector(1 downto 0); signal ddr_clk_fb : std_logic; signal ddr_clk_fb_out : std_logic; signal ddr_cke : std_logic_vector(1 downto 0); signal ddr_csb : std_logic_vector(1 downto 0); signal ddr_web : std_logic; -- ddr write enable signal ddr_rasb : std_logic; -- ddr ras signal ddr_casb : std_logic; -- ddr cas signal ddr_dm : std_logic_vector (7 downto 0); -- ddr dm signal ddr_dqs : std_logic_vector (7 downto 0); -- ddr dqs signal ddr_ad : std_logic_vector (12 downto 0); -- ddr address signal ddr_ba : std_logic_vector (1 downto 0); -- ddr bank address signal ddr_dq : std_logic_vector (63 downto 0); -- ddr data signal txd1 : std_logic; -- UART1 tx data signal rxd1 : std_logic; -- UART1 rx data signal gpio : std_logic_vector(31 downto 0); -- I/O port signal flash_cex : std_logic; signal clk125 : std_logic := '0'; signal GND : std_logic := '0'; signal VCC : std_logic := '1'; signal NC : std_logic := 'Z'; constant lresp : boolean := false; signal dsuen : std_logic; signal dsubre : std_logic; signal dsuact : std_logic; begin -- clock and reset sys_clk <= not sys_clk after ct * 1 ns; sys_rst_in <= '0', '1' after 200 ns; rxd1 <= 'H'; errorn <= 'H'; ddr_clk_fb <= ddr_clk_fb_out; clk125 <= not clk125 after 6.75 ns; cpu : entity work.leon3mp generic map ( fabtech, memtech, padtech, ncpu, disas, dbguart, pclow ) port map ( sys_rst_in, sys_clk, clk125, errorn, flash_rstn, address, data, dsuen, dsubre, dsuact, oen, writen, read, romsn, ddr_clk, ddr_clkb, ddr_clk_fb, ddr_clk_fb_out, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq, txd1, rxd1, -- gpio, pci_rst, pci_clk, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe, pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr, pci_par, pci_req, pci_serr, pci_host, pci_66 ); ddrmem : for i in 0 to 1 generate u3 : mt46v16m16 generic map (index => 3, fname => sdramfile, bbits => 64) PORT MAP( Dq => ddr_dq(15 downto 0), Dqs => ddr_dqs(1 downto 0), Addr => ddr_ad, Ba => ddr_ba, Clk => ddr_clk(i), Clk_n => ddr_clkb(i), Cke => ddr_cke(i), Cs_n => ddr_csb(i), Ras_n => ddr_rasb, Cas_n => ddr_casb, We_n => ddr_web, Dm => ddr_dm(1 downto 0)); u2 : mt46v16m16 generic map (index => 2, fname => sdramfile, bbits => 64) PORT MAP( Dq => ddr_dq(31 downto 16), Dqs => ddr_dqs(3 downto 2), Addr => ddr_ad, Ba => ddr_ba, Clk => ddr_clk(i), Clk_n => ddr_clkb(i), Cke => ddr_cke(i), Cs_n => ddr_csb(i), Ras_n => ddr_rasb, Cas_n => ddr_casb, We_n => ddr_web, Dm => ddr_dm(3 downto 2)); u1 : mt46v16m16 generic map (index => 1, fname => sdramfile, bbits => 64) PORT MAP( Dq => ddr_dq(47 downto 32), Dqs => ddr_dqs(5 downto 4), Addr => ddr_ad, Ba => ddr_ba, Clk => ddr_clk(i), Clk_n => ddr_clkb(i), Cke => ddr_cke(i), Cs_n => ddr_csb(i), Ras_n => ddr_rasb, Cas_n => ddr_casb, We_n => ddr_web, Dm => ddr_dm(5 downto 4)); u0 : mt46v16m16 generic map (index => 0, fname => sdramfile, bbits => 64) PORT MAP( Dq => ddr_dq(63 downto 48), Dqs => ddr_dqs(7 downto 6), Addr => ddr_ad, Ba => ddr_ba, Clk => ddr_clk(i), Clk_n => ddr_clkb(i), Cke => ddr_cke(i), Cs_n => ddr_csb(i), Ras_n => ddr_rasb, Cas_n => ddr_casb, We_n => ddr_web, Dm => ddr_dm(7 downto 6)); end generate; prom0 : sram16 generic map (index => 4, abits => romdepth, fname => promfile) port map (address(romdepth-1 downto 0), data, gnd, gnd, romsn, writen, oen); iuerr : process begin wait for 5000 ns; if to_x01(errorn) = '1' then wait on errorn; end if; assert (to_x01(errorn) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; end process; xdata <= "0000000000000000" & data; data <= buskeep(data), (others => 'H') after 250 ns; ddr_dq <= buskeep(ddr_dq), (others => 'H') after 250 ns; end ;
gpl-2.0
060037d07d492265036794d960c4e97e
0.59846
3.194248
false
false
false
false
mistryalok/Zedboard
learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/counter_f.vhd
14
9,766
------------------------------------------------------------------------------- -- counter_f - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: counter_f.vhd -- -- Description: Implements a parameterizable N-bit counter_f -- Up/Down Counter -- Count Enable -- Parallel Load -- Synchronous Reset -- The structural implementation has incremental cost -- of one LUT per bit. -- Precedence of operations when simultaneous: -- reset, load, count -- -- A default inferred-RTL implementation is provided and -- is used if the user explicitly specifies C_FAMILY=nofamily -- or ommits C_FAMILY (allowing it to default to nofamily). -- The default implementation is also used -- if needed primitives are not available in FPGAs of the -- type given by C_FAMILY. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- counter_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- Author: FLO & Nitin 06/06/2006 First Version, functional equivalent -- of counter.vhd. -- History: -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.unsigned; use IEEE.numeric_std."+"; use IEEE.numeric_std."-"; library unisim; use unisim.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity counter_f is generic( C_NUM_BITS : integer := 9; C_FAMILY : string := "nofamily" ); port( Clk : in std_logic; Rst : in std_logic; Load_In : in std_logic_vector(C_NUM_BITS - 1 downto 0); Count_Enable : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Count_Out : out std_logic_vector(C_NUM_BITS - 1 downto 0); Carry_Out : out std_logic ); end entity counter_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of counter_f is --------------------------------------------------------------------- -- Begin architecture --------------------------------------------------------------------- begin INFERRED_GEN : if (true) generate signal icount_out : unsigned(C_NUM_BITS downto 0); signal icount_out_x : unsigned(C_NUM_BITS downto 0); signal load_in_x : unsigned(C_NUM_BITS downto 0); begin load_in_x <= unsigned('0' & Load_In); -- Mask out carry position to retain legacy self-clear on next enable. -- icount_out_x <= ('0' & icount_out(C_NUM_BITS-1 downto 0)); -- Echeck WA icount_out_x <= unsigned('0' & std_logic_vector(icount_out(C_NUM_BITS-1 downto 0))); ----------------------------------------------------------------- -- Process to generate counter with - synchronous reset, load, -- counter enable, count down / up features. ----------------------------------------------------------------- CNTR_PROC : process(Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then icount_out <= (others => '0'); elsif Count_Load = '1' then icount_out <= load_in_x; elsif Count_Down = '1' and Count_Enable = '1' then icount_out <= icount_out_x - 1; elsif Count_Enable = '1' then icount_out <= icount_out_x + 1; end if; end if; end process CNTR_PROC; Carry_Out <= icount_out(C_NUM_BITS); Count_Out <= std_logic_vector(icount_out(C_NUM_BITS-1 downto 0)); end generate INFERRED_GEN; end architecture imp; --------------------------------------------------------------- -- End of file counter_f.vhd ---------------------------------------------------------------
gpl-3.0
bfa377e873faa2d6f2e739103be12a11
0.409789
5.561503
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/greth/rgmii.vhd
1
14,233
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: rgmii -- File: rgmii.vhd -- Author: Fredrik Ringhage - Aeroflex Gaisler -- Description: GMII to RGMII interface ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library gaisler; use gaisler.net.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; use techmap.allclkgen.all; library eth; use eth.grethpkg.all; entity rgmii is generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; tech : integer := 0; gmii : integer := 0; extclk : integer := 0; clkdiv2 : integer := 0; debugmem : integer := 0 ); port ( rstn : in std_ulogic; clk_tx_g : in std_ulogic; gmiii : out eth_in_type; gmiio : in eth_out_type; rgmiii : in eth_in_type; rgmiio : out eth_out_type; -- APB Status bus apb_clk : in std_logic; apb_rstn : in std_logic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type ); end ; architecture rtl of rgmii is constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_RGMII, 0, 0, 0), 1 => apb_iobar(paddr, pmask)); attribute keep : boolean; attribute syn_keep : boolean; attribute syn_preserve : boolean; signal vcc, gnd : std_ulogic; signal tx_en, tx_ctlp, tx_ctl : std_ulogic; signal txd : std_logic_vector(7 downto 0); signal rxd, rxd_int : std_logic_vector(7 downto 0); signal rx_clk, nrx_clk : std_ulogic; signal rx_dv, rx_dv_int, rx_ctl, rx_ctl_int, rx_error : std_logic; signal clk50i,clk50ni, clk25i, clk25ni, clk125i, clk2_5i, clk2_5ni : std_ulogic; signal txp, txn, tx_clk_ddr, tx_clk, tx_clki, ntx_clk : std_ulogic; signal cnt2_5, cnt25 : unsigned(5 downto 0); signal rst50n,rsttxclkn,rsttxclk,rsttxclk90n,rsttxclk90 : std_logic; -- RGMII Inband status signals signal inbandopt,inbandreq : std_logic; signal link_status : std_logic; signal clock_speed : std_logic_vector(1 downto 0); signal duplex_status : std_logic; signal false_carrier_ind : std_logic; signal carrier_ext : std_logic; signal carrier_ext_error : std_logic; signal carrier_sense : std_logic; -- Extra registers to ease IOB placement signal status_vector_apb : std_logic_vector(15 downto 0); -- debug signal signal WMemRgmiioData : std_logic_vector(15 downto 0); signal RMemRgmiioData : std_logic_vector(15 downto 0); signal RMemRgmiioAddr : std_logic_vector(9 downto 0); signal WMemRgmiioAddr : std_logic_vector(9 downto 0); signal WMemRgmiioWrEn : std_logic; signal WMemRgmiiiData : std_logic_vector(15 downto 0); signal RMemRgmiiiData : std_logic_vector(15 downto 0); signal RMemRgmiiiAddr : std_logic_vector(9 downto 0); signal WMemRgmiiiAddr : std_logic_vector(9 downto 0); signal WMemRgmiiiWrEn : std_logic; signal RMemRgmiiiRead : std_logic; signal RMemRgmiioRead : std_logic; signal clk_tx_g_n : std_logic; begin -- rtl vcc <= '1'; gnd <= '0'; --------------------------------------------------------------------------------------- -- MDIO path --------------------------------------------------------------------------------------- gmiii.mdint <= rgmiii.mdint; gmiii.mdio_i <= rgmiii.mdio_i; rgmiio.mdio_o <= gmiio.mdio_o; rgmiio.mdio_oe <= gmiio.mdio_oe; rgmiio.mdc <= gmiio.mdc; --------------------------------------------------------------------------------------- -- TX path --------------------------------------------------------------------------------------- -- Generate transmit clocks. tx_clk <= rgmiii.gtx_clk; ntx_clk <= not tx_clk; gmiii.gtx_clk <= tx_clk; gmiii.tx_clk <= tx_clk; process (tx_clk) begin -- process if rising_edge(tx_clk) then if cnt25 = to_unsigned(4,cnt25'length) then cnt25 <= to_unsigned(0,cnt25'length); else cnt25 <= cnt25 + 1; end if; if cnt25 = to_unsigned(0,cnt25'length) then clk25i <= '1'; elsif cnt25 = to_unsigned(3,cnt25'length) then clk25i <= '0'; else clk25i <= clk25i; end if; clk25ni <= not clk25i; if cnt2_5 = to_unsigned(49,cnt2_5'length) then cnt2_5 <= to_unsigned(0,cnt2_5'length); else cnt2_5 <= cnt2_5 + 1; end if; if cnt2_5 = to_unsigned(0,cnt2_5'length) then clk2_5i <= '1'; elsif cnt2_5 = to_unsigned(49,cnt2_5'length) then clk2_5i <= '0'; else clk2_5i <= clk2_5i; end if; clk2_5ni <= not clk2_5i; if rsttxclkn = '0' then clk25i <= '0'; clk2_5i <= '0'; cnt2_5 <= to_unsigned(0,cnt2_5'length); cnt25 <= to_unsigned(0,cnt25'length); end if; end if; end process; -- Generate RGMII control signal and check data rate process (tx_clk) begin -- process if rising_edge(tx_clk) then if (gmiio.gbit = '1') then txd(7 downto 0) <= gmiio.txd(7 downto 0); else txd(7 downto 4) <= gmiio.txd(3 downto 0); txd(3 downto 0) <= gmiio.txd(3 downto 0); end if; tx_en <= gmiio.tx_en; tx_ctl <= gmiio.tx_en xor gmiio.tx_er; end if; if (gmii = 1) and (gmiio.gbit = '1') then txp <= '1'; txn <= '0'; else if gmiio.speed = '1' then txp <= clk25i; txn <= clk25ni; else txp <= clk2_5i; txn <= clk2_5ni; end if; end if; end process; clk_tx_rst : eth_rstgen port map(rstn, tx_clk, vcc, rsttxclkn, open); rsttxclk <= not rsttxclkn; clk_tx90_rst : eth_rstgen port map(rstn, clk_tx_g, vcc, rsttxclk90n, open); rsttxclk90 <= not rsttxclk90n; clk_tx_g_n <= not clk_tx_g; -- DDR outputs rgmii_txd : for i in 0 to 3 generate ddr_oreg0 : ddr_oreg generic map (tech, arch => 1) port map (q => rgmiio.txd(i), c1 => tx_clk, c2 => ntx_clk, ce => vcc, d1 => txd(i), d2 => txd(i+4), r => rsttxclk, s => gnd); end generate; rgmii_tx_ctl : ddr_oreg generic map (tech, arch => 1) port map (q => rgmiio.tx_en, c1 => tx_clk, c2 => ntx_clk, ce => vcc, d1 => tx_en, d2 => tx_ctl, r => rsttxclk, s => gnd); rgmii_tx_clk : ddr_oreg generic map (tech, arch => 1) port map (q =>tx_clk_ddr, c1 => clk_tx_g, c2 => clk_tx_g_n, ce => vcc, d1 => txp, d2 => txn, r => rsttxclk90, s => gnd); rgmiio.tx_er <= '0'; rgmiio.tx_clk <= tx_clk_ddr; rgmiio.reset <= rstn; rgmiio.gbit <= gmiio.gbit; rgmiio.speed <= gmiio.speed when (gmii = 1) else '0'; -- Not used in RGMII mode rgmiio.txd(7 downto 4) <= (others => '0'); --------------------------------------------------------------------------------------- -- RX path --------------------------------------------------------------------------------------- -- Rx Clocks rx_clk <= rgmiii.rx_clk; nrx_clk <= not rgmiii.rx_clk; -- DDR inputs rgmii_rxd : for i in 0 to 3 generate ddr_ireg0 : ddr_ireg generic map (tech, arch => 1) port map (q1 => rxd_int(i), q2 => rxd_int(i+4), c1 => rx_clk, c2 => nrx_clk, ce => vcc, d => rgmiii.rxd(i), r => gnd, s => gnd); V7D : if (tech = virtex7) or (tech = kintex7) generate process (rx_clk) begin if rising_edge(rx_clk) then rxd(i) <= rxd_int(i+4); end if; end process; rxd(i+4) <= rxd_int(i); end generate; S6D : if (tech = spartan6) generate rxd(i) <= rxd_int(i); rxd(i+4) <= rxd_int(i+4); end generate; end generate; ddr_dv0 : ddr_ireg generic map (tech, arch => 1) port map (q1 => rx_dv_int, q2 => rx_ctl_int, c1 => rx_clk, c2 => nrx_clk, ce => vcc, d => rgmiii.rx_dv, r => gnd, s => gnd); V7DV : if (tech = virtex7) or (tech = kintex7) generate process (rx_clk) begin if rising_edge(rx_clk) then rx_dv <= rx_ctl_int; end if; end process; rx_ctl <= rx_dv_int; end generate; S6DV : if (tech = spartan6) generate rx_dv <= rx_dv_int; rx_ctl <= rx_ctl_int; end generate; -- Decode GMII error signal rx_error <= rx_dv xor rx_ctl; -- Enable inband status registers during Interframe Gap inbandopt <= not ( rx_dv or rx_error ); inbandreq <= rx_error and not rx_dv; -- Sample RGMII inband information process (rx_clk) begin if rising_edge(rx_clk) then if (inbandopt = '1') then link_status <= rxd(0); clock_speed <= rxd(2 downto 1); duplex_status <= rxd(3); end if; if (inbandreq = '1') then if (rxd = x"0E") then false_carrier_ind <= '1'; else false_carrier_ind <= '0'; end if; if (rxd = x"0F") then carrier_ext <= '1'; else carrier_ext <= '0'; end if; if (rxd = x"1F") then carrier_ext_error <= '1'; else carrier_ext_error <= '0'; end if; if (rxd = x"FF") then carrier_sense <= '1'; else carrier_sense <= '0'; end if; end if; end if; end process; -- GMII output gmiii.rxd <= rxd; gmiii.rx_dv <= rx_dv; gmiii.rx_er <= rx_error; gmiii.rx_clk <= rx_clk; gmiii.rx_col <= '0'; gmiii.rx_crs <= rx_dv; gmiii.rmii_clk <= '0'; -- GMII output controlled via generics gmiii.edclsepahb <= '0'; gmiii.edcldisable <= '0'; gmiii.phyrstaddr <= (others => '0'); gmiii.edcladdr <= (others => '0'); --------------------------------------------------------------------------------------- -- APB Section --------------------------------------------------------------------------------------- apbo.pindex <= pindex; apbo.pconfig <= pconfig; apbo.pirq <= (others => '0'); apbo.prdata(31 downto 16) <= (others => '0'); apbo.prdata(15 downto 0) <= (others => '0') when apbi.psel(pindex) = '0' else RMemRgmiiiData when RMemRgmiiiRead = '1' else RMemRgmiioData when RMemRgmiioRead = '1' else status_vector_apb; -- Extra registers to ease CDC placement process (apb_clk) begin if apb_clk'event and apb_clk = '1' then status_vector_apb(15 downto 12) <= (others => '0'); status_vector_apb(11) <= '0'; status_vector_apb(10) <= '0'; status_vector_apb(9) <= gmiio.speed; status_vector_apb(8) <= gmiio.gbit; status_vector_apb(7) <= carrier_sense; status_vector_apb(6) <= carrier_ext_error; status_vector_apb(5) <= carrier_ext; status_vector_apb(4) <= false_carrier_ind; status_vector_apb(3) <= duplex_status; status_vector_apb(2) <= clock_speed(1); status_vector_apb(1) <= clock_speed(0); status_vector_apb(0) <= link_status; end if; end process; debugmem0 : if (debugmem /= 0) generate -- Write GMII IN data process (tx_clk) begin -- process if rising_edge(tx_clk) then WMemRgmiioData(15 downto 0) <= "000" & tx_en & "000" & tx_ctl & txd; if (tx_en = '1') and ((WMemRgmiioAddr < "0111111110") or (WMemRgmiioAddr = "1111111111")) then WMemRgmiioAddr <= WMemRgmiioAddr + 1; WMemRgmiioWrEn <= '1'; else if (rx_dv = '0') then WMemRgmiioAddr <= (others => '1'); else WMemRgmiioAddr <= WMemRgmiioAddr; end if; WMemRgmiioWrEn <= '0'; end if; end if; end process; -- Read RMemRgmiioRead <= apbi.paddr(10) and apbi.psel(pindex); RMemRgmiioAddr <= "00" & apbi.paddr(10-1 downto 2); gmiii0 : syncram_2p generic map (tech, 10, 16, 1, 0, 0) port map( apb_clk, RMemRgmiioRead, RMemRgmiioAddr, RMemRgmiioData, tx_clk, WMemRgmiioWrEn, WMemRgmiioAddr(10-1 downto 0), WMemRgmiioData); end generate; debugmem1 : if (debugmem /= 0) generate -- Write GMII IN data process (rx_clk) begin -- process if rising_edge(rx_clk) then WMemRgmiiiData(15 downto 0) <= "000" & rx_dv & "000" & rx_ctl & rxd(7 downto 4) & rxd(3 downto 0); if ((rx_dv = '1') or (rx_ctl = '1')) and ((WMemRgmiiiAddr < "0111111110") or (WMemRgmiiiAddr = "1111111111")) then WMemRgmiiiAddr <= WMemRgmiiiAddr + 1; WMemRgmiiiWrEn <= '1'; else if (rx_dv = '0') then WMemRgmiiiAddr <= (others => '1'); else WMemRgmiiiAddr <= WMemRgmiiiAddr; end if; WMemRgmiiiWrEn <= '0'; end if; end if; end process; -- Read RMemRgmiiiRead <= apbi.paddr(11) and apbi.psel(pindex); RMemRgmiiiAddr <= "00" & apbi.paddr(10-1 downto 2); rgmiii0 : syncram_2p generic map (tech, 10, 16, 1, 0, 0) port map( apb_clk, RMemRgmiiiRead, RMemRgmiiiAddr, RMemRgmiiiData, tx_clk, WMemRgmiiiWrEn, WMemRgmiiiAddr(10-1 downto 0), WMemRgmiiiData); end generate; end rtl;
gpl-2.0
fb4e50d175526726458252540c073580
0.53938
3.509122
false
false
false
false
Luisda199824/ProcesadorMonociclo
TB_InstructionMemory.vhd
1
1,086
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use std.textio.all; ENTITY TB_InstructionMemory IS END TB_InstructionMemory; ARCHITECTURE behavior OF TB_InstructionMemory IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT instructionMemory PORT( address : IN std_logic_vector(31 downto 0); rst : IN std_logic; outInstruction : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal address : std_logic_vector(31 downto 0) := (others => '0'); signal rst : std_logic := '0'; --Outputs signal outInstruction : std_logic_vector(31 downto 0); BEGIN -- Instantiate the Unit Under Test (UUT) uut: instructionMemory PORT MAP ( address => address, rst => rst, outInstruction => outInstruction ); -- Stimulus process stim_proc: process begin address <= x"00000000"; rst <= '0'; wait for 50 ns; rst <= '1'; wait for 50 ns; rst <= '0'; address <= x"0000000F"; wait; end process; END;
mit
490bb1dea53818c7873e73de135c317f
0.626151
3.757785
false
false
false
false
laurocruz/snakes_vhdl
src/game.vhd
1
2,094
LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY snake_lib; USE snake_lib.snake_pack.all; ENTITY game IS -- Dimensões do mapa GENERIC (N : INTEGER := 10; M : INTEGER := 10; INITIAL_SIZE : INTEGER := 2; width : INTEGER := 6); PORT (clock : IN STD_LOGIC; reset : IN STD_LOGIC; KEY : IN STD_LOGIC_VECTOR(1 DOWNTO 0); snake_size : OUT INTEGER RANGE 0 TO N*M; gmap : OUT STD_LOGIC_VECTOR(0 TO N*M-1); --snake_body : OUT int_array; food_pos : OUT INTEGER RANGE 0 TO N*M-1); END game; ARCHITECTURE Behavior OF game IS SIGNAL eaten : STD_LOGIC; SIGNAL game_clock : STD_LOGIC; SIGNAL snake_size_s : INTEGER RANGE 0 TO M*N; SIGNAL snake_body_s : int_array; SIGNAL food_pos_s : INTEGER RANGE 0 TO M*N-1; SIGNAL gmap_s : STD_LOGIC_VECTOR(0 TO N*M-1); SIGNAL dir_s : STD_LOGIC_VECTOR(0 to 1); SIGNAL lost_s : STD_LOGIC := '1'; BEGIN food1: create_food GENERIC MAP (N,M,width) PORT MAP(reset => reset or lost_s, eaten => eaten, gmap => gmap_s, new_food => food_pos_s); clock1: gclock PORT MAP(CLOCK_27 => clock, reset => reset or lost_s, clock_out => game_clock); gmap1: make_map GENERIC MAP(N,M,INITIAL_SIZE) PORT MAP(clock => game_clock, reset => reset or lost_s, eaten => eaten, snake_size => snake_size_s, dir => dir_s, snake_body => snake_body_s); dir_select1: snake_dir PORT MAP(reset => reset or lost_s, snake_turn => KEY, dir => dir_s); size1: size_counter GENERIC MAP(N,M,INITIAL_SIZE) PORT MAP(reset => reset or lost_s, food_pos => food_pos_s, snake_head => snake_body_s(0), snake_size => snake_size_s, eaten => eaten); --colision1: colision -- GENERIC MAP(N,M) -- PORT MAP(snake_body => snake_body_s, -- dir => dir_s, -- reset => reset or lost_s, -- gmap => gmap_s, -- lost => lost_s); snake_size <= snake_size_s; gmap <= gmap_s; food_pos <= food_pos_s; END Behavior;
mit
02cd0dca5d7de8f78cb74f87f201d542
0.570473
2.775862
false
false
false
false
pdt/ttask
test/xilinx-ise/lib/my_lib/sim/or_gate_test.vhdl
1
874
-- -- or_gate_test.vhdl -- library ieee; use ieee.std_logic_1164.all; entity or_gate_test is end entity; architecture sim of or_gate_test is signal a : std_logic := '0'; signal b : std_logic := '0'; signal c : std_logic; begin uut : entity work.or_gate port map ( a => a, b => b, c => c ); test : process begin report "Starting or_gate test"; wait for 1 us; assert c = '0' report "Error, output should be '0'"; a <= '1'; wait for 1 us; assert c = '1' report "Error, output should be '1'"; b <= '1'; wait for 1 us; assert c = '1' report "Error, output should be '1'"; a <= '0'; wait for 1 us; assert c = '1' report "Error, output should be '1'"; report "Completed or_gate test"; wait; end process; end;
mit
e222997d08d37ad74e22220fd14151a0
0.516018
3.400778
false
true
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-avnet-eval-xc4vlx60/testbench.vhd
1
9,282
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; use work.config.all; -- configuration use work.debug.all; use std.textio.all; library grlib; use grlib.stdlib.all; use grlib.stdio.all; use grlib.devices.all; entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 10; -- system clock period romwidth : integer := 16; -- rom data width (8/32) romdepth : integer := 16 -- rom address depth ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sdramfile : string := "ram.srec"; -- sdram contents signal clk : std_logic := '0'; signal rst : std_logic := '1'; -- Reset signal rstn: std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal address : std_logic_vector(22 downto 0); signal data : std_logic_vector(31 downto 0); signal romsn : std_logic_vector(1 downto 0); signal oen : std_ulogic; signal writen : std_ulogic; signal iosn : std_ulogic; -- ddr memory signal ddr_clk : std_logic; signal ddr_clkb : std_logic; signal ddr_clk_fb : std_logic; signal ddr_cke : std_logic; signal ddr_csb : std_logic; signal ddr_web : std_ulogic; -- ddr write enable signal ddr_rasb : std_ulogic; -- ddr ras signal ddr_casb : std_ulogic; -- ddr cas signal ddr_dm : std_logic_vector (1 downto 0); -- ddr dm signal ddr_dqs : std_logic_vector (1 downto 0); -- ddr dqs signal ddr_ad : std_logic_vector (12 downto 0); -- ddr address signal ddr_ba : std_logic_vector (1 downto 0); -- ddr bank address signal ddr_dq : std_logic_vector (15 downto 0); -- ddr data signal brdyn : std_ulogic; signal bexcn : std_ulogic; signal wdog : std_ulogic; signal dsuen, dsutx, dsurx, dsubre, dsuact : std_ulogic; signal dsurst : std_ulogic; signal test : std_ulogic; signal rtsn, ctsn : std_ulogic; signal error : std_logic; signal pio : std_logic_vector(15 downto 0); signal GND : std_ulogic := '0'; signal VCC : std_ulogic := '1'; signal NC : std_ulogic := 'Z'; signal clk2 : std_ulogic := '1'; signal clk50 : std_ulogic := '1'; signal clk_200p : std_ulogic := '0'; signal clk_200n : std_ulogic := '1'; signal plllock : std_ulogic; -- pulled up high, therefore std_logic signal txd1, rxd1 : std_logic; signal eth_macclk, etx_clk, erx_clk, erx_dv, erx_er, erx_col, erx_crs, etx_en, etx_er : std_logic := '0'; signal erxd, etxd : std_logic_vector(3 downto 0) := (others => '0'); signal erxdt, etxdt : std_logic_vector(7 downto 0) := (others => '0'); signal emdc, emdio : std_logic; --dummy signal for the mdc,mdio in the phy which is not used constant lresp : boolean := false; signal resoutn : std_logic; signal dsubren : std_ulogic; signal dsuactn : std_ulogic; begin dsubren <= not dsubre; -- clock and reset clk <= not clk after ct * 1 ns; clk50 <= not clk50 after 10 ns; clk_200p <= not clk_200p after 2.5 ns; clk_200n <= not clk_200n after 2.5 ns; rst <= '1', '0' after 1000 ns; rstn <= not rst; dsuen <= '0'; dsubre <= '0'; rxd1 <= 'H'; address(0) <= '0'; ddr_dqs <= (others => 'L'); d3 : entity work.leon3mp port map ( resetn => rst, resoutn => resoutn, clk_100mhz => clk, clk_50mhz => clk50, clk_200p => clk_200p, clk_200n => clk_200n, errorn => error, address => address(22 downto 1), data => data(31 downto 16), testdata => data(15 downto 0), ddr_clk0 => ddr_clk, ddr_clk0b => ddr_clkb, ddr_clk_fb => ddr_clk_fb, ddr_cke0 => ddr_cke, ddr_cs0b => ddr_csb, ddr_web => ddr_web, ddr_rasb => ddr_rasb, ddr_casb => ddr_casb, ddr_dm => ddr_dm, ddr_dqs => ddr_dqs, ddr_ad => ddr_ad, ddr_ba => ddr_ba, ddr_dq => ddr_dq, sertx => dsutx, serrx => dsurx, rtsn => rtsn, ctsn => ctsn, dsuen => dsuen, dsubre => dsubre, dsuact => dsuactn, oen => oen, writen => writen, iosn => iosn, romsn => romsn(0), emdio => emdio, etx_clk => etx_clk, erx_clk => erx_clk, erxd => erxd, erx_dv => erx_dv, erx_er => erx_er, erx_col => erx_col, erx_crs => erx_crs, etxd => etxd, etx_en => etx_en, etx_er => etx_er, emdc => emdc ); ddr_clk_fb <= ddr_clk; u1 : mt46v16m16 generic map (index => -1, fname => sdramfile, fdelay => 300*CFG_MIG_DDR2) port map( Dq => ddr_dq(15 downto 0), Dqs => ddr_dqs(1 downto 0), Addr => ddr_ad, Ba => ddr_ba, Clk => ddr_clk, Clk_n => ddr_clkb, Cke => ddr_cke, Cs_n => ddr_csb, Ras_n => ddr_rasb, Cas_n => ddr_casb, We_n => ddr_web, Dm => ddr_dm(1 downto 0)); prom0 : for i in 0 to (romwidth/8)-1 generate sr0 : sram generic map (index => i+4, abits => romdepth, fname => promfile) port map (address(romdepth downto 1), data(31-i*8 downto 24-i*8), romsn(0), writen, oen); end generate; phy0 : if (CFG_GRETH = 1) generate emdio <= 'H'; erxd <= erxdt(3 downto 0); etxdt <= "0000" & etxd; p0: phy generic map(base1000_t_fd => 0, base1000_t_hd => 0, address => 3) port map(resoutn, emdio, etx_clk, erx_clk, erxdt, erx_dv, erx_er, erx_col, erx_crs, etxdt, etx_en, etx_er, emdc, eth_macclk); end generate; error <= 'H'; -- ERROR pull-up iuerr : process begin wait for 5 us; assert (to_X01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure; end process; test0 : grtestmod port map ( rstn, clk, error, address(21 downto 2), data, iosn, oen, writen, brdyn); data <= buskeep(data) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; dsurst <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#ef#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); -- -- txc(dsutx, 16#80#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end;
gpl-2.0
36b62834c1c7727e4f31184deb32a48f
0.543956
3.386355
false
false
false
false
freecores/usb_fpga_1_11
examples/usb-fpga-1.15/1.15d/memtest/fpga/memtest.vhd
5
24,339
library ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; Library UNISIM; use UNISIM.vcomponents.all; entity memtest is port( FXCLK : in std_logic; RESET_IN : in std_logic; IFCLK : in std_logic; PC0 : in std_logic; -- FX2 FIFO FD : out std_logic_vector(15 downto 0); SLOE : out std_logic; SLRD : out std_logic; SLWR : out std_logic; FIFOADR0 : out std_logic; FIFOADR1 : out std_logic; PKTEND : out std_logic; FLAGB : in std_logic; -- DDR-SDRAM mcb3_dram_dq : inout std_logic_vector(15 downto 0); mcb3_rzq : inout std_logic; mcb3_zio : inout std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_a : out std_logic_vector(12 downto 0); mcb3_dram_ba : out std_logic_vector(2 downto 0); mcb3_dram_cke : out std_logic; mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; -- mcb3_dram_odt : out std_logic; mcb3_dram_dm : out std_logic; mcb3_dram_udm : out std_logic; mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic ); end memtest; architecture RTL of memtest is component mem0 generic ( C3_P0_MASK_SIZE : integer := 4; C3_P0_DATA_PORT_SIZE : integer := 32; C3_P1_MASK_SIZE : integer := 4; C3_P1_DATA_PORT_SIZE : integer := 32; C3_MEMCLK_PERIOD : integer := 2500; C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED"; C3_RST_ACT_LOW : integer := 0; C3_CALIB_SOFT_IP : string := "FALSE"; C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN"; C3_NUM_DQ_PINS : integer := 16; C3_MEM_ADDR_WIDTH : integer := 13; C3_MEM_BANKADDR_WIDTH : integer := 3 ); port ( mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0); mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0); mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0); mcb3_dram_cke : out std_logic; mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; mcb3_dram_dm : out std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_rzq : inout std_logic; mcb3_zio : inout std_logic; mcb3_dram_udm : out std_logic; mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic; -- mcb3_dram_odt : out std_logic; c3_sys_clk : in std_logic; c3_sys_rst_n : in std_logic; c3_calib_done : out std_logic; c3_clk0 : out std_logic; c3_rst0 : out std_logic; c3_p0_cmd_clk : in std_logic; c3_p0_cmd_en : in std_logic; c3_p0_cmd_instr : in std_logic_vector(2 downto 0); c3_p0_cmd_bl : in std_logic_vector(5 downto 0); c3_p0_cmd_byte_addr : in std_logic_vector(29 downto 0); c3_p0_cmd_empty : out std_logic; c3_p0_cmd_full : out std_logic; c3_p0_wr_clk : in std_logic; c3_p0_wr_en : in std_logic; c3_p0_wr_mask : in std_logic_vector(C3_P0_MASK_SIZE - 1 downto 0); c3_p0_wr_data : in std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0); c3_p0_wr_full : out std_logic; c3_p0_wr_empty : out std_logic; c3_p0_wr_count : out std_logic_vector(6 downto 0); c3_p0_wr_underrun : out std_logic; c3_p0_wr_error : out std_logic; c3_p0_rd_clk : in std_logic; c3_p0_rd_en : in std_logic; c3_p0_rd_data : out std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0); c3_p0_rd_full : out std_logic; c3_p0_rd_empty : out std_logic; c3_p0_rd_count : out std_logic_vector(6 downto 0); c3_p0_rd_overflow : out std_logic; c3_p0_rd_error : out std_logic; c3_p1_cmd_clk : in std_logic; c3_p1_cmd_en : in std_logic; c3_p1_cmd_instr : in std_logic_vector(2 downto 0); c3_p1_cmd_bl : in std_logic_vector(5 downto 0); c3_p1_cmd_byte_addr : in std_logic_vector(29 downto 0); c3_p1_cmd_empty : out std_logic; c3_p1_cmd_full : out std_logic; c3_p1_wr_clk : in std_logic; c3_p1_wr_en : in std_logic; c3_p1_wr_mask : in std_logic_vector(C3_P1_MASK_SIZE - 1 downto 0); c3_p1_wr_data : in std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0); c3_p1_wr_full : out std_logic; c3_p1_wr_empty : out std_logic; c3_p1_wr_count : out std_logic_vector(6 downto 0); c3_p1_wr_underrun : out std_logic; c3_p1_wr_error : out std_logic; c3_p1_rd_clk : in std_logic; c3_p1_rd_en : in std_logic; c3_p1_rd_data : out std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0); c3_p1_rd_full : out std_logic; c3_p1_rd_empty : out std_logic; c3_p1_rd_count : out std_logic_vector(6 downto 0); c3_p1_rd_overflow : out std_logic; c3_p1_rd_error : out std_logic; c3_p2_cmd_clk : in std_logic; c3_p2_cmd_en : in std_logic; c3_p2_cmd_instr : in std_logic_vector(2 downto 0); c3_p2_cmd_bl : in std_logic_vector(5 downto 0); c3_p2_cmd_byte_addr : in std_logic_vector(29 downto 0); c3_p2_cmd_empty : out std_logic; c3_p2_cmd_full : out std_logic; c3_p2_wr_clk : in std_logic; c3_p2_wr_en : in std_logic; c3_p2_wr_mask : in std_logic_vector(3 downto 0); c3_p2_wr_data : in std_logic_vector(31 downto 0); c3_p2_wr_full : out std_logic; c3_p2_wr_empty : out std_logic; c3_p2_wr_count : out std_logic_vector(6 downto 0); c3_p2_wr_underrun : out std_logic; c3_p2_wr_error : out std_logic; c3_p3_cmd_clk : in std_logic; c3_p3_cmd_en : in std_logic; c3_p3_cmd_instr : in std_logic_vector(2 downto 0); c3_p3_cmd_bl : in std_logic_vector(5 downto 0); c3_p3_cmd_byte_addr : in std_logic_vector(29 downto 0); c3_p3_cmd_empty : out std_logic; c3_p3_cmd_full : out std_logic; c3_p3_rd_clk : in std_logic; c3_p3_rd_en : in std_logic; c3_p3_rd_data : out std_logic_vector(31 downto 0); c3_p3_rd_full : out std_logic; c3_p3_rd_empty : out std_logic; c3_p3_rd_count : out std_logic_vector(6 downto 0); c3_p3_rd_overflow : out std_logic; c3_p3_rd_error : out std_logic; c3_p4_cmd_clk : in std_logic; c3_p4_cmd_en : in std_logic; c3_p4_cmd_instr : in std_logic_vector(2 downto 0); c3_p4_cmd_bl : in std_logic_vector(5 downto 0); c3_p4_cmd_byte_addr : in std_logic_vector(29 downto 0); c3_p4_cmd_empty : out std_logic; c3_p4_cmd_full : out std_logic; c3_p4_wr_clk : in std_logic; c3_p4_wr_en : in std_logic; c3_p4_wr_mask : in std_logic_vector(3 downto 0); c3_p4_wr_data : in std_logic_vector(31 downto 0); c3_p4_wr_full : out std_logic; c3_p4_wr_empty : out std_logic; c3_p4_wr_count : out std_logic_vector(6 downto 0); c3_p4_wr_underrun : out std_logic; c3_p4_wr_error : out std_logic; c3_p5_cmd_clk : in std_logic; c3_p5_cmd_en : in std_logic; c3_p5_cmd_instr : in std_logic_vector(2 downto 0); c3_p5_cmd_bl : in std_logic_vector(5 downto 0); c3_p5_cmd_byte_addr : in std_logic_vector(29 downto 0); c3_p5_cmd_empty : out std_logic; c3_p5_cmd_full : out std_logic; c3_p5_rd_clk : in std_logic; c3_p5_rd_en : in std_logic; c3_p5_rd_data : out std_logic_vector(31 downto 0); c3_p5_rd_full : out std_logic; c3_p5_rd_empty : out std_logic; c3_p5_rd_count : out std_logic_vector(6 downto 0); c3_p5_rd_overflow : out std_logic; c3_p5_rd_error : out std_logic ); end component; --attribute optimize : string; --attribute optimize of counters:entity is "off"; signal fxclk_buf : std_logic; signal CLK : std_logic; signal RESET0 : std_logic; -- released after dcm0 is ready signal RESET : std_logic; -- released after MCB is ready signal DCM0_LOCKED : std_logic; --signal DCM0_CLK_VALID : std_logic; ---------------------------- -- test pattern generator -- ---------------------------- signal GEN_CNT : std_logic_vector(29 downto 0); signal GEN_PATTERN : std_logic_vector(29 downto 0); signal FIFO_WORD : std_logic; ----------------------- -- memory controller -- ----------------------- signal MEM_CLK : std_logic; signal C3_CALIB_DONE : std_logic; signal C3_RST0 : std_logic; --------------- -- DRAM FIFO -- --------------- signal WR_CLK : std_logic; signal WR_CMD_EN : std_logic_vector(2 downto 0); type WR_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0); signal WR_CMD_ADDR : WR_CMD_ADDR_ARRAY; signal WR_ADDR : std_logic_vector(18 downto 0); -- in 256 bytes burst blocks signal WR_EN : std_logic_vector(2 downto 0); signal WR_EN_TMP : std_logic_vector(2 downto 0); signal WR_DATA : std_logic_vector(31 downto 0); signal WR_EMPTY : std_logic_vector(2 downto 0); signal WR_UNDERRUN : std_logic_vector(2 downto 0); signal WR_ERROR : std_logic_vector(2 downto 0); type WR_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0); signal WR_COUNT : WR_COUNT_ARRAY; signal WR_PORT : std_logic_vector(1 downto 0); signal RD_CLK : std_logic; signal RD_CMD_EN : std_logic_vector(2 downto 0); type RD_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0); signal RD_CMD_ADDR : WR_CMD_ADDR_ARRAY; signal RD_ADDR : std_logic_vector(18 downto 0); -- in 256 bytes burst blocks signal RD_EN : std_logic_vector(2 downto 0); type RD_DATA_ARRAY is array(2 downto 0) of std_logic_vector(31 downto 0); signal RD_DATA : RD_DATA_ARRAY; signal RD_EMPTY : std_logic_vector(2 downto 0); signal RD_OVERFLOW : std_logic_vector(2 downto 0); signal RD_ERROR : std_logic_vector(2 downto 0); signal RD_PORT : std_logic_vector(1 downto 0); type RD_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0); signal RD_COUNT : RD_COUNT_ARRAY; signal FD_TMP : std_logic_vector(15 downto 0); signal RD_ADDR2 : std_logic_vector(18 downto 0); -- 256 bytes burst block currently beeing read signal RD_ADDR2_BAK1 : std_logic_vector(18 downto 0); -- backup for synchronization signal RD_ADDR2_BAK2 : std_logic_vector(18 downto 0); -- backup for synchronization signal WR_ADDR2 : std_logic_vector(18 downto 0); -- 256 bytes burst block currently beeing written signal WR_ADDR2_BAK1 : std_logic_vector(18 downto 0); -- backup for synchronization signal WR_ADDR2_BAK2 : std_logic_vector(18 downto 0); -- backup for synchronization signal RD_STOP : std_logic; begin clkin_buf : IBUFG port map ( O => FXCLK_BUF, I => FXCLK ); dcm0 : DCM_CLKGEN generic map ( -- CLKFX_DIVIDE => 6, CLKFX_DIVIDE => 3, -- CLKFX_MULTIPLY => 33, CLKFX_MULTIPLY => 25, CLKFXDV_DIVIDE => 8, SPREAD_SPECTRUM => "NONE", STARTUP_WAIT => FALSE, CLKIN_PERIOD => 20.83333, CLKFX_MD_MAX => 0.000 ) port map ( CLKIN => FXCLK_BUF, CLKFX => MEM_CLK, CLKFX180 => open, CLKFXDV => CLK, LOCKED => DCM0_LOCKED, PROGDONE => open, STATUS => open, FREEZEDCM => '0', PROGCLK => '0', PROGDATA => '0', PROGEN => '0', RST => '0' ); inst_mem0 : mem0 port map ( mcb3_dram_dq => mcb3_dram_dq, mcb3_dram_a => mcb3_dram_a, mcb3_dram_ba => mcb3_dram_ba, mcb3_dram_ras_n => mcb3_dram_ras_n, mcb3_dram_cas_n => mcb3_dram_cas_n, mcb3_dram_we_n => mcb3_dram_we_n, -- mcb3_dram_odt => mcb3_dram_odt, mcb3_dram_cke => mcb3_dram_cke, mcb3_dram_ck => mcb3_dram_ck, mcb3_dram_ck_n => mcb3_dram_ck_n, mcb3_dram_dqs => mcb3_dram_dqs, mcb3_dram_dqs_n => mcb3_dram_dqs_n, mcb3_dram_udqs => mcb3_dram_udqs, -- for X16 parts mcb3_dram_udqs_n=> mcb3_dram_udqs_n, -- for X16 parts mcb3_dram_udm => mcb3_dram_udm, -- for X16 parts mcb3_dram_dm => mcb3_dram_dm, mcb3_rzq => mcb3_rzq, mcb3_zio => mcb3_zio, c3_sys_clk => MEM_CLK, c3_sys_rst_n => RESET0, c3_clk0 => open, c3_rst0 => C3_RST0, c3_calib_done => C3_CALIB_DONE, c3_p0_cmd_clk => WR_CLK, c3_p0_cmd_en => WR_CMD_EN(0), c3_p0_cmd_instr => "000", c3_p0_cmd_bl => ( others => '1' ), c3_p0_cmd_byte_addr => WR_CMD_ADDR(0), c3_p0_cmd_empty => open, c3_p0_cmd_full => open, c3_p0_wr_clk => WR_CLK, c3_p0_wr_en => WR_EN(0), c3_p0_wr_mask => ( others => '0' ), c3_p0_wr_data => WR_DATA, c3_p0_wr_full => open, c3_p0_wr_empty => WR_EMPTY(0), c3_p0_wr_count => open, c3_p0_wr_underrun => WR_UNDERRUN(0), c3_p0_wr_error => WR_ERROR(0), c3_p0_rd_clk => WR_CLK, c3_p0_rd_en => '0', c3_p0_rd_data => open, c3_p0_rd_full => open, c3_p0_rd_empty => open, c3_p0_rd_count => open, c3_p0_rd_overflow => open, c3_p0_rd_error => open, c3_p2_cmd_clk => WR_CLK, c3_p2_cmd_en => WR_CMD_EN(1), c3_p2_cmd_instr => "000", c3_p2_cmd_bl => ( others => '1' ), c3_p2_cmd_byte_addr => WR_CMD_ADDR(1), c3_p2_cmd_empty => open, c3_p2_cmd_full => open, c3_p2_wr_clk => WR_CLK, c3_p2_wr_en => WR_EN(1), c3_p2_wr_mask => ( others => '0' ), c3_p2_wr_data => WR_DATA, c3_p2_wr_full => open, c3_p2_wr_empty => WR_EMPTY(1), c3_p2_wr_count => open, c3_p2_wr_underrun => WR_UNDERRUN(1), c3_p2_wr_error => WR_ERROR(1), c3_p4_cmd_clk => WR_CLK, c3_p4_cmd_en => WR_CMD_EN(2), c3_p4_cmd_instr => "000", c3_p4_cmd_bl => ( others => '1' ), c3_p4_cmd_byte_addr => WR_CMD_ADDR(2), c3_p4_cmd_empty => open, c3_p4_cmd_full => open, c3_p4_wr_clk => WR_CLK, c3_p4_wr_en => WR_EN(2), c3_p4_wr_mask => ( others => '0' ), c3_p4_wr_data => WR_DATA, c3_p4_wr_full => open, c3_p4_wr_empty => WR_EMPTY(2), c3_p4_wr_count => open, c3_p4_wr_underrun => WR_UNDERRUN(2), c3_p4_wr_error => WR_ERROR(2), c3_p1_cmd_clk => RD_CLK, c3_p1_cmd_en => RD_CMD_EN(0), c3_p1_cmd_instr => "001", c3_p1_cmd_bl => ( others => '1' ), c3_p1_cmd_byte_addr => RD_CMD_ADDR(0), c3_p1_cmd_empty => open, c3_p1_cmd_full => open, c3_p1_wr_clk => RD_CLK, c3_p1_wr_en => '0', c3_p1_wr_mask => ( others => '0' ), c3_p1_wr_data => ( others => '0' ), c3_p1_wr_full => open, c3_p1_wr_empty => open, c3_p1_wr_count => open, c3_p1_wr_underrun => open, c3_p1_wr_error => open, c3_p1_rd_clk => RD_CLK, c3_p1_rd_en => RD_EN(0), c3_p1_rd_data => RD_DATA(0), c3_p1_rd_full => open, c3_p1_rd_empty => RD_EMPTY(0), c3_p1_rd_count => open, c3_p1_rd_overflow => RD_OVERFLOW(0), c3_p1_rd_error => RD_ERROR(0), c3_p3_cmd_clk => RD_CLK, c3_p3_cmd_en => RD_CMD_EN(1), c3_p3_cmd_instr => "001", c3_p3_cmd_bl => ( others => '1' ), c3_p3_cmd_byte_addr => RD_CMD_ADDR(1), c3_p3_cmd_empty => open, c3_p3_cmd_full => open, c3_p3_rd_clk => RD_CLK, c3_p3_rd_en => RD_EN(1), c3_p3_rd_data => RD_DATA(1), c3_p3_rd_full => open, c3_p3_rd_empty => RD_EMPTY(1), c3_p3_rd_count => open, c3_p3_rd_overflow => RD_OVERFLOW(1), c3_p3_rd_error => RD_ERROR(1), c3_p5_cmd_clk => RD_CLK, c3_p5_cmd_en => RD_CMD_EN(2), c3_p5_cmd_instr => "001", c3_p5_cmd_bl => ( others => '1' ), c3_p5_cmd_byte_addr => RD_CMD_ADDR(2), c3_p5_cmd_empty => open, c3_p5_cmd_full => open, c3_p5_rd_clk => RD_CLK, c3_p5_rd_en => RD_EN(2), c3_p5_rd_data => RD_DATA(2), c3_p5_rd_full => open, c3_p5_rd_empty => RD_EMPTY(2), c3_p5_rd_count => open, c3_p5_rd_overflow => RD_OVERFLOW(2), c3_p5_rd_error => RD_ERROR(2) ); SLOE <= '1'; SLRD <= '1'; FIFOADR0 <= '0'; FIFOADR1 <= '0'; PKTEND <= '1'; WR_CLK <= CLK; RD_CLK <= IFCLK; -- DCM0_CLK_VALID <= ( DCM0_LOCKED and ( not status_internal(2) ) ); -- RESET0 <= RESET_IN or (not DCM0_LOCKED) or (not DCM0_CLK_VALID); RESET0 <= RESET_IN or (not DCM0_LOCKED); RESET <= RESET0 or (not C3_CALIB_DONE) or C3_RST0; dpCLK: process (CLK, RESET) begin -- reset if RESET = '1' then GEN_CNT <= ( others => '0' ); GEN_PATTERN <= "100101010101010101010101010101"; WR_CMD_EN <= ( others => '0' ); WR_CMD_ADDR(0) <= ( others => '0' ); WR_CMD_ADDR(1) <= ( others => '0' ); WR_CMD_ADDR(2) <= ( others => '0' ); WR_ADDR <= conv_std_logic_vector(3,19); WR_EN <= ( others => '0' ); WR_COUNT(0) <= ( others => '0' ); WR_COUNT(1) <= ( others => '0' ); WR_COUNT(2) <= ( others => '0' ); WR_PORT <= ( others => '0' ); WR_ADDR2 <= ( others => '0' ); RD_ADDR2_BAK1 <= ( others => '0' ); RD_ADDR2_BAK2 <= ( others => '0' ); -- CLK elsif CLK'event and CLK = '1' then WR_CMD_EN <= ( others => '0' ); WR_EN <= ( others => '0' ); WR_CMD_ADDR(conv_integer(WR_PORT))(26 downto 8) <= WR_ADDR; if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(64,7) ) then -- FF flag = 1 if ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR ) then WR_CMD_EN(conv_integer(WR_PORT)) <= '1'; WR_COUNT(conv_integer(WR_PORT)) <= ( others => '0' ); if WR_PORT = "10" then WR_PORT <= "00"; else WR_PORT <= WR_PORT + 1; end if; WR_ADDR <= WR_ADDR + 1; WR_ADDR2 <= WR_ADDR2 + 1; end if; elsif ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(0,7)) and (WR_EMPTY(conv_integer(WR_PORT)) = '0' ) -- write port fifo not empty then -- FF flag = 1 else WR_EN(conv_integer(WR_PORT)) <= '1'; WR_DATA(31) <= '1'; WR_DATA(15) <= '0'; if PC0 = '1' then WR_DATA(30 downto 16) <= GEN_PATTERN(29 downto 15); WR_DATA(14 downto 0) <= GEN_PATTERN(14 downto 0); else WR_DATA(30 downto 16) <= GEN_CNT(29 downto 15); WR_DATA(14 downto 0) <= GEN_CNT(14 downto 0); end if; GEN_CNT <= GEN_CNT + 1; GEN_PATTERN(29) <= GEN_PATTERN(0); GEN_PATTERN(28 downto 0) <= GEN_PATTERN(29 downto 1); -- if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(63,7) ) and ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR ) -- Add code from above here. This saves one clock cylcle and is required for uninterrupred input. -- then -- else WR_COUNT(conv_integer(WR_PORT)) <= WR_COUNT(conv_integer(WR_PORT)) + 1; -- end if; end if; RD_ADDR2_BAK1 <= RD_ADDR2; RD_ADDR2_BAK2 <= RD_ADDR2_BAK1; end if; end process dpCLK; dpIFCLK: process (IFCLK, RESET) begin -- reset if RESET = '1' then FIFO_WORD <= '0'; SLWR <= '1'; RD_CMD_EN <= ( others => '0' ); RD_CMD_ADDR(0) <= ( others => '0' ); RD_CMD_ADDR(1) <= ( others => '0' ); RD_CMD_ADDR(2) <= ( others => '0' ); RD_ADDR <= conv_std_logic_vector(3,19); RD_EN <= ( others => '0' ); RD_COUNT(0) <= conv_std_logic_vector(64,7); RD_COUNT(1) <= conv_std_logic_vector(64,7); RD_COUNT(2) <= conv_std_logic_vector(64,7); RD_PORT <= ( others => '0' ); RD_ADDR2 <= ( others => '0' ); WR_ADDR2_BAK1 <= ( others => '0' ); WR_ADDR2_BAK2 <= ( others => '0' ); RD_STOP <= '1'; -- IFCLK elsif IFCLK'event and IFCLK = '1' then RD_CMD_EN <= ( others => '0' ); RD_CMD_ADDR(conv_integer(RD_PORT))(26 downto 8) <= RD_ADDR; RD_EN(conv_integer(RD_PORT)) <= '0'; if FLAGB = '1' then if ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) or ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) ) then SLWR <= '1'; if ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) ) and ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) and ( WR_ADDR2_BAK2 = WR_ADDR2_BAK1 ) and ( WR_ADDR2_BAK2 /= RD_ADDR ) and ( RD_STOP = '0' ) then RD_CMD_EN(conv_integer(RD_PORT)) <= '1'; RD_COUNT(conv_integer(RD_PORT)) <= ( others => '0' ); if RD_PORT = "10" then RD_PORT <= "00"; else RD_PORT <= RD_PORT + 1; end if; RD_ADDR <= RD_ADDR + 1; RD_ADDR2 <= RD_ADDR2 + 1; end if; else SLWR <= '0'; if FIFO_WORD = '0' then FD(15 downto 0) <= RD_DATA(conv_integer(RD_PORT))(15 downto 0); FD_TMP <= RD_DATA(conv_integer(RD_PORT))(31 downto 16); RD_EN(conv_integer(RD_PORT)) <= '1'; else FD(15 downto 0) <= FD_TMP; RD_COUNT(conv_integer(RD_PORT)) <= RD_COUNT(conv_integer(RD_PORT)) + 1; end if; FIFO_WORD <= not FIFO_WORD; end if; end if; WR_ADDR2_BAK1 <= WR_ADDR2; WR_ADDR2_BAK2 <= WR_ADDR2_BAK1; if ( WR_ADDR2_BAK1 = WR_ADDR2_BAK2 ) and ( WR_ADDR2_BAK2(3) = '1') then RD_STOP <= '0'; end if; end if; end process dpIFCLK; end RTL;
gpl-3.0
1fe965dc6384a2a824e18cf298ef9248
0.492173
2.795658
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/techmap/atc18/pads_atc18.vhd
1
10,099
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: atcpads_gen -- File: atcpads_gen.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Atmel ATC18 pad wrappers ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; package atcpads is -- input pad component pc33d00z port (pad : in std_logic; cin : out std_logic); end component; -- input pad with pull-up component pc33d00uz port (pad : in std_logic; cin : out std_logic); end component; -- schmitt input pad component pc33d20z port (pad : in std_logic; cin : out std_logic); end component; -- schmitt input pad with pull-up component pt33d20uz port (pad : inout std_logic; cin : out std_logic); end component; -- output pads component pt33o01z port (i : in std_logic; pad : out std_logic); end component; component pt33o02z port (i : in std_logic; pad : out std_logic); end component; component pt33o04z port (i : in std_logic; pad : out std_logic); end component; component pt33o08z port (i : in std_logic; pad : out std_logic); end component; -- tri-state output pads component pt33t01z port (i, oen : in std_logic; pad : out std_logic); end component; component pt33t02z port (i, oen : in std_logic; pad : out std_logic); end component; component pt33t04z port (i, oen : in std_logic; pad : out std_logic); end component; component pt33t08z port (i, oen : in std_logic; pad : out std_logic); end component; -- tri-state output pads with pull-up component pt33t01uz port (i, oen : in std_logic; pad : out std_logic); end component; component pt33t02uz port (i, oen : in std_logic; pad : out std_logic); end component; component pt33t04uz port (i, oen : in std_logic; pad : out std_logic); end component; component pt33t08uz port (i, oen : in std_logic; pad : out std_logic); end component; -- bidirectional pads component pt33b01z port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; component pt33b02z port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; component pt33b08z port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; component pt33b04z port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; -- bidirectional pads with pull-up component pt33b01uz port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; component pt33b02uz port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; component pt33b08uz port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; component pt33b04uz port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; --PCI pads component pp33o01z port (i : in std_logic; pad : out std_logic); end component; component pp33b01z port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; component pp33t01z port (i, oen : in std_logic; pad : out std_logic); end component; end; library ieee; library techmap; use ieee.std_logic_1164.all; use techmap.gencomp.all; -- pragma translate_off library atc18; use atc18.pc33d00z; -- pragma translate_on entity atc18_inpad is generic (level : integer := 0; voltage : integer := 0); port (pad : in std_logic; o : out std_logic); end; architecture rtl of atc18_inpad is component pc33d00z port (pad : in std_logic; cin : out std_logic); end component; begin pci0 : if level = pci33 generate ip : pc33d00z port map (pad => pad, cin => o); end generate; gen0 : if level /= pci33 generate ip : pc33d00z port map (pad => pad, cin => o); end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library atc18; use atc18.pp33b01z; use atc18.pt33b01z; use atc18.pt33b02z; use atc18.pt33b08z; use atc18.pt33b04z; -- pragma translate_on entity atc18_iopad is generic (level : integer := 0; slew : integer := 0; voltage : integer := 0; strength : integer := 0); port (pad : inout std_logic; i, en : in std_logic; o : out std_logic); end ; architecture rtl of atc18_iopad is component pp33b01z port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; component pt33b01z port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; component pt33b02z port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; component pt33b08z port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; component pt33b04z port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end component; begin pci0 : if level = pci33 generate op : pp33b01z port map (i => i, oen => en, pad => pad, cin => o); end generate; gen0 : if level /= pci33 generate f1 : if (strength <= 4) generate op : pt33b01z port map (i => i, oen => en, pad => pad, cin => o); end generate; f2 : if (strength > 4) and (strength <= 8) generate op : pt33b02z port map (i => i, oen => en, pad => pad, cin => o); end generate; f3 : if (strength > 8) and (strength <= 16) generate op : pt33b04z port map (i => i, oen => en, pad => pad, cin => o); end generate; f4 : if (strength > 16) generate op : pt33b08z port map (i => i, oen => en, pad => pad, cin => o); end generate; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library atc18; use atc18.pp33t01z; use atc18.pt33o01z; use atc18.pt33o02z; use atc18.pt33o04z; use atc18.pt33o08z; -- pragma translate_on entity atc18_outpad is generic (level : integer := 0; slew : integer := 0; voltage : integer := 0; strength : integer := 0); port (pad : out std_logic; i : in std_logic); end ; architecture rtl of atc18_outpad is component pp33t01z port (i, oen : in std_logic; pad : out std_logic); end component; component pt33o01z port (i : in std_logic; pad : out std_logic); end component; component pt33o02z port (i : in std_logic; pad : out std_logic); end component; component pt33o04z port (i : in std_logic; pad : out std_logic); end component; component pt33o08z port (i : in std_logic; pad : out std_logic); end component; signal gnd : std_logic; begin gnd <= '0'; pci0 : if level = pci33 generate op : pp33t01z port map (i => i, oen => gnd, pad => pad); end generate; gen0 : if level /= pci33 generate f4 : if (strength <= 4) generate op : pt33o01z port map (i => i, pad => pad); end generate; f8 : if (strength > 4) and (strength <= 8) generate op : pt33o02z port map (i => i, pad => pad); end generate; f16 : if (strength > 8) and (strength <= 16) generate op : pt33o04z port map (i => i, pad => pad); end generate; f32 : if (strength > 16) generate op : pt33o08z port map (i => i, pad => pad); end generate; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library atc18; use atc18.pp33t01z; use atc18.pt33t01z; use atc18.pt33t02z; use atc18.pt33t04z; use atc18.pt33t08z; -- pragma translate_on entity atc18_toutpad is generic (level : integer := 0; slew : integer := 0; voltage : integer := 0; strength : integer := 0); port (pad : out std_logic; i, en : in std_logic); end ; architecture rtl of atc18_toutpad is component pp33t01z port (i, oen : in std_logic; pad : out std_logic); end component; component pt33t01z port (i, oen : in std_logic; pad : out std_logic); end component; component pt33t02z port (i, oen : in std_logic; pad : out std_logic); end component; component pt33t04z port (i, oen : in std_logic; pad : out std_logic); end component; component pt33t08z port (i, oen : in std_logic; pad : out std_logic); end component; begin pci0 : if level = pci33 generate op : pp33t01z port map (i => i, oen => en, pad => pad); end generate; gen0 : if level /= pci33 generate f4 : if (strength <= 4) generate op : pt33t01z port map (i => i, oen => en, pad => pad); end generate; f8 : if (strength > 4) and (strength <= 8) generate op : pt33t02z port map (i => i, oen => en, pad => pad); end generate; f16 : if (strength > 8) and (strength <= 16) generate op : pt33t04z port map (i => i, oen => en, pad => pad); end generate; f32 : if (strength > 16) generate op : pt33t08z port map (i => i, oen => en, pad => pad); end generate; end generate; end; library ieee; use ieee.std_logic_1164.all; entity atc18_clkpad is generic (level : integer := 0; voltage : integer := 0); port (pad : in std_logic; o : out std_logic); end; architecture rtl of atc18_clkpad is begin o <= pad; end;
gpl-2.0
59160f46698442e5adf356e80e5000ed
0.646995
3.210108
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/grlib/util/util.vhd
1
2,346
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: util -- File: util.vhd -- Author: Jiri Gaisler, Gaisler Research -- Description: Misc utilities ------------------------------------------------------------------------------ -- pragma translate_off library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; entity report_version is generic (msg1, msg2, msg3, msg4 : string := ""; mdel : integer := 4); end; architecture beh of report_version is begin x : process begin wait for mdel * 1 ns; if (msg1 /= "") then print(msg1); end if; if (msg2 /= "") then print(msg2); end if; if (msg3 /= "") then print(msg3); end if; if (msg4 /= "") then print(msg4); end if; wait; end process; end; library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; entity report_design is generic (msg1, fabtech, memtech : string := ""; mdel : integer := 4); end; architecture beh of report_design is begin x : report_version generic map ( msg1 => msg1, msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100) & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD), msg3 => "Target technology: " & fabtech & ", memory library: " & memtech, mdel => mdel); end; -- pragma translate_on
gpl-2.0
a4aa90eae15b03dc6d33472e5e2a7fa2
0.606991
3.916528
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-xilinx-kc705/config.vhd
1
7,404
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := kintex7; constant CFG_MEMTECH : integer := kintex7; constant CFG_PADTECH : integer := kintex7; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := kintex7; constant CFG_CLKMUL : integer := (4); constant CFG_CLKDIV : integer := (8); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 1 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (4); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 4; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1 + 1 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 16; constant CFG_DTLBNUM : integer := 16; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 1; constant CFG_ATBSZ : integer := 1; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 1; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000000#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 1; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- Xilinx MIG constant CFG_MIG_DDR2 : integer := 0; constant CFG_MIG_RANKS : integer := 1; constant CFG_MIG_COLBITS : integer := 10; constant CFG_MIG_ROWBITS : integer := 13; constant CFG_MIG_BANKBITS: integer := 2; constant CFG_MIG_HMASK : integer := 16#F00#; -- Xilinx MIG Series 7 constant CFG_MIG_SERIES7 : integer := 1; constant CFG_MIG_SERIES7_MODEL : integer := 0; -- AHB status register constant CFG_AHBSTAT : integer := 0; constant CFG_AHBSTATN : integer := 1; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 1; constant CFG_AHBRSZ : integer := 4; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 8; constant CFG_GRETH_FT : integer := 0; constant CFG_GRETH_EDCLFT : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 32; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := (7); -- I2C master constant CFG_I2C_ENABLE : integer := 1; -- VGA and PS2/ interface constant CFG_KBD_ENABLE : integer := 0; constant CFG_VGA_ENABLE : integer := 0; constant CFG_SVGA_ENABLE : integer := 0; -- SPI memory controller constant CFG_SPIMCTRL : integer := 0; constant CFG_SPIMCTRL_SDCARD : integer := 0; constant CFG_SPIMCTRL_READCMD : integer := 16#0#; constant CFG_SPIMCTRL_DUMMYBYTE : integer := 0; constant CFG_SPIMCTRL_DUALOUTPUT : integer := 0; constant CFG_SPIMCTRL_SCALER : integer := 1; constant CFG_SPIMCTRL_ASCALER : integer := 1; constant CFG_SPIMCTRL_PWRUPCNT : integer := 0; constant CFG_SPIMCTRL_OFFSET : integer := 16#0#; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 0; constant CFG_SPICTRL_NUM : integer := 1; constant CFG_SPICTRL_SLVS : integer := 1; constant CFG_SPICTRL_FIFO : integer := 1; constant CFG_SPICTRL_SLVREG : integer := 0; constant CFG_SPICTRL_ODMODE : integer := 0; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 0; constant CFG_SPICTRL_MAXWLEN : integer := 0; constant CFG_SPICTRL_SYNCRAM : integer := 0; constant CFG_SPICTRL_FT : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
1fa84e3ca5964f15a36b962f1ea354fc
0.652485
3.632974
false
false
false
false
laurocruz/snakes_vhdl
src/snake_lib/gclock.vhd
1
808
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY gclock IS -- Frequencia de 1Hz -- clock do hardware PORT (CLOCK_27 : IN STD_LOGIC ; reset : IN STD_LOGIC ; clock_out : OUT STD_LOGIC) ; END gclock ; architecture Behavioral of gclock is signal temporal: STD_LOGIC; signal counter : integer range 0 to 13499999 := 0; begin frequency_divider: process (reset, CLOCK_27) begin if (reset = '1') then temporal <= '0'; counter <= 0; elsif rising_edge(CLOCK_27) then if (counter = 13499999) then temporal <= NOT(temporal); counter <= 0; else counter <= counter + 1; end if; end if; end process; clock_out <= temporal; end Behavioral;
mit
faff374eba911083244cfd540629ad9f
0.554455
4
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/sim/sram.vhd
1
5,400
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: sram -- File: sram.vhd -- Author: Jiri Gaisler Gaisler Research -- Description: Simulation model of generic async SRAM ------------------------------------------------------------------------------ -- pragma translate_off library ieee; use ieee.std_logic_1164.all; use std.textio.all; library grlib; use grlib.stdlib.all; use grlib.stdio.all; entity sram is generic ( index : integer := 0; -- Byte lane (0 - 3) abits: Positive := 10; -- Default 10 address bits (1 Kbyte) tacc : integer := 10; -- access time (ns) fname : string := "ram.dat"; -- File to read from clear : integer := 0); -- Clear memory port ( a : in std_logic_vector(abits-1 downto 0); d : inout std_logic_vector(7 downto 0); ce1 : in std_logic; we : in std_ulogic; oe : in std_ulogic); end; architecture sim of sram is subtype BYTE is std_logic_vector(7 downto 0); type MEM is array(0 to ((2**Abits)-1)) of BYTE; signal DINT,DI,DO : BYTE; constant ahigh : integer := abits - 1; signal wrpre : std_ulogic; function Vpar(vec : std_logic_vector) return std_ulogic is variable par : std_ulogic := '1'; begin for i in vec'range loop --' par := par xor vec(i); end loop; return par; end; begin RAM : process(CE1,WE,DI,A,OE,D) variable MEMA : MEM; variable L1 : line; variable FIRST : boolean := true; variable ADR : std_logic_vector(19 downto 0); variable BUF : std_logic_vector(31 downto 0); variable CH : character; variable ai : integer := 0; variable len : integer := 0; file TCF : text open read_mode is fname; variable rectype : std_logic_vector(3 downto 0); variable recaddr : std_logic_vector(31 downto 0); variable reclen : std_logic_vector(7 downto 0); variable recdata : std_logic_vector(0 to 16*8-1); begin if FIRST then if clear = 1 then MEMA := (others => X"00"); end if; L1:= new string'(""); --' while not endfile(TCF) loop readline(TCF,L1); if (L1'length /= 0) then --' while (not (L1'length=0)) and (L1(L1'left) = ' ') loop std.textio.read(L1,CH); end loop; if L1'length > 0 then --' read(L1, ch); if (ch = 'S') or (ch = 's') then hread(L1, rectype); hread(L1, reclen); len := conv_integer(reclen)-1; recaddr := (others => '0'); case rectype is when "0001" => hread(L1, recaddr(15 downto 0)); when "0010" => hread(L1, recaddr(23 downto 0)); when "0011" => hread(L1, recaddr); when others => next; end case; hread(L1, recdata); if index = 6 then recaddr(31 downto abits) := (others => '0'); ai := conv_integer(recaddr); for i in 0 to 15 loop MEMA(ai+i) := recdata((i*8) to (i*8+7)); end loop; elsif (index = 4) or (index = 5) then recaddr(31 downto abits+1) := (others => '0'); ai := conv_integer(recaddr)/2; for i in 0 to 7 loop MEMA(ai+i) := recdata((i*16+(index-4)*8) to (i*16+(index-4)*8+7)); end loop; else recaddr(31 downto abits+2) := (others => '0'); ai := conv_integer(recaddr)/4; for i in 0 to 3 loop MEMA(ai+i) := recdata((i*32+index*8) to (i*32+index*8+7)); end loop; end if; if ai = 0 then ai := 1; end if; end if; end if; end if; end loop; FIRST := false; else if (TO_X01(not CE1) = '1') then if not is_x(a) then ai := conv_integer(A(abits-1 downto 0)); else ai := 0; end if; dint <= mema(ai); end if; if (TO_X01(CE1 or WE) = '1') then if wrpre = '1' then mema(ai) := to_x01(std_logic_vector(DI)); end if; end if; end if; wrpre <= TO_X01((not CE1) and (not WE)); DI <= D; end process; BUFS : process(CE1,WE,DINT,OE) variable DRIVEB : std_logic; begin DRIVEB := TO_X01((not CE1) and (not OE) and WE); case DRIVEB is when '1' => D <= DINT after tacc * 1 ns; when '0' => D <= "ZZZZZZZZ" after 8 ns; when others => D <= "XXXXXXXX"; end case; end process; end sim; -- pragma translate_on
gpl-2.0
fe488d1e3a47555b157fb7e8b0bcd63d
0.546852
3.534031
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/techmap/maps/inpad_ddr.vhd
1
3,704
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: inpad_ddr, inpad_ddrv -- File: inpad_ddr.vhd -- Author: Jan Andersson - Aeroflex Gaisler -- Description: Wrapper that instantiates an input pad connected to a DDR_IREG. -- The generic tech wrappers are not used for nextreme since this -- technology is not wrapped by ddr_ireg. ------------------------------------------------------------------------------ library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; use techmap.allddr.all; use techmap.allpads.all; entity inpad_ddr is generic ( tech : integer := 0; level : integer := 0; voltage : integer := x33v; filter : integer := 0; strength : integer := 0 ); port ( pad : in std_ulogic; o1, o2 : out std_ulogic; c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic ); end; architecture rtl of inpad_ddr is signal d : std_ulogic; begin def: if (tech /= easic90) and (tech /= easic45) generate p : inpad generic map (tech, level, voltage, filter, strength) port map (pad, d); ddrreg : ddr_ireg generic map (tech) port map (o1, o2, c1, c2, ce, d, r, s); end generate def; nex : if (tech = easic90) generate p : nextreme_inpad generic map (level, voltage) port map(pad, d); ddrreg : nextreme_iddr_reg port map (ck => c1, d => d, qh => o1, ql => o2, rstb => r); end generate; n2x : if (tech = easic45) generate p : n2x_inpad_ddr generic map (level, voltage) port map (pad, o1, o2, c1, c2, ce, r, s); d <= '0'; end generate; end; library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; use techmap.allpads.all; entity inpad_ddrv is generic ( tech : integer := 0; level : integer := 0; voltage : integer := 0; filter : integer := 0; strength : integer := 0; width : integer := 1 ); port ( pad : in std_logic_vector(width-1 downto 0); o1, o2 : out std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic ); end; architecture rtl of inpad_ddrv is begin n2x : if (tech = easic45) generate p : n2x_inpad_ddrv generic map (level, voltage, width) port map (pad, o1, o2, c1, c2, ce, r, s); end generate; base : if (tech /= easic45) generate v : for i in width-1 downto 0 generate x0 : inpad_ddr generic map (tech, level, voltage, filter, strength) port map (pad(i), o1(i), o2(i), c1, c2, ce, r, s); end generate; end generate; end;
gpl-2.0
bd1afb094d22728826a1d17b71e4878a
0.588823
3.5109
false
false
false
false
mistryalok/Zedboard
learning/training/Microsystem/axi_interface_part2/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_bram_ctrl_v4_0/b6365b74/hdl/vhdl/axi_bram_ctrl_top.vhd
6
43,430
------------------------------------------------------------------------------- -- axi_bram_ctrl_top.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- -- Filename: axi_bram_ctrl_top.vhd -- -- Description: This file is the top level module for the AXI BRAM -- controller IP core. -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl_top.vhd (v4_0) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- ecc_gen.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/1/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- ^^^^^^ -- JLJ 2/2/2011 v1.03a -- ~~~~~~ -- Remove library version # dependency. Replace with work library. -- ^^^^^^ -- JLJ 2/9/2011 v1.03a -- ~~~~~~ -- Update Create_Size_Default function to support 512 & 1024-bit BRAM. -- Replace usage of Create_Size_Default function. -- ^^^^^^ -- JLJ 2/15/2011 v1.03a -- ~~~~~~ -- Initial integration of Hsiao ECC algorithm. -- Add C_ECC_TYPE top level parameter on full_axi module. -- Update ECC signal sizes for 128-bit support. -- ^^^^^^ -- JLJ 2/16/2011 v1.03a -- ~~~~~~ -- Update WE size based on 128-bit ECC configuration. -- ^^^^^^ -- JLJ 2/22/2011 v1.03a -- ~~~~~~ -- Add C_ECC_TYPE top level parameter on axi_lite module. -- ^^^^^^ -- JLJ 2/23/2011 v1.03a -- ~~~~~~ -- Set C_ECC_TYPE = 1 for Hsiao DV regressions. -- ^^^^^^ -- JLJ 2/24/2011 v1.03a -- ~~~~~~ -- Move Find_ECC_Size function to package. -- ^^^^^^ -- JLJ 3/17/2011 v1.03a -- ~~~~~~ -- Add comments as noted in Spyglass runs. -- ^^^^^^ -- JLJ 5/6/2011 v1.03a -- ~~~~~~ -- Remove C_FAMILY from top level. -- Remove C_FAMILY in axi_lite sub module. -- ^^^^^^ -- JLJ 6/23/2011 v1.03a -- ~~~~~~ -- Migrate 9-bit ECC to 16-bit ECC for 128-bit BRAM data width. -- ^^^^^^ -- -- -- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.numeric_std.all; library work; use work.axi_lite; use work.full_axi; use work.axi_bram_ctrl_funcs.all; ------------------------------------------------------------------------------ entity axi_bram_ctrl_top is generic ( -- AXI Parameters C_BRAM_ADDR_WIDTH : integer := 12; -- Width of AXI address bus (in bits) C_S_AXI_ADDR_WIDTH : integer := 32; -- Width of AXI address bus (in bits) C_S_AXI_DATA_WIDTH : integer := 32; -- Width of AXI data bus (in bits) C_S_AXI_ID_WIDTH : INTEGER := 4; -- AXI ID vector width C_S_AXI_PROTOCOL : string := "AXI4"; -- Set to AXI4LITE to optimize out burst transaction support C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER := 1; -- Support for narrow burst operations C_SINGLE_PORT_BRAM : INTEGER := 0; -- Enable single port usage of BRAM -- C_FAMILY : string := "virtex6"; -- Specify the target architecture type -- AXI-Lite Register Parameters C_S_AXI_CTRL_ADDR_WIDTH : integer := 32; -- Width of AXI-Lite address bus (in bits) C_S_AXI_CTRL_DATA_WIDTH : integer := 32; -- Width of AXI-Lite data bus (in bits) -- ECC Parameters C_ECC : integer := 0; -- Enables or disables ECC functionality C_ECC_TYPE : integer := 1; C_FAULT_INJECT : integer := 0; -- Enable fault injection registers -- (default = disabled) C_ECC_ONOFF_RESET_VALUE : integer := 1 -- By default, ECC checking is on -- (can disable ECC @ reset by setting this to 0) -- Reserved parameters for future implementations. -- C_ENABLE_AXI_CTRL_REG_IF : integer := 1; -- By default the ECC AXI-Lite register interface is enabled -- C_CE_FAILING_REGISTERS : integer := 1; -- Enable CE (correctable error) failing registers -- C_UE_FAILING_REGISTERS : integer := 1; -- Enable UE (uncorrectable error) failing registers -- C_ECC_STATUS_REGISTERS : integer := 1; -- Enable ECC status registers -- C_ECC_ONOFF_REGISTER : integer := 1; -- Enable ECC on/off control register -- C_CE_COUNTER_WIDTH : integer := 0 -- Selects CE counter width/threshold to assert ECC_Interrupt ); port ( -- AXI Interface Signals -- AXI Clock and Reset S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; ECC_Interrupt : out std_logic := '0'; ECC_UE : out std_logic := '0'; -- AXI Write Address Channel Signals (AW) S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWLEN : in std_logic_vector(7 downto 0); S_AXI_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_AWBURST : in std_logic_vector(1 downto 0); S_AXI_AWLOCK : in std_logic; S_AXI_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; -- AXI Write Data Channel Signals (W) S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector(C_S_AXI_DATA_WIDTH/8-1 downto 0); S_AXI_WLAST : in std_logic; S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; -- AXI Write Data Response Channel Signals (B) S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; -- AXI Read Address Channel Signals (AR) S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARLEN : in std_logic_vector(7 downto 0); S_AXI_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_ARBURST : in std_logic_vector(1 downto 0); S_AXI_ARLOCK : in std_logic; S_AXI_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; -- AXI Read Data Channel Signals (R) S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RLAST : out std_logic; S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; -- AXI-Lite ECC Register Interface Signals -- AXI-Lite Clock and Reset -- Note: AXI-Lite Control IF and AXI IF share the same clock. -- S_AXI_CTRL_ACLK : in std_logic; -- S_AXI_CTRL_ARESETN : in std_logic; -- AXI-Lite Write Address Channel Signals (AW) S_AXI_CTRL_AWVALID : in std_logic; S_AXI_CTRL_AWREADY : out std_logic; S_AXI_CTRL_AWADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); -- AXI-Lite Write Data Channel Signals (W) S_AXI_CTRL_WDATA : in std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_WVALID : in std_logic; S_AXI_CTRL_WREADY : out std_logic; -- AXI-Lite Write Data Response Channel Signals (B) S_AXI_CTRL_BRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_BVALID : out std_logic; S_AXI_CTRL_BREADY : in std_logic; -- AXI-Lite Read Address Channel Signals (AR) S_AXI_CTRL_ARADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); S_AXI_CTRL_ARVALID : in std_logic; S_AXI_CTRL_ARREADY : out std_logic; -- AXI-Lite Read Data Channel Signals (R) S_AXI_CTRL_RDATA : out std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_RRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_RVALID : out std_logic; S_AXI_CTRL_RREADY : in std_logic; -- BRAM Interface Signals (Port A) BRAM_Rst_A : out std_logic; BRAM_Clk_A : out std_logic; BRAM_En_A : out std_logic; BRAM_WE_A : out std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_Addr_A : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); BRAM_WrData_A : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_RdData_A : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); -- BRAM Interface Signals (Port B) BRAM_Rst_B : out std_logic; BRAM_Clk_B : out std_logic; BRAM_En_B : out std_logic; BRAM_WE_B : out std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_Addr_B : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); BRAM_WrData_B : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_RdData_B : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) ); end entity axi_bram_ctrl_top; ------------------------------------------------------------------------------- architecture implementation of axi_bram_ctrl_top is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- All functions defined in axi_bram_ctrl_funcs package. ------------------------------------------------------------------------------- -- Constants ------------------------------------------------------------------------------- -- Model behavior of AXI Interconnect in simulation for wrapping of ID values. constant C_SIM_ONLY : std_logic := '1'; -- Reset active level (common through core) constant C_RESET_ACTIVE : std_logic := '0'; -- Create top level constant to assign fixed value to ARSIZE and AWSIZE -- when narrow bursting is parameterized out of the IP core instantiation. -- constant AXI_FIXED_SIZE_WO_NARROW : std_logic_vector (2 downto 0) := Create_Size_Default; -- v1.03a constant AXI_FIXED_SIZE_WO_NARROW : integer := log2 (C_S_AXI_DATA_WIDTH/8); -- Only instantiate logic based on C_S_AXI_PROTOCOL. constant IF_IS_AXI4 : boolean := (Equal_String (C_S_AXI_PROTOCOL, "AXI4")); constant IF_IS_AXI4LITE : boolean := (Equal_String (C_S_AXI_PROTOCOL, "AXI4LITE")); -- Determine external ECC width. -- Use function defined in axi_bram_ctrl_funcs package. constant C_ECC_WIDTH : integer := Find_ECC_Size (C_ECC, C_S_AXI_DATA_WIDTH); constant C_ECC_FULL_BIT_WIDTH : integer := Find_ECC_Full_Bit_Size (C_ECC, C_S_AXI_DATA_WIDTH); -- Set internal parameters for ECC register enabling when C_ECC = 1 constant C_ENABLE_AXI_CTRL_REG_IF_I : integer := C_ECC; constant C_CE_FAILING_REGISTERS_I : integer := C_ECC; constant C_UE_FAILING_REGISTERS_I : integer := 0; -- Remove all UE registers -- Catastrophic error indicated with ECC_UE & Interrupt flags. constant C_ECC_STATUS_REGISTERS_I : integer := C_ECC; constant C_ECC_ONOFF_REGISTER_I : integer := C_ECC; constant C_CE_COUNTER_WIDTH : integer := 8 * C_ECC; -- Counter only sized when C_ECC = 1. -- Selects CE counter width/threshold to assert ECC_Interrupt -- Hard coded at 8-bits to capture and count up to 256 correctable errors. --constant C_ECC_TYPE : integer := 1; -- v1.03a -- ECC algorithm format, 0 = Hamming code, 1 = Hsiao code ------------------------------------------------------------------------------- -- Signals ------------------------------------------------------------------------------- -- Internal BRAM Signals -- Port A signal bram_en_a_int : std_logic := '0'; signal bram_we_a_int : std_logic_vector (((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto 0) := (others => '0'); signal bram_addr_a_int : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal bram_wrdata_a_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); signal bram_rddata_a_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); -- Port B signal bram_addr_b_int : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal bram_en_b_int : std_logic := '0'; signal bram_we_b_int : std_logic_vector (((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto 0) := (others => '0'); signal bram_wrdata_b_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); signal bram_rddata_b_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); signal axi_awsize_int : std_logic_vector(2 downto 0) := (others => '0'); signal axi_arsize_int : std_logic_vector(2 downto 0) := (others => '0'); signal S_AXI_ARREADY_int : std_logic := '0'; signal S_AXI_AWREADY_int : std_logic := '0'; signal S_AXI_RID_int : std_logic_vector (C_S_AXI_ID_WIDTH-1 downto 0) := (others => '0'); signal S_AXI_BID_int : std_logic_vector (C_S_AXI_ID_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Architecture Body ------------------------------------------------------------------------------- begin -- *** BRAM Port A Output Signals *** BRAM_Rst_A <= not (S_AXI_ARESETN); BRAM_Clk_A <= S_AXI_ACLK; BRAM_En_A <= bram_en_a_int; BRAM_WE_A ((((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH)/8) - 1) downto (C_ECC_FULL_BIT_WIDTH/8)) <= bram_we_a_int((C_S_AXI_DATA_WIDTH/8)-1 downto 0); BRAM_Addr_A <= bram_addr_a_int; bram_rddata_a_int (C_S_AXI_DATA_WIDTH-1 downto 0) <= BRAM_RdData_A ((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_FULL_BIT_WIDTH)); BRAM_WrData_A ((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_FULL_BIT_WIDTH)) <= bram_wrdata_a_int(C_S_AXI_DATA_WIDTH-1 downto 0); -- Added for 13.3 -- Drive unused upper ECC bits to '0' -- For bram_block compatibility, must drive unused upper bits to '0' for ECC 128-bit use case. GEN_128_ECC_WR: if (C_S_AXI_DATA_WIDTH = 128) and (C_ECC = 1) generate begin BRAM_WrData_A ((C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_WIDTH)) <= (others => '0'); BRAM_WrData_A ((C_ECC_WIDTH-1) downto 0) <= bram_wrdata_a_int(C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH); BRAM_WE_A ((C_ECC_FULL_BIT_WIDTH/8) - 1 downto 0) <= bram_we_a_int(((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto (C_S_AXI_DATA_WIDTH/8)); bram_rddata_a_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH) <= BRAM_RdData_A ((C_ECC_WIDTH-1) downto 0); end generate GEN_128_ECC_WR; GEN_ECC_WR: if ( not (C_S_AXI_DATA_WIDTH = 128) and (C_ECC = 1)) generate begin BRAM_WrData_A ((C_ECC_WIDTH - 1) downto 0) <= bram_wrdata_a_int(C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH); BRAM_WE_A ((C_ECC_FULL_BIT_WIDTH/8) - 1 downto 0) <= bram_we_a_int(((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto (C_S_AXI_DATA_WIDTH/8)); bram_rddata_a_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH) <= BRAM_RdData_A ((C_ECC_WIDTH-1) downto 0); end generate GEN_ECC_WR; -- *** BRAM Port B Output Signals *** GEN_PORT_B: if (C_SINGLE_PORT_BRAM = 0) generate begin BRAM_Rst_B <= not (S_AXI_ARESETN); BRAM_WE_B ((((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH)/8) - 1) downto (C_ECC_FULL_BIT_WIDTH/8)) <= bram_we_b_int((C_S_AXI_DATA_WIDTH/8)-1 downto 0); BRAM_Addr_B <= bram_addr_b_int; BRAM_En_B <= bram_en_b_int; bram_rddata_b_int (C_S_AXI_DATA_WIDTH-1 downto 0) <= BRAM_RdData_B ((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_FULL_BIT_WIDTH)); BRAM_WrData_B ((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_FULL_BIT_WIDTH)) <= bram_wrdata_b_int(C_S_AXI_DATA_WIDTH-1 downto 0); -- 13.3 -- BRAM_WrData_B <= bram_wrdata_b_int; -- Added for 13.3 -- Drive unused upper ECC bits to '0' -- For bram_block compatibility, must drive unused upper bits to '0' for ECC 128-bit use case. GEN_128_ECC_WR: if (C_S_AXI_DATA_WIDTH = 128) and (C_ECC = 1) generate begin BRAM_WrData_B ((C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_WIDTH)) <= (others => '0'); BRAM_WrData_B ((C_ECC_WIDTH-1) downto 0) <= bram_wrdata_b_int(C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH); BRAM_WE_B ((C_ECC_FULL_BIT_WIDTH/8) - 1 downto 0) <= bram_we_b_int(((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto (C_S_AXI_DATA_WIDTH/8)); bram_rddata_b_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH) <= BRAM_RdData_B ((C_ECC_WIDTH-1) downto 0); end generate GEN_128_ECC_WR; GEN_ECC_WR: if ( not (C_S_AXI_DATA_WIDTH = 128) and (C_ECC = 1)) generate begin BRAM_WrData_B ((C_ECC_WIDTH - 1) downto 0) <= bram_wrdata_b_int(C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH); BRAM_WE_B ((C_ECC_FULL_BIT_WIDTH/8) - 1 downto 0) <= bram_we_b_int(((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto (C_S_AXI_DATA_WIDTH/8)); bram_rddata_b_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH) <= BRAM_RdData_B ((C_ECC_WIDTH-1) downto 0); end generate GEN_ECC_WR; end generate GEN_PORT_B; GEN_NO_PORT_B: if (C_SINGLE_PORT_BRAM = 1) generate begin BRAM_Rst_B <= '0'; BRAM_WE_B <= (others => '0'); BRAM_WrData_B <= (others => '0'); BRAM_Addr_B <= (others => '0'); BRAM_En_B <= '0'; end generate GEN_NO_PORT_B; --------------------------------------------------------------------------- -- -- Generate: GEN_BRAM_CLK_B -- Purpose: Only drive BRAM_Clk_B when dual port BRAM is enabled. -- --------------------------------------------------------------------------- GEN_BRAM_CLK_B: if (C_SINGLE_PORT_BRAM = 0) generate begin BRAM_Clk_B <= S_AXI_ACLK; end generate GEN_BRAM_CLK_B; --------------------------------------------------------------------------- -- -- Generate: GEN_NO_BRAM_CLK_B -- Purpose: Drive default value for BRAM_Clk_B when single port -- BRAM is enabled and no clock is necessary on the inactive -- BRAM port. -- --------------------------------------------------------------------------- GEN_NO_BRAM_CLK_B: if (C_SINGLE_PORT_BRAM = 1) generate begin BRAM_Clk_B <= '0'; end generate GEN_NO_BRAM_CLK_B; --------------------------------------------------------------------------- -- Generate top level ARSIZE and AWSIZE signals for rd_chnl and wr_chnl -- respectively, based on design parameter setting of generic, -- C_S_AXI_SUPPORTS_NARROW_BURST. --------------------------------------------------------------------------- -- -- Generate: GEN_W_NARROW -- Purpose: Create internal AWSIZE and ARSIZE signal for write and -- read channel modules based on top level AXI signal inputs. -- --------------------------------------------------------------------------- GEN_W_NARROW: if (C_S_AXI_SUPPORTS_NARROW_BURST = 1) and (IF_IS_AXI4) generate begin axi_awsize_int <= S_AXI_AWSIZE; axi_arsize_int <= S_AXI_ARSIZE; end generate GEN_W_NARROW; --------------------------------------------------------------------------- -- -- Generate: GEN_WO_NARROW -- Purpose: Create internal AWSIZE and ARSIZE signal for write and -- read channel modules based on hard coded -- value that indicates all AXI transfers will be equal in -- size to the AXI data bus. -- --------------------------------------------------------------------------- GEN_WO_NARROW: if (C_S_AXI_SUPPORTS_NARROW_BURST = 0) or (IF_IS_AXI4LITE) generate begin -- axi_awsize_int <= AXI_FIXED_SIZE_WO_NARROW; -- When AXI-LITE (no narrow transfers supported) -- axi_arsize_int <= AXI_FIXED_SIZE_WO_NARROW; -- v1.03a axi_awsize_int <= std_logic_vector (to_unsigned (AXI_FIXED_SIZE_WO_NARROW, 3)); axi_arsize_int <= std_logic_vector (to_unsigned (AXI_FIXED_SIZE_WO_NARROW, 3)); end generate GEN_WO_NARROW; S_AXI_ARREADY <= S_AXI_ARREADY_int; S_AXI_AWREADY <= S_AXI_AWREADY_int; --------------------------------------------------------------------------- -- -- Generate: GEN_AXI_LITE -- Purpose: Create internal signals for lower level write and read -- channel modules to discard unused AXI signals when the -- AXI protocol is set up for AXI-LITE. -- --------------------------------------------------------------------------- GEN_AXI4LITE: if (IF_IS_AXI4LITE) generate begin -- For simulation purposes ONLY -- AXI Interconnect handles this in real system topologies. S_AXI_BID <= S_AXI_BID_int; S_AXI_RID <= S_AXI_RID_int; ----------------------------------------------------------------------- -- -- Generate: GEN_SIM_ONLY -- Purpose: Mimic behavior of AXI Interconnect in simulation. -- In real hardware system, AXI Interconnect stores and -- wraps value of ARID to RID and AWID to BID. -- ----------------------------------------------------------------------- GEN_SIM_ONLY: if (C_SIM_ONLY = '1') generate begin ------------------------------------------------------------------- -- Must register and wrap the AWID signal REG_BID: process (S_AXI_ACLK) begin if (S_AXI_ACLK'event and S_AXI_ACLK = '1') then if (S_AXI_ARESETN = C_RESET_ACTIVE) then S_AXI_BID_int <= (others => '0'); elsif (S_AXI_AWVALID = '1') and (S_AXI_AWREADY_int = '1') then S_AXI_BID_int <= S_AXI_AWID; else S_AXI_BID_int <= S_AXI_BID_int; end if; end if; end process REG_BID; ------------------------------------------------------------------- -- Must register and wrap the ARID signal REG_RID: process (S_AXI_ACLK) begin if (S_AXI_ACLK'event and S_AXI_ACLK = '1') then if (S_AXI_ARESETN = C_RESET_ACTIVE) then S_AXI_RID_int <= (others => '0'); elsif (S_AXI_ARVALID = '1') and (S_AXI_ARREADY_int = '1') then S_AXI_RID_int <= S_AXI_ARID; else S_AXI_RID_int <= S_AXI_RID_int; end if; end if; end process REG_RID; ------------------------------------------------------------------- end generate GEN_SIM_ONLY; --------------------------------------------------------------------------- -- -- Generate: GEN_HW -- Purpose: Drive default values of RID and BID. In real system -- these are left unconnected and AXI Interconnect is -- responsible for values. -- --------------------------------------------------------------------------- GEN_HW: if (C_SIM_ONLY = '0') generate begin S_AXI_BID_int <= (others => '0'); S_AXI_RID_int <= (others => '0'); end generate GEN_HW; --------------------------------------------------------------------------- -- Instance: I_AXI_LITE -- -- Description: -- This module is for the AXI-Lite -- instantiation of the BRAM controller interface. -- -- Responsible for shared address pipelining between the -- write address (AW) and read address (AR) channels. -- Controls (seperately) the data flows for the write data -- (W), write response (B), and read data (R) channels. -- -- Creates a shared port to BRAM (for all read and write -- transactions) or dual BRAM port utilization based on a -- generic parameter setting. -- -- Instantiates ECC register block if enabled and -- generates ECC logic, when enabled. -- -- --------------------------------------------------------------------------- I_AXI_LITE : entity work.axi_lite generic map ( C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , -- C_FAMILY => C_FAMILY , C_S_AXI_CTRL_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH , C_S_AXI_CTRL_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH , C_ECC => C_ECC , C_ECC_TYPE => C_ECC_TYPE , -- v1.03a C_ECC_WIDTH => C_ECC_WIDTH , -- 8-bits for ECC (32 & 64-bit data widths) C_ENABLE_AXI_CTRL_REG_IF => C_ENABLE_AXI_CTRL_REG_IF_I , -- Use internal constants determined by C_ECC C_FAULT_INJECT => C_FAULT_INJECT , C_CE_FAILING_REGISTERS => C_CE_FAILING_REGISTERS_I , C_UE_FAILING_REGISTERS => C_UE_FAILING_REGISTERS_I , C_ECC_STATUS_REGISTERS => C_ECC_STATUS_REGISTERS_I , C_ECC_ONOFF_REGISTER => C_ECC_ONOFF_REGISTER_I , C_ECC_ONOFF_RESET_VALUE => C_ECC_ONOFF_RESET_VALUE , C_CE_COUNTER_WIDTH => C_CE_COUNTER_WIDTH ) port map ( S_AXI_AClk => S_AXI_ACLK , S_AXI_AResetn => S_AXI_ARESETN , ECC_Interrupt => ECC_Interrupt , ECC_UE => ECC_UE , AXI_AWADDR => S_AXI_AWADDR , AXI_AWVALID => S_AXI_AWVALID , AXI_AWREADY => S_AXI_AWREADY_int , AXI_WDATA => S_AXI_WDATA , AXI_WSTRB => S_AXI_WSTRB , AXI_WVALID => S_AXI_WVALID , AXI_WREADY => S_AXI_WREADY , AXI_BRESP => S_AXI_BRESP , AXI_BVALID => S_AXI_BVALID , AXI_BREADY => S_AXI_BREADY , AXI_ARADDR => S_AXI_ARADDR , AXI_ARVALID => S_AXI_ARVALID , AXI_ARREADY => S_AXI_ARREADY_int , AXI_RDATA => S_AXI_RDATA , AXI_RRESP => S_AXI_RRESP , AXI_RLAST => S_AXI_RLAST , AXI_RVALID => S_AXI_RVALID , AXI_RREADY => S_AXI_RREADY , -- Add AXI-Lite ECC Register Ports -- Note: AXI-Lite Control IF and AXI IF share the same clock. -- S_AXI_CTRL_ACLK => S_AXI_CTRL_ACLK , -- S_AXI_CTRL_ARESETN => S_AXI_CTRL_ARESETN , AXI_CTRL_AWVALID => S_AXI_CTRL_AWVALID , AXI_CTRL_AWREADY => S_AXI_CTRL_AWREADY , AXI_CTRL_AWADDR => S_AXI_CTRL_AWADDR , AXI_CTRL_WDATA => S_AXI_CTRL_WDATA , AXI_CTRL_WVALID => S_AXI_CTRL_WVALID , AXI_CTRL_WREADY => S_AXI_CTRL_WREADY , AXI_CTRL_BRESP => S_AXI_CTRL_BRESP , AXI_CTRL_BVALID => S_AXI_CTRL_BVALID , AXI_CTRL_BREADY => S_AXI_CTRL_BREADY , AXI_CTRL_ARADDR => S_AXI_CTRL_ARADDR , AXI_CTRL_ARVALID => S_AXI_CTRL_ARVALID , AXI_CTRL_ARREADY => S_AXI_CTRL_ARREADY , AXI_CTRL_RDATA => S_AXI_CTRL_RDATA , AXI_CTRL_RRESP => S_AXI_CTRL_RRESP , AXI_CTRL_RVALID => S_AXI_CTRL_RVALID , AXI_CTRL_RREADY => S_AXI_CTRL_RREADY , BRAM_En_A => bram_en_a_int , BRAM_WE_A => bram_we_a_int , BRAM_Addr_A => bram_addr_a_int , BRAM_WrData_A => bram_wrdata_a_int , BRAM_RdData_A => bram_rddata_a_int , BRAM_En_B => bram_en_b_int , BRAM_WE_B => bram_we_b_int , BRAM_Addr_B => bram_addr_b_int , BRAM_WrData_B => bram_wrdata_b_int , BRAM_RdData_B => bram_rddata_b_int ); end generate GEN_AXI4LITE; --------------------------------------------------------------------------- -- -- Generate: GEN_AXI -- Purpose: Only create internal signals for lower level write and read -- channel modules to assign AXI signals when the -- AXI protocol is set up for non AXI-LITE IF connections. -- For AXI4, all AXI signals are assigned to lower level modules. -- -- For AXI-Lite connections, generate statement above will -- create default values on these signals (assigned here). -- --------------------------------------------------------------------------- GEN_AXI4: if (IF_IS_AXI4) generate begin --------------------------------------------------------------------------- -- Instance: I_FULL_AXI -- -- Description: -- Full AXI BRAM controller logic. -- Instantiates wr_chnl and rd_chnl modules. -- If enabled, ECC register interface is included. -- --------------------------------------------------------------------------- I_FULL_AXI : entity work.full_axi generic map ( C_S_AXI_ID_WIDTH => C_S_AXI_ID_WIDTH , C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , C_S_AXI_SUPPORTS_NARROW_BURST => C_S_AXI_SUPPORTS_NARROW_BURST , C_S_AXI_CTRL_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH , C_S_AXI_CTRL_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH , C_ECC => C_ECC , C_ECC_WIDTH => C_ECC_WIDTH , -- 8-bits for ECC (32 & 64-bit data widths) C_ECC_TYPE => C_ECC_TYPE , -- v1.03a C_FAULT_INJECT => C_FAULT_INJECT , C_ECC_ONOFF_RESET_VALUE => C_ECC_ONOFF_RESET_VALUE , C_ENABLE_AXI_CTRL_REG_IF => C_ENABLE_AXI_CTRL_REG_IF_I , -- Use internal constants determined by C_ECC C_CE_FAILING_REGISTERS => C_CE_FAILING_REGISTERS_I , C_UE_FAILING_REGISTERS => C_UE_FAILING_REGISTERS_I , C_ECC_STATUS_REGISTERS => C_ECC_STATUS_REGISTERS_I , C_ECC_ONOFF_REGISTER => C_ECC_ONOFF_REGISTER_I , C_CE_COUNTER_WIDTH => C_CE_COUNTER_WIDTH ) port map ( S_AXI_AClk => S_AXI_ACLK , S_AXI_AResetn => S_AXI_ARESETN , ECC_Interrupt => ECC_Interrupt , ECC_UE => ECC_UE , S_AXI_AWID => S_AXI_AWID , S_AXI_AWADDR => S_AXI_AWADDR(C_S_AXI_ADDR_WIDTH-1 downto 0), S_AXI_AWLEN => S_AXI_AWLEN , S_AXI_AWSIZE => axi_awsize_int , S_AXI_AWBURST => S_AXI_AWBURST , S_AXI_AWLOCK => S_AXI_AWLOCK , S_AXI_AWCACHE => S_AXI_AWCACHE , S_AXI_AWPROT => S_AXI_AWPROT , S_AXI_AWVALID => S_AXI_AWVALID , S_AXI_AWREADY => S_AXI_AWREADY_int , S_AXI_WDATA => S_AXI_WDATA , S_AXI_WSTRB => S_AXI_WSTRB , S_AXI_WLAST => S_AXI_WLAST , S_AXI_WVALID => S_AXI_WVALID , S_AXI_WREADY => S_AXI_WREADY , S_AXI_BID => S_AXI_BID , S_AXI_BRESP => S_AXI_BRESP , S_AXI_BVALID => S_AXI_BVALID , S_AXI_BREADY => S_AXI_BREADY , S_AXI_ARID => S_AXI_ARID , S_AXI_ARADDR => S_AXI_ARADDR(C_S_AXI_ADDR_WIDTH-1 downto 0), S_AXI_ARLEN => S_AXI_ARLEN , S_AXI_ARSIZE => axi_arsize_int , S_AXI_ARBURST => S_AXI_ARBURST , S_AXI_ARLOCK => S_AXI_ARLOCK , S_AXI_ARCACHE => S_AXI_ARCACHE , S_AXI_ARPROT => S_AXI_ARPROT , S_AXI_ARVALID => S_AXI_ARVALID , S_AXI_ARREADY => S_AXI_ARREADY_int , S_AXI_RID => S_AXI_RID , S_AXI_RDATA => S_AXI_RDATA , S_AXI_RRESP => S_AXI_RRESP , S_AXI_RLAST => S_AXI_RLAST , S_AXI_RVALID => S_AXI_RVALID , S_AXI_RREADY => S_AXI_RREADY , -- Add AXI-Lite ECC Register Ports -- Note: AXI-Lite Control IF and AXI IF share the same clock. -- S_AXI_CTRL_ACLK => S_AXI_CTRL_ACLK , -- S_AXI_CTRL_ARESETN => S_AXI_CTRL_ARESETN , S_AXI_CTRL_AWVALID => S_AXI_CTRL_AWVALID , S_AXI_CTRL_AWREADY => S_AXI_CTRL_AWREADY , S_AXI_CTRL_AWADDR => S_AXI_CTRL_AWADDR , S_AXI_CTRL_WDATA => S_AXI_CTRL_WDATA , S_AXI_CTRL_WVALID => S_AXI_CTRL_WVALID , S_AXI_CTRL_WREADY => S_AXI_CTRL_WREADY , S_AXI_CTRL_BRESP => S_AXI_CTRL_BRESP , S_AXI_CTRL_BVALID => S_AXI_CTRL_BVALID , S_AXI_CTRL_BREADY => S_AXI_CTRL_BREADY , S_AXI_CTRL_ARADDR => S_AXI_CTRL_ARADDR , S_AXI_CTRL_ARVALID => S_AXI_CTRL_ARVALID , S_AXI_CTRL_ARREADY => S_AXI_CTRL_ARREADY , S_AXI_CTRL_RDATA => S_AXI_CTRL_RDATA , S_AXI_CTRL_RRESP => S_AXI_CTRL_RRESP , S_AXI_CTRL_RVALID => S_AXI_CTRL_RVALID , S_AXI_CTRL_RREADY => S_AXI_CTRL_RREADY , BRAM_En_A => bram_en_a_int , BRAM_WE_A => bram_we_a_int , BRAM_WrData_A => bram_wrdata_a_int , BRAM_Addr_A => bram_addr_a_int , BRAM_RdData_A => bram_rddata_a_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) , BRAM_En_B => bram_en_b_int , BRAM_WE_B => bram_we_b_int , BRAM_Addr_B => bram_addr_b_int , BRAM_WrData_B => bram_wrdata_b_int , BRAM_RdData_B => bram_rddata_b_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) ); -- v1.02a -- Seperate instantiations for wr_chnl and rd_chnl moved to -- full_axi module. end generate GEN_AXI4; end architecture implementation;
gpl-3.0
9a60ce013b1722e4189d9833a2649bd6
0.46385
3.790034
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-gr-cpci-xc4v/testbench.vhd
1
15,895
------------------------------------------------------------------------------ -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; use work.debug.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; use work.config.all; -- configuration entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 20; -- system clock period romwidth : integer := 32; -- rom data width (8/32) romdepth : integer := 16; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 20; -- ram address depth srambanks : integer := 2 -- number of ram banks ); port ( pci_rst : inout std_logic; -- PCI bus pci_clk : in std_logic; pci_gnt : in std_logic; pci_idsel : in std_logic; pci_lock : inout std_logic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_logic; pci_irdy : inout std_logic; pci_trdy : inout std_logic; pci_devsel : inout std_logic; pci_stop : inout std_logic; pci_perr : inout std_logic; pci_par : inout std_logic; pci_req : inout std_logic; pci_serr : inout std_logic; pci_host : in std_logic := '1'; pci_int : inout std_logic_vector(3 downto 0); pci_66 : in std_logic := '0' ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents signal clk : std_logic := '0'; signal Rst : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal address : std_logic_vector(27 downto 0); signal data : std_logic_vector(31 downto 0); signal ramsn : std_logic_vector(4 downto 0); signal ramoen : std_logic_vector(4 downto 0); signal rwen : std_logic_vector(3 downto 0); signal rwenx : std_logic_vector(3 downto 0); signal romsn : std_logic_vector(1 downto 0); signal iosn : std_logic; signal oen : std_logic; signal read : std_logic; signal writen : std_logic; signal brdyn : std_logic; signal bexcn : std_logic; signal wdogn : std_logic; signal dsuen, dsutx, dsurx, dsubre, dsuact : std_logic; signal dsurst : std_logic; signal test : std_logic; signal error : std_logic; signal gpio : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); signal GND : std_logic := '0'; signal VCC : std_logic := '1'; signal NC : std_logic := 'Z'; signal clk2 : std_logic := '1'; signal sdcke : std_logic_vector ( 1 downto 0); -- clk en signal sdcsn : std_logic_vector ( 1 downto 0); -- chip sel signal sdwen : std_logic; -- write en signal sdrasn : std_logic; -- row addr stb signal sdcasn : std_logic; -- col addr stb signal sddqm : std_logic_vector ( 7 downto 0); -- data i/o mask signal sdclk : std_logic; signal plllock : std_logic; signal txd1, rxd1 : std_logic; signal txd2, rxd2 : std_logic; signal etx_clk, erx_clk, erx_dv, erx_er, erx_col, erx_crs, etx_en, etx_er : std_logic:='0'; signal erxd, etxd: std_logic_vector(3 downto 0):=(others=>'0'); signal erxdt, etxdt: std_logic_vector(7 downto 0):=(others=>'0'); signal emdc, emdio: std_logic; signal gtx_clk : std_logic := '0'; signal emddis : std_logic; signal epwrdwn : std_logic; signal ereset : std_logic; signal esleep : std_logic; signal epause : std_logic; signal led_cfg: std_logic_vector(2 downto 0); constant lresp : boolean := false; signal sa : std_logic_vector(14 downto 0); signal sd : std_logic_vector(63 downto 0); signal pci_arb_req, pci_arb_gnt : std_logic_vector(0 to 3); signal can_txd : std_logic_vector(0 to CFG_CAN_NUM-1); signal can_rxd : std_logic_vector(0 to CFG_CAN_NUM-1); signal can_stb : std_logic; signal spw_clk : std_logic := '0'; signal spw_rxdp : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxdn : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxsp : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxsn : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_txdp : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txdn : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txsp : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txsn : std_logic_vector(0 to CFG_SPW_NUM-1); begin -- clock and reset clk <= not clk after ct * 1 ns; spw_clk <= not spw_clk after 10 ns; rst <= dsurst; dsuen <= '1'; dsubre <= '0'; rxd1 <= '1'; led_cfg<="000"; --put the phy in base10h mode can_rxd <= (others => 'H'); bexcn <= '1'; wdogn <= 'H'; gpio(2 downto 0) <= "LHL"; gpio(CFG_GRGPIO_WIDTH-1 downto 3) <= (others => 'H'); pci_arb_req <= "HHHH"; -- spacewire loop-back spw_rxdp <= spw_txdp; spw_rxdn <= spw_txdn; spw_rxsp <= spw_txsp; spw_rxsn <= spw_txsn; d3 : entity work.leon3mp generic map ( fabtech, memtech, padtech, clktech, disas, dbguart, pclow ) port map (rst, clk, sdclk, error, wdogn, address(27 downto 0), data, sa, sd, sdclk, sdcke, sdcsn, sdwen, sdrasn, sdcasn, sddqm, dsutx, dsurx, dsuen, dsubre, dsuact, txd1, rxd1, txd2, rxd2, ramsn, ramoen, rwen, oen, writen, read, iosn, romsn, brdyn, bexcn, gpio, emdio, etx_clk, erx_clk, erxd, erx_dv, erx_er, erx_col, erx_crs, etxd, etx_en, etx_er, emdc, pci_rst, pci_clk, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe, pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr, pci_par, pci_req, pci_serr, pci_host, pci_int, pci_66, pci_arb_req, pci_arb_gnt, can_txd, can_rxd, spw_clk, spw_rxdp, spw_rxdn, spw_rxsp, spw_rxsn, spw_txdp, spw_txdn, spw_txsp, spw_txsn ); -- optional sdram sd0 : if (CFG_MCTRL_SDEN = 1) and (CFG_MCTRL_SEPBUS = 0) generate u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => data(31 downto 16), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => data(15 downto 0), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); u2: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => data(31 downto 16), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u3: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => data(15 downto 0), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); end generate; sd1 : if ((CFG_MCTRL_SDEN = 1) and (CFG_MCTRL_SEPBUS = 1)) generate u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); u2: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(1), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u3: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(1), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); sd64 : if (CFG_MCTRL_SD64 = 1) generate u4: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(63 downto 48), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(7 downto 6)); u5: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); u6: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(63 downto 48), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(7 downto 6)); u7: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); end generate; end generate; prom0 : for i in 0 to (romwidth/8)-1 generate sr0 : sram generic map (index => i, abits => romdepth, fname => promfile) port map (address(romdepth+1 downto 2), data(31-i*8 downto 24-i*8), romsn(0), rwen(i), oen); end generate; sram0 : for i in 0 to (sramwidth/8)-1 generate sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile) port map (address(sramdepth+1 downto 2), data(31-i*8 downto 24-i*8), ramsn(0), rwen(0), ramoen(0)); end generate; phy0 : if (CFG_GRETH = 1) generate emdio <= 'H'; erxd <= erxdt(3 downto 0); etxdt <= "0000" & etxd; p0: phy generic map(base1000_t_fd => 0, base1000_t_hd => 0) port map(rst, emdio, etx_clk, erx_clk, erxdt, erx_dv, erx_er, erx_col, erx_crs, etxdt, etx_en, etx_er, emdc, gtx_clk); end generate; error <= 'H'; -- ERROR pull-up iuerr : process begin wait for 2500 ns; if to_x01(error) = '1' then wait on error; end if; assert (to_x01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; end process; test0 : grtestmod port map ( rst, clk, error, address(21 downto 2), data, iosn, oen, writen, brdyn); -- data <= buskeep(data), (others => 'H') after 250 ns; data <= buskeep(data) after 5 ns; -- sd <= buskeep(sd), (others => 'H') after 250 ns; sd <= buskeep(sd) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_logic; signal dsutx : out std_logic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; wait for 500 ns; dsurst <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#6f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#11#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#04#, txp); txa(dsutx, 16#00#, 16#02#, 16#20#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end ;
gpl-2.0
85f1e87f47a2dacd16c023a4b8c138c4
0.573073
3.049108
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/hynix/ddr2/HY5PS121621F.vhd
1
112,629
------------------------------------------------------ -- Hynix 4BANKS X 8M X 16bits DDR2 SDRAM -- -- -- -- VHDL Modeling -- -- -- -- PART : HY5PS121621F-B400/B533/B667/B800 -- -- -- -- HHHH HHHH -- -- HHHH HHHH -- -- ,O0O. ,O0 .HH ,O0 .HH -- -- (O000O)(O00 )H(O00 )H -- -- `O0O' `O0 'HH `O0 'HH -- -- HHHH HHHH Hynix -- -- HHHH HHHH Semiconductor -- ------------------------------------------------------ -- Modified by Gaisler Research to use GRLIB libraries -- and to load initial memory data from a file. --------------------------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; use std.textio.all; library grlib, gaisler; use grlib.stdlib.all; use grlib.stdio.all; --USE IEEE.STD_LOGIC_ARITH.all; --USE IEEE.STD_LOGIC_UNSIGNED.all; USE work.HY5PS121621F_PACK.all; --------------------------------------------------------------------------------------------------- Entity HY5PS121621F Is generic ( TimingCheckFlag : boolean := TRUE; PUSCheckFlag : boolean := FALSE; Part_Number : PART_NUM_TYPE := B400; index : integer := 0; bbits : natural := 64; fname : string := "ram.srec"; fdelay : integer := 0); Port ( DQ : inout std_logic_vector(15 downto 0) := (others => 'Z'); LDQS : inout std_logic := 'Z'; LDQSB : inout std_logic := 'Z'; UDQS : inout std_logic := 'Z'; UDQSB : inout std_logic := 'Z'; LDM : in std_logic; WEB : in std_logic; CASB : in std_logic; RASB : in std_logic; CSB : in std_logic; BA : in std_logic_vector(1 downto 0); ADDR : in std_logic_vector(12 downto 0); CKE : in std_logic; CLK : in std_logic; CLKB : in std_logic; UDM : in std_logic ); End HY5PS121621F; ----------------------------------------------------------------------------------------------------- Architecture Behavioral_Model_HY5PS121621F Of HY5PS121621F Is signal RD_PIPE_REG : std_logic_vector(6 downto 0) := "0000000"; signal WT_PIPE_REG : std_logic_vector(12 downto 0) := "0000000000000"; signal ADD_PIPE_REG : ADD_PIPE_TYPE; signal DLL_reset, DLL_lock_enable : std_logic := '0'; signal yburst, RD_WR_ST, caspwt, casp6_rd, casp6_wt : std_logic := '0'; signal casp_wtI, casp_wtII, wt_stdby : std_logic := '0'; signal udspre_enable, ldspre_enable, udsh_dsl_enable, ldsh_dsl_enable : std_logic := '0'; signal dq_bufferH, dq_bufferL : DATA_BUFFER_TYPE := ("0ZZZZZZZZ", "0ZZZZZZZZ", "0ZZZZZZZZ", "0ZZZZZZZZ", "0ZZZZZZZZ", "0ZZZZZZZZ", "0ZZZZZZZZ"); signal DQS_S : std_logic := 'Z'; signal dqs_count : integer := 0; signal dqs_pulse1, dqs_pulse2, dqs_pulse3, dqs_pulse4, dqs_pulse5, dqs_pulse6 : std_logic := '0'; signal cur_time : time := 0 ns; signal Ref_time, clk_cycle_rising : time := 0 ns; signal tmp_act_trans0, tmp_act_trans1, tmp_act_trans2, tmp_act_trans3 : std_logic := '0'; signal mrs_cmd_in : std_logic := '0'; signal CKEN : CKE_TYPE := (others => '0'); signal CLK_DLY2, CLK_DLY1, CLK_DLY15 : std_logic := '0'; signal tmp_ref_addr1 : std_logic_vector((NUM_OF_ROW_ADD - 1) downto 0) := (others => '0'); signal tmp_ref_addr2 : std_logic_vector((NUM_OF_ROW_ADD + 1) downto 0) := (others => '0'); signal tmp_ref_addr3_B0 : std_logic_vector((NUM_OF_ROW_ADD - 1) downto 0) := (others => '0'); signal tmp_ref_addr3_B1 : std_logic_vector((NUM_OF_ROW_ADD - 1) downto 0) := (others => '0'); signal tmp_ref_addr3_B2 : std_logic_vector((NUM_OF_ROW_ADD - 1) downto 0) := (others => '0'); signal tmp_ref_addr3_B3 : std_logic_vector((NUM_OF_ROW_ADD - 1) downto 0) := (others => '0'); signal tmp_ref_addr3_0, tmp_ref_addr3_1, tmp_ref_addr3_2, tmp_ref_addr3_3 : std_logic := '0'; signal tmp_ref_addr1_trans, tmp_ref_addr2_trans, tmp_ref_addr3_trans : std_logic := '0'; signal RefChkTimeInit : boolean := FALSE; signal refresh_check : REF_CHECK; signal real_col_addr : COL_ADDR_TYPE ; signal Read_CA, Write_CA : std_logic := '0'; signal tmp_w_trans0, tmp_w_trans1, tmp_w_trans2, tmp_w_trans3 : std_logic := '0'; signal RA_Activated_B0 : std_logic_vector ((NUM_OF_ROW_ADD - 1) downto 0) := (others => 'U'); signal RA_Activated_B1 : std_logic_vector ((NUM_OF_ROW_ADD - 1) downto 0) := (others => 'U'); signal RA_Activated_B2 : std_logic_vector ((NUM_OF_ROW_ADD - 1) downto 0) := (others => 'U'); signal RA_Activated_B3 : std_logic_vector ((NUM_OF_ROW_ADD - 1) downto 0) := (others => 'U'); signal SA_ARRAY : SA_ARRAY_TYPE; signal SA_ARRAY_A0 : SA_TYPE; signal SA_ARRAY_A1 : SA_TYPE; signal SA_ARRAY_A2 : SA_TYPE; signal SA_ARRAY_A3 : SA_TYPE; signal SA_ARRAY_W0 : SA_TYPE; signal SA_ARRAY_W1 : SA_TYPE; signal SA_ARRAY_W2 : SA_TYPE; signal SA_ARRAY_W3 : SA_TYPE; signal PcgPdExtFlag, ActPdExtFlag, SlowActPdExtFlag : boolean := FALSE; signal PUSPCGAFlag1, PUSPCGAFlag2 : boolean := FALSE; signal PUS_DLL_RESET : boolean := FALSE; signal ModeRegisterSetFlag : boolean := FALSE; signal ModeRegisterFlag : boolean := FALSE; signal BankActivateFlag : boolean := FALSE; signal BankActivateFinFlag : boolean := FALSE; signal BankActivatedFlag : std_logic_vector ((NUM_OF_BANKS - 1) downto 0) := (others => '0'); signal PcgPdFlag, ReadFlag : boolean := FALSE; signal WriteFlag : boolean := FALSE; signal DataBuffer : BUFFER_TYPE; signal PrechargeFlag : boolean := FALSE; signal AutoPrechargeFlag : std_logic_vector ((NUM_OF_BANKS - 1) downto 0) := (others => '0'); signal PrechargeFinFlag : boolean := FALSE; signal PrechargeAllFlag : boolean := FALSE; signal PrechargeAllFinFlag : boolean := FALSE; signal ReadFinFlag : boolean := FALSE; signal WriteFinFlag : boolean := FALSE; signal AutoRefFlag : boolean := FALSE; signal SelfRefFlag : boolean := FALSE; signal SelfRefExt2NRFlag, SelfRefExt2RDFlag : boolean := FALSE; signal PUSCheckFinFlag : boolean := FALSE; signal CurrentState : STATE_TYPE := PWRUP; signal ModeRegister : MODE_REGISTER := ( CAS_LATENCY => 2, BURST_MODE => SEQUENTIAL, BURST_LENGTH => 4, DLL_STATE => NORST, SAPD => '0', TWR => 2 ); signal ExtModeRegister : EMR_TYPE := ( DLL_EN => '0', AL => 0, QOFF => '0', DQSB_ENB => '0', RDQS_EN => '0', OCD_PGM => CAL_EXIT ); signal ExtModeRegister2 : EMR2_TYPE := ( SREF_HOT => '0' ); signal last_ocd_adjust_cmd, clk_cycle : time := 0 ns; signal clk_cycle_count: integer := 0; signal clk_last_rising : time := 0 ns; signal cke_last_rising : time := 0 ns; signal clk_last_falling : time := 0 ns; signal udqs_last_rising : time := 0 ns; signal udqs_last_falling : time := 0 ns; signal ldqs_last_rising : time := 0 ns; signal ldqs_last_falling : time := 0 ns; signal wr_cmd_time : time := 0 ns; signal ldm_last_rising : time := 0 ns; signal udm_last_rising : time := 0 ns; signal b0_last_activate : time := 0 ns; signal b1_last_activate : time := 0 ns; signal b2_last_activate : time := 0 ns; signal b3_last_activate : time := 0 ns; signal b0_last_precharge : time := 0 ns; signal b1_last_precharge : time := 0 ns; signal b2_last_precharge : time := 0 ns; signal b3_last_precharge : time := 0 ns; signal b0_last_column_access : time := 0 ns; signal b1_last_column_access : time := 0 ns; signal b2_last_column_access : time := 0 ns; signal b3_last_column_access : time := 0 ns; signal b0_last_column_access_cycle : integer := -100; signal b1_last_column_access_cycle : integer := -100; signal b2_last_column_access_cycle : integer := -100; signal b3_last_column_access_cycle : integer := -100; signal b0_last_data_in : time := 0 ns; signal b1_last_data_in : time := 0 ns; signal b2_last_data_in : time := 0 ns; signal b3_last_data_in : time := 0 ns; signal last_mrs_set : time := 0 ns; signal last_mrs_set_cycle: integer := -100; signal last_aref : time := 0 ns; signal tCH : time := 0 ns; signal tCL : time := 0 ns; signal tWPRE : time := 0 ns; signal tRAS, tRCD, tRP, tRC, tCCD : time := 0 ns; signal tCCD_cycles: positive; signal tWTR : time := 0 ns; signal tDQSH : time := 0 ns; signal tDQSL : time := 0 ns; signal tWPSTmin : time := 0 ns; signal tWPSTmax : time := 0 ns; signal tDQSSmin : time := 0 ns; signal tDQSSmax : time := 0 ns; signal tMRD : time := 0 ns; signal tMRD_cycles: positive; signal cke_ch : time := 0 ns; signal rasb_ch : time := 0 ns; signal casb_ch : time := 0 ns; signal web_ch : time := 0 ns; signal csb_ch : time := 0 ns; signal udm_ch : time := 0 ns; signal ldm_ch : time := 0 ns; signal a0_ch : time := 0 ns; signal a1_ch : time := 0 ns; signal a2_ch : time := 0 ns; signal a3_ch : time := 0 ns; signal a4_ch : time := 0 ns; signal a5_ch : time := 0 ns; signal a6_ch : time := 0 ns; signal a7_ch : time := 0 ns; signal a8_ch : time := 0 ns; signal a9_ch : time := 0 ns; signal a10_ch : time := 0 ns; signal a11_ch : time := 0 ns; signal a12_ch : time := 0 ns; signal ba0_ch : time := 0 ns; signal ba1_ch : time := 0 ns; signal dq0_ch : time := 0 ns; signal dq1_ch : time := 0 ns; signal dq2_ch : time := 0 ns; signal dq3_ch : time := 0 ns; signal dq4_ch : time := 0 ns; signal dq5_ch : time := 0 ns; signal dq6_ch : time := 0 ns; signal dq7_ch : time := 0 ns; signal dq8_ch : time := 0 ns; signal dq9_ch : time := 0 ns; signal dq10_ch : time := 0 ns; signal dq11_ch : time := 0 ns; signal dq12_ch : time := 0 ns; signal dq13_ch : time := 0 ns; signal dq14_ch : time := 0 ns; signal dq15_ch : time := 0 ns; signal load_file : std_ulogic := '1'; begin ----------------------------------------------------------------------------------------------------- CLK_CYCLE_CHECK : process(CLK) begin CLK_DLY15 <= transport CLK after 1.5 ns; CLK_DLY1 <= transport CLK after 1 ns; CLK_DLY2 <= transport CLK after 2 ns; if (rising_edge(CLK)) then clk_cycle <= transport now - clk_cycle_rising; clk_cycle_rising <= transport now; clk_cycle_count <= transport (clk_cycle_count+1) mod 2000000000; end if; end Process; ----------------------------------------------------------------------------------------------------- REFRESH_TIME_CHECK : process(tmp_ref_addr1_trans, tmp_ref_addr2_trans, tmp_ref_addr3_trans) variable i, j : integer := 0; begin i := 0; j := 0; if (RefChkTimeInit = FALSE) then loop exit when (i > NUM_OF_BANKS - 1); j := 0; loop exit when (j >= NUM_OF_ROWS); refresh_check (i, j) <= 0 ns; j := j + 1; end loop; i := i + 1; end loop; RefChkTimeInit <= TRUE; end if; if (tmp_ref_addr1_trans'event and tmp_ref_addr1_trans = '1') then refresh_check (0, conv_integer(tmp_ref_addr1)) <= transport now; refresh_check (1, conv_integer(tmp_ref_addr1)) <= transport now; refresh_check (2, conv_integer(tmp_ref_addr1)) <= transport now; refresh_check (3, conv_integer(tmp_ref_addr1)) <= transport now; end if; if (tmp_ref_addr2_trans'event and tmp_ref_addr2_trans = '1') then refresh_check (conv_integer(tmp_ref_addr2(1 downto 0)), conv_integer(tmp_ref_addr2((NUM_OF_ROW_ADD + 1) downto 2))) <= transport now; end if; if (tmp_ref_addr3_trans'event and tmp_ref_addr3_trans = '1') then if (tmp_ref_addr3_0 = '1') then refresh_check (0, conv_integer(tmp_ref_addr3_B0)) <= transport now; end if; if (tmp_ref_addr3_1 = '1') then refresh_check (1, conv_integer(tmp_ref_addr3_B1)) <= transport now; end if; if (tmp_ref_addr3_2 = '1') then refresh_check (2, conv_integer(tmp_ref_addr3_B2)) <= transport now; end if; if (tmp_ref_addr3_3 = '1') then refresh_check (3, conv_integer(tmp_ref_addr3_B3)) <= transport now; end if; end if; end process; ----------------------------------------------------------------------------------------------------- CKE_EVAL : process (CLK, CKE) begin if (CKE'EVENT and CKE = '1' and CKE'LAST_VALUE = '0') then cke_last_rising <= transport now; end if; if (CLK'EVENT and CLK = '0' and CLK'LAST_VALUE = '1') then CKEN(-1) <= CKEN(0); elsif (CLK'EVENT and CLK = '1' and CLK'LAST_VALUE = '0') then CKEN(0) <= CKE; end if; end process; ----------------------------------------------------------------------------------------------------- STATE_MACHINE : process (CLK, CKE, BankActivateFinFlag, PrechargeFinFlag, PrechargeAllFinFlag, BankActivatedFlag, PUSCheckFinFlag) variable ChipSelectBar : std_logic := '0'; variable RowAddrStrobeBar : std_logic := '0'; variable ColAddrStrobeBar : std_logic := '0'; variable WriteEnableBar : std_logic := '0'; variable Address10 : std_logic := '0'; variable ClockEnable : CKE_TYPE := (others => '0'); variable NextState, Cur_State : STATE_TYPE := PWRUP; variable CurrentCommand : COMMAND_TYPE := NOP; variable OpCode : MROPCODE_TYPE := (others => 'X'); variable MR : MODE_REGISTER; variable EMR : EMR_TYPE; variable EMR2 : EMR2_TYPE; variable BkAdd : std_logic_vector((NUM_OF_BANK_ADD - 1) downto 0) := (others => 'X'); variable BankActFlag : std_logic_vector((NUM_OF_BANKS - 1) downto 0) := (others => '0'); begin if (CLK'EVENT and CLK = '1' and CLK'LAST_VALUE = '0') then ClockEnable(-1) := CKEN(-1); ClockEnable(0) := CKE; ChipSelectBar := CSB; RowAddrStrobeBar := RASB; ColAddrStrobeBar := CASB; WriteEnableBar := WEB; Address10 := ADDR(10); BkAdd := BA; BankActFlag := BankActivatedFlag; Cur_State := CurrentState; COMMAND_DECODE (ChipSelectBar, RowAddrStrobeBar, ColAddrStrobeBar, WriteEnableBar, Address10, BkAdd, ClockEnable, CurrentCommand, BankActFlag, Cur_State); if (DLL_reset = '1' and (CurrentCommand = RD or CurrentCommand = RDAP)) then if (TimingCheckFlag = TRUE) then assert false report "ERROR : (DLL Locking) : 200 clock cycles are needed after DLL reset." severity ERROR; end if; end if; Case CurrentState Is When IDLE => Case CurrentCommand Is When DSEL => NextState := IDLE; When NOP => NextState := IDLE; When ACT => if (TimingCheckFlag = TRUE) then assert (PcgPdExtFlag = FALSE) report "WARNING : (tXP_CHECK) : tXP timing error!" severity WARNING; assert (now - last_aref >= tRFC) report "WARNING : (tRFC_CHECK) : tRFC timing error!" severity WARNING; assert (SelfRefExt2NRFlag /= TRUE) report "ERROR : (tXSNR_CHECK) : Needs tXSNR Timing after Self Refresh." severity error; end if; BankActivateFlag <= TRUE; NextState := RACT; When PCG => if (TimingCheckFlag = TRUE) then assert (SelfRefExt2NRFlag /= TRUE) report "ERROR : (tXSNR_CHECK) : Needs tXSNR Timing after Self Refresh." severity error; assert (PcgPdExtFlag = FALSE) report "WARNING : (tXP_CHECK) : tXP timing error!" severity WARNING; end if; NextState := IDLE; When PCGA => if (TimingCheckFlag = TRUE) then assert (SelfRefExt2NRFlag /= TRUE) report "ERROR : (tXSNR_CHECK) : Needs tXSNR Timing after Self Refresh." severity error; assert (PcgPdExtFlag = FALSE) report "WARNING : (tXP_CHECK) : tXP timing error!" severity WARNING; end if; NextState := IDLE; When AREF => if (TimingCheckFlag = TRUE) then assert (PcgPdExtFlag = FALSE) report "WARNING : (tXP_CHECK) : tXP timing error!" severity WARNING; assert (SelfRefExt2NRFlag /= TRUE) report "ERROR : (tXSNR_CHECK) : Needs tXSNR Timing after Self Refresh." severity error; assert (now - last_aref >= tRFC) report "WARNING : (tRFC_CHECK) : tRFC timing error!" severity WARNING; end if; last_aref <= transport now after 1 ns; AutoRefFlag <= TRUE, FALSE after 2 ns; NextState := IDLE; When SREF => if (TimingCheckFlag = TRUE) then assert (PcgPdExtFlag = FALSE) report "WARNING : (tXP_CHECK) : tXP timing error!" severity WARNING; assert (SelfRefExt2NRFlag /= TRUE) report "ERROR : (tXSNR_CHECK) : Needs tXSNR Timing after Self Refresh." severity error; end if; SelfRefFlag <= TRUE; NextState := SLFREF; When PDEN => if (TimingCheckFlag = TRUE) then assert (PcgPdExtFlag = FALSE) report "WARNING : (tXP_CHECK) : tXP timing error!" severity WARNING; assert (SelfRefExt2NRFlag /= TRUE) report "ERROR : (tXSNR_CHECK) : Needs tXSNR Timing after Self Refresh." severity error; end if; PcgPdFlag <= TRUE; NextState := PWRDN; When EMRS3 => NextState := IDLE; mrs_cmd_in <= transport '1', '0' after 2 ns; When EMRS1 => OpCode := ADDR(12 downto 0); EXT_MODE_REGISTER_SET (OpCode, EMR); ExtModeRegister <= EMR; NextState := IDLE; if (ADDR(0) = '0') then DLL_lock_enable <= '1'; end if; mrs_cmd_in <= transport '1', '0' after 2 ns; When EMRS2 => OpCode := ADDR(12 downto 0); EXT_MODE_REGISTER_SET2 (OpCode, EMR2); ExtModeRegister2 <= EMR2; NextState := IDLE; mrs_cmd_in <= transport '1', '0' after 2 ns; When MRS => if (TimingCheckFlag = TRUE) then assert (PcgPdExtFlag = FALSE) report "WARNING : (tXP_CHECK) : tXP timing error!" severity WARNING; assert (SelfRefExt2NRFlag /= TRUE) report "ERROR : (tXSNR_CHECK) : Needs tXSNR Timing after Self Refresh." severity error; end if; OpCode := ADDR(12 downto 0); MODE_REGISTER_SET (OpCode, MR); ModeRegister <= MR; ModeRegisterSetFlag <= TRUE; if (ADDR(8) = '1') then DLL_reset <= transport '1', '0' after 200 * clk_cycle; end if; NextState := IDLE; mrs_cmd_in <= transport '1', '0' after 2 ns; When others => assert false report "WARNING : (STATE_MACHINE) : Illegal Command Issued. Command Ignored." severity warning; NextState := IDLE; End Case; When PWRUP => Case CurrentCommand Is When DSEL => if (PUSCheckFlag = TRUE) then NextState := PWRUP; else NextState := IDLE; end if; When NOP => if (PUSCheckFlag = TRUE) then NextState := PWRUP; else NextState := IDLE; end if; When EMRS3 => NextState := PWRUP; mrs_cmd_in <= transport '1', '0' after 2 ns; When EMRS1 => if (TimingCheckFlag = TRUE and PUSCheckFlag = TRUE) then assert (PUSPCGAFlag1 = TRUE) report "ERROR : (Power Up Sequence) : PCGA Command must be issued before EMRS setting!" severity error; end if; OpCode := ADDR(12 downto 0); EXT_MODE_REGISTER_SET (OpCode, EMR); ExtModeRegister <= EMR; NextState := PWRUP; if (ADDR(0) = '0') then DLL_lock_enable <= '1'; end if; mrs_cmd_in <= transport '1', '0' after 2 ns; When EMRS2 => OpCode := ADDR(12 downto 0); EXT_MODE_REGISTER_SET2 (OpCode, EMR2); ExtModeRegister2 <= EMR2; NextState := PWRUP; mrs_cmd_in <= transport '1', '0' after 2 ns; When MRS => if (TimingCheckFlag = TRUE) then assert (DLL_lock_enable = '1') report "WARNING : (STATE_MACHINE) : EMRS Command (with DLL enable flag) Must be Issued before MRS Command !" severity warning; end if; OpCode := ADDR(12 downto 0); MODE_REGISTER_SET (OpCode, MR); ModeRegister <= MR; ModeRegisterSetFlag <= TRUE; NextState := PWRUP; if (ADDR(8) = '1') then DLL_reset <= transport '1', '0' after 200 * clk_cycle; end if; mrs_cmd_in <= transport '1', '0' after 2 ns; When PCGA => PrechargeAllFlag <= TRUE; NextState := PWRUP; When AREF => AutoRefFlag <= TRUE, FALSE after 2 ns; if (PUSCheckFinFlag = TRUE) then NextState := IDLE; else NextState := PWRUP; end if; last_aref <= transport now after 1 ns; When others => assert false report "ERROR : (STATE_MACHINE) : Invalid Command Issued during Power Up Sequence." severity error; End Case; When PWRDN => Case CurrentCommand Is When NOP => NextState := PWRDN; When PDEX => if (PcgPdFlag = TRUE) then PcgPdExtFlag <= transport TRUE, FALSE after tXP * clk_cycle; PcgPdFlag <= FALSE; NextState := IDLE; elsif (ModeRegister.SAPD = '0') then ActPdExtFlag <= transport TRUE, FALSE after tXARD * clk_cycle; NextState := RACT; elsif (ModeRegister.SAPD = '1') then SlowActPdExtFlag <= transport TRUE, FALSE after (6 - ExtModeRegister.AL) * clk_cycle; NextState := RACT; end if; When others => assert false report "WARNING : (STATE_MACHINE) : Illegal Command Issued. Command Ignored." severity warning; NextState := PWRDN; End Case; When SLFREF => Case CurrentCommand Is When NOP => NextState := SLFREF; When SREX => SelfRefExt2NRFlag <= transport TRUE, FALSE after tXSNR; SelfRefExt2RDFlag <= transport TRUE, FALSE after tXSRD * clk_cycle; SelfRefFlag <= FALSE; NextState := IDLE; When others => assert false report "WARNING : (STATE_MACHINE) : Illegal Command Issued. Command Ignored." severity warning; NextState := SLFREF; End Case; When RACT => Case CurrentCommand Is When DSEL => NextState := RACT; When NOP => NextState := RACT; When RD => if (TimingCheckFlag = TRUE) then assert (SelfRefExt2RDFlag /= TRUE) report "ERROR : (tXSRD_CHECK) : Needs tXSRD Timing after Self Refresh." severity error; assert (ActPdExtFlag = FALSE) report "WARNING : (tXARD_CHECK) : tXARD timing error!" severity WARNING; assert (SlowActPdExtFlag = FALSE) report "WARNING : (tXARDS_CHECK) : tXARDS timing error!" severity WARNING; end if; Read_CA <= '1', '0' after 2 ns; NextState := READ; When RDAP => if (TimingCheckFlag = TRUE) then assert (SelfRefExt2RDFlag /= TRUE) report "ERROR : (tXSRD_CHECK) : Needs tXSRD Timing after Self Refresh." severity error; assert (ActPdExtFlag = FALSE) report "WARNING : (tXARD_CHECK) : tXARD timing error!" severity WARNING; assert (SlowActPdExtFlag = FALSE) report "WARNING : (tXARDS_CHECK) : tXARDS timing error!" severity WARNING; end if; AutoPrechargeFlag(conv_integer(BkAdd)) <= transport '1' after (ExtModeRegister.AL + ModeRegister.BURST_LENGTH/2 - 2) * clk_cycle + tRTP, '0' after (ExtModeRegister.AL + ModeRegister.BURST_LENGTH/2 - 2) * clk_cycle + tRTP + 2 ns; Read_CA <= '1', '0' after 2 ns; NextState := READ; When WR => Write_CA <= '1', '0' after 2 ns; NextState := WRITE; When WRAP => AutoPrechargeFlag(conv_integer(BkAdd)) <= transport '1' after (ExtModeRegister.AL + ModeRegister.CAS_LATENCY - 1 + ModeRegister.BURST_LENGTH/2 + ModeRegister.TWR) * clk_cycle, '0' after (ExtModeRegister.AL + ModeRegister.CAS_LATENCY - 1 + ModeRegister.BURST_LENGTH/2 + ModeRegister.TWR) * clk_cycle + 2 ns; Write_CA <= '1', '0' after 2 ns; NextState := WRITE; When ACT => BankActivateFlag <= TRUE; NextState := RACT; When PCG => PrechargeFlag <= TRUE; if ((BankActivatedFlag = "0001") or (BankActivatedFlag = "0010") or (BankActivatedFlag = "0100") or (BankActivatedFlag = "1000")) then NextState := IDLE; else NextState := RACT; end if; When PCGA => PrechargeAllFlag <= TRUE; NextState := IDLE; When PDEN => NextState := PWRDN; When others => assert false report "WARNING : (STATE_MACHINE) : Illegal Command Issued. Command Ignored." severity warning; NextState := RACT; End Case; When READ => Case CurrentCommand Is When DSEL => NextState := READ; When NOP => NextState := READ; When RD => if (TimingCheckFlag = TRUE) then assert (SelfRefExt2RDFlag /= TRUE) report "ERROR : (tXSRD_CHECK) : Needs tXSRD Timing after Self Refresh." severity error; end if; Read_CA <= '1', '0' after 2 ns; NextState := READ; When RDAP => if (TimingCheckFlag = TRUE) then assert (SelfRefExt2RDFlag /= TRUE) report "ERROR : (tXSRD_CHECK) : Needs tXSRD Timing after Self Refresh." severity error; end if; AutoPrechargeFlag(conv_integer(BkAdd)) <= transport '1' after (ExtModeRegister.AL + ModeRegister.BURST_LENGTH/2 - 2) * clk_cycle + tRTP, '0' after (ExtModeRegister.AL + ModeRegister.BURST_LENGTH/2 - 2) * clk_cycle + tRTP + 2 ns; Read_CA <= '1', '0' after 2 ns; NextState := READ; When WR => Write_CA <= '1', '0' after 2 ns; NextState := WRITE; When WRAP => AutoPrechargeFlag(conv_integer(BkAdd)) <= transport '1' after (ExtModeRegister.AL + ModeRegister.CAS_LATENCY - 1 + ModeRegister.BURST_LENGTH/2 + ModeRegister.TWR) * clk_cycle, '0' after (ExtModeRegister.AL + ModeRegister.CAS_LATENCY - 1 + ModeRegister.BURST_LENGTH/2 + ModeRegister.TWR) * clk_cycle + 2 ns; Write_CA <= '1', '0' after 2 ns; NextState := WRITE; When ACT => BankActivateFlag <= TRUE; NextState := READ; When PCG => PrechargeFlag <= TRUE; NextState := READ; When PCGA => PrechargeAllFlag <= TRUE; NextState := READ; When others => assert false report "WARNING : (STATE_MACHINE) : Illegal Command Issued. Command Ignored." severity warning; NextState := READ; End Case; When WRITE => Case CurrentCommand Is When DSEL => NextState := WRITE; When NOP => NextState := WRITE; When RD => if (TimingCheckFlag = TRUE) then assert (SelfRefExt2RDFlag /= TRUE) report "ERROR : (tXSRD_CHECK) : Needs tXSRD Timing after Self Refresh." severity error; end if; Read_CA <= '1', '0' after 2 ns; NextState := READ; When RDAP => if (TimingCheckFlag = TRUE) then assert (SelfRefExt2RDFlag /= TRUE) report "ERROR : (tXSRD_CHECK) : Needs tXSRD Timing after Self Refresh." severity error; end if; AutoPrechargeFlag(conv_integer(BkAdd)) <= transport '1' after (ExtModeRegister.AL + ModeRegister.BURST_LENGTH/2 - 2) * clk_cycle + tRTP, '0' after (ExtModeRegister.AL + ModeRegister.BURST_LENGTH/2 - 2) * clk_cycle + tRTP + 2 ns; Read_CA <= '1', '0' after 2 ns; NextState := READ; When WR => Write_CA <= '1', '0' after 2 ns; NextState := WRITE; When WRAP => AutoPrechargeFlag(conv_integer(BkAdd)) <= transport '1' after (ExtModeRegister.AL + ModeRegister.CAS_LATENCY - 1 + ModeRegister.BURST_LENGTH/2 + ModeRegister.TWR) * clk_cycle, '0' after (ExtModeRegister.AL + ModeRegister.CAS_LATENCY - 1 + ModeRegister.BURST_LENGTH/2 + ModeRegister.TWR) * clk_cycle + 2 ns; Write_CA <= '1', '0' after 2 ns; NextState := WRITE; When ACT => BankActivateFlag <= TRUE; NextState := WRITE; When PCG => PrechargeFlag <= TRUE; NextState := WRITE; When PCGA => PrechargeAllFlag <= TRUE; NextState := WRITE; When others => assert false report "WARNING : (STATE_MACHINE) : Illegal Command Issued. Command Ignored." severity warning; NextState := WRITE; End Case; When others => assert false report "ERROR : (STATE_MACHINE) : Invalid Command Issued." severity error; End case; end if; if (BankActivateFinFlag = TRUE) then BankActivateFlag <= FALSE; end if; if (PrechargeFinFlag = TRUE) then PrechargeFlag <= FALSE; end if; if (PrechargeAllFinFlag = TRUE) then PrechargeAllFlag <= FALSE; end if; if (PUSCheckFinFlag'EVENT and PUSCheckFinFlag = TRUE) then NextState := IDLE; end if; if (BankActivatedFlag'EVENT and BankActivatedFlag /= BankActivatedFlag'LAST_VALUE) then if (BankActivatedFlag = "0000") then NextState := IDLE; else NextState := RACT; end if; end if; CurrentState <= NextState; end process; ----------------------------------------------------------------------------------------------------- MEMORY_BANK_ACTIVATE_PRECHARGE : process (PrechargeFlag, AutoPrechargeFlag, PrechargeAllFlag, BankActivateFlag, CLK) variable BkAdd : std_logic_vector ((NUM_OF_BANK_ADD - 1) downto 0) := (others => 'X'); variable RA : std_logic_vector ((NUM_OF_ROW_ADD - 1) downto 0) := (others => 'X'); variable COLA : std_logic_vector ((NUM_OF_COL_ADD-1) downto 0) := (others => '0'); variable MEM_CELL_ARRAY0, MEM_CELL_ARRAY1, MEM_CELL_ARRAY2, MEM_CELL_ARRAY3 : MEM_CELL_TYPE; variable i, j, k, l, m, u : integer := 0; file fload : text open read_mode is fname; variable fline : line; variable fchar : character; variable rtype : std_logic_vector(3 downto 0); variable raddr : std_logic_vector(31 downto 0); variable rlen : std_logic_vector(7 downto 0); variable rdata : std_logic_vector(0 to 16*8-1); begin -- Load initial memory data from file if (now >= (fdelay * 1 us)) and (load_file = '1') then load_file <= '0'; while not endfile(fload) loop readline(fload, fline); read(fline, fchar); if fchar /= 'S' or fchar /= 's' then hread(fline, rtype); hread(fline, rlen); case rtype is when "0001" => hread(fline, raddr(15 downto 0)); raddr(31 downto 16) := (others => '0'); when "0010" => hread(fline, raddr(23 downto 0)); raddr(31 downto 24) := (others => '0'); when "0011" => hread(fline, raddr); raddr(31 downto 24) := (others => '0'); when others => next; end case; case bbits is -- 64 bit bank with four 16-bit... when 64 => hread(fline, rdata); BkAdd := raddr(27 downto 26); RA := raddr(25 downto 13); COLA := raddr(12 downto 3); if (conv_integer (BkAdd) = 0) then if (MEM_CELL_ARRAY0(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY0(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY0(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; for i in 0 to 1 loop MEM_CELL_ARRAY0(conv_integer (RA))(conv_integer(COLA)+i) := conv_integer(rdata(i*64+index*16 to i*64+index*16+15)); end loop; elsif (conv_integer (BkAdd) = 1) then if (MEM_CELL_ARRAY1(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY1(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY1(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; for i in 0 to 1 loop MEM_CELL_ARRAY1(conv_integer (RA))(conv_integer(COLA)+i) := conv_integer(rdata(i*64+index*16 to i*64+index*16+15)); end loop; elsif (conv_integer (BkAdd) = 2) then if (MEM_CELL_ARRAY2(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY2(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY2(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; for i in 0 to 1 loop MEM_CELL_ARRAY2(conv_integer (RA))(conv_integer(COLA)+i) := conv_integer(rdata(i*64+index*16 to i*64+index*16+15)); end loop; elsif (conv_integer (BkAdd) = 3) then if (MEM_CELL_ARRAY3(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY3(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY3(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; for i in 0 to 1 loop MEM_CELL_ARRAY3(conv_integer (RA))(conv_integer(COLA)+i) := conv_integer(rdata(i*64+index*16 to i*64+index*16+15)); end loop; end if; -- 32 bit bank with two 16-bit... when 32 => hread(fline, rdata); BkAdd := raddr(26 downto 25); RA := raddr(24 downto 12); COLA := raddr(11 downto 2); if (conv_integer (BkAdd) = 0) then if (MEM_CELL_ARRAY0(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY0(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY0(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; for i in 0 to 3 loop MEM_CELL_ARRAY0(conv_integer (RA))(conv_integer(COLA)+i) := conv_integer(rdata(i*32+index*16 to i*32+index*16+15)); end loop; elsif (conv_integer (BkAdd) = 1) then if (MEM_CELL_ARRAY1(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY1(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY1(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; for i in 0 to 3 loop MEM_CELL_ARRAY1(conv_integer (RA))(conv_integer(COLA)+i) := conv_integer(rdata(i*32+index*16 to i*32+index*16+15)); end loop; elsif (conv_integer (BkAdd) = 2) then if (MEM_CELL_ARRAY2(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY2(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY2(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; for i in 0 to 3 loop MEM_CELL_ARRAY2(conv_integer (RA))(conv_integer(COLA)+i) := conv_integer(rdata(i*32+index*16 to i*32+index*16+15)); end loop; elsif (conv_integer (BkAdd) = 3) then if (MEM_CELL_ARRAY3(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY3(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY3(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; for i in 0 to 3 loop MEM_CELL_ARRAY3(conv_integer (RA))(conv_integer(COLA)+i) := conv_integer(rdata(i*32+index*16 to i*32+index*16+15)); end loop; end if; -- 16 bit bank with one 16-bit... when others => hread(fline, rdata); BkAdd := raddr(25 downto 24); RA := raddr(23 downto 11); COLA := raddr(10 downto 1); if (conv_integer (BkAdd) = 0) then if (MEM_CELL_ARRAY0(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY0(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY0(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; for i in 0 to 3 loop MEM_CELL_ARRAY0(conv_integer (RA))(conv_integer(COLA)+i*2) := conv_integer(rdata(i*32 to i*32+15)); MEM_CELL_ARRAY0(conv_integer (RA))(conv_integer(COLA)+i*2+1) := conv_integer(rdata(i*32+16 to i*32+31)); end loop; elsif (conv_integer (BkAdd) = 1) then if (MEM_CELL_ARRAY1(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY1(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY1(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; for i in 0 to 3 loop MEM_CELL_ARRAY1(conv_integer (RA))(conv_integer(COLA)+i*2) := conv_integer(rdata(i*32 to i*32+15)); MEM_CELL_ARRAY1(conv_integer (RA))(conv_integer(COLA)+i*2+1) := conv_integer(rdata(i*32+16 to i*32+31)); end loop; elsif (conv_integer (BkAdd) = 2) then if (MEM_CELL_ARRAY2(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY2(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY2(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; for i in 0 to 3 loop MEM_CELL_ARRAY2(conv_integer (RA))(conv_integer(COLA)+i*2) := conv_integer(rdata(i*32 to i*32+15)); MEM_CELL_ARRAY2(conv_integer (RA))(conv_integer(COLA)+i*2+1) := conv_integer(rdata(i*32+16 to i*32+31)); end loop; elsif (conv_integer (BkAdd) = 3) then if (MEM_CELL_ARRAY3(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY3(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY3(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; for i in 0 to 3 loop MEM_CELL_ARRAY3(conv_integer (RA))(conv_integer(COLA)+i*2) := conv_integer(rdata(i*32 to i*32+15)); MEM_CELL_ARRAY3(conv_integer (RA))(conv_integer(COLA)+i*2+1) := conv_integer(rdata(i*32+16 to i*32+31)); end loop; end if; end case; u := 0; i := 0; end if; end loop; end if; if (CLK'EVENT and CLK = '0') then if ((BankActivateFlag = TRUE) or ((BankActivateFlag = FALSE) and (tmp_act_trans0 = '1'))) Then tmp_act_trans0 <= '0'; end if; if ((BankActivateFlag = TRUE) or ((BankActivateFlag = FALSE) and (tmp_act_trans1 = '1'))) Then tmp_act_trans1 <= '0'; end if; if ((BankActivateFlag = TRUE) or ((BankActivateFlag = FALSE) and (tmp_act_trans2 = '1'))) Then tmp_act_trans2 <= '0'; end if; if ((BankActivateFlag = TRUE) or ((BankActivateFlag = FALSE) and (tmp_act_trans3 = '1'))) Then tmp_act_trans3 <= '0'; end if; end if; if (BankActivateFlag'EVENT and BankActivateFlag = TRUE) then BkAdd := (BA); RA := ADDR(NUM_OF_ROW_ADD - 1 downto 0); BankActivatedFlag(conv_integer(BkAdd)) <= '1'; i := 0; j := 0; u := 0; if (BankActivatedFlag (conv_integer (BkAdd)) = '1') then assert false report "WARNING : (MEMORY_BANK_ACTIVATE) : Activating same bank without precharge. Command Ignored." severity warning; BankActivateFinFlag <= TRUE, FALSE after 2 ns; elsif (BankActivatedFlag (conv_integer (BkAdd)) = '0') then if (TimingCheckFlag = TRUE) then if (now - refresh_check(conv_integer(BkAdd), conv_integer(RA)) > tREF) then assert false report "WARNING : (REFRESH_INTERVAL) : Refresh Interval Exceeds 64ms. So, This Row's Data Is Lost." severity warning; end if; end if; case BkAdd is when "00" => b0_last_activate <= transport now; RA_Activated_B0 <= RA; when "01" => b1_last_activate <= transport now; RA_Activated_B1 <= RA; when "10" => b2_last_activate <= transport now; RA_Activated_B2 <= RA; when "11" => b3_last_activate <= transport now; RA_Activated_B3 <= RA; when others => assert false report "WARNING : (MEMORY_REFRESH) : Impossible Bank Address" severity warning; end case; if (conv_integer (BkAdd) = 0) then if (MEM_CELL_ARRAY0(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY0(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY0(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; loop exit when i >= NUM_OF_COLS; SA_ARRAY_A0(i) <= MEM_CELL_ARRAY0(conv_integer (RA))(i); i := i + 1; end loop; tmp_act_trans0 <= '1'; elsif (conv_integer (BkAdd) = 1) then if (MEM_CELL_ARRAY1(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY1(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY1(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; loop exit when i >= NUM_OF_COLS; SA_ARRAY_A1(i) <= MEM_CELL_ARRAY1(conv_integer (RA))(i); i := i + 1; end loop; tmp_act_trans1 <= '1'; elsif (conv_integer (BkAdd) = 2) then if (MEM_CELL_ARRAY2(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY2(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY2(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; loop exit when i >= NUM_OF_COLS; SA_ARRAY_A2(i) <= MEM_CELL_ARRAY2(conv_integer (RA))(i); i := i + 1; end loop; tmp_act_trans2 <= '1'; elsif (conv_integer (BkAdd) = 3) then if (MEM_CELL_ARRAY3(conv_integer (RA)) = NULL) then MEM_CELL_ARRAY3(conv_integer (RA)) := NEW ROW_DATA_TYPE; loop exit when u >= NUM_OF_COLS; MEM_CELL_ARRAY3(conv_integer (RA))(u) := 0; u := u + 1; end loop; end if; loop exit when i >= NUM_OF_COLS; SA_ARRAY_A3(i) <= MEM_CELL_ARRAY3(conv_integer (RA))(i); i := i + 1; end loop; tmp_act_trans3 <= '1'; end if; BankActivateFinFlag <= TRUE, FALSE after 2 ns; else end if; end if; if ((PrechargeFlag'EVENT and PrechargeFlag = TRUE) or (AutoPrechargeFlag(0)'EVENT and AutoPrechargeFlag(0) = '1') or (AutoPrechargeFlag(1)'EVENT and AutoPrechargeFlag(1) = '1') or (AutoPrechargeFlag(2)'EVENT and AutoPrechargeFlag(2) = '1') or (AutoPrechargeFlag(3)'EVENT and AutoPrechargeFlag(3) = '1')) then i := 0; j := 0; if (PrechargeFlag = TRUE) then BkAdd := (BA); elsif (AutoPrechargeFlag(0) = '1') then BkAdd := "00"; elsif (AutoPrechargeFlag(1) = '1') then BkAdd := "01"; elsif (AutoPrechargeFlag(2) = '1') then BkAdd := "10"; elsif (AutoPrechargeFlag(3) = '1') then BkAdd := "11"; end if; if (BkAdd = "00") then if (AutoPrechargeFlag(0) = '1' and (now - b0_last_activate) < tRAS) then b0_last_precharge <= transport (tRAS + b0_last_activate) after 1 ns; else b0_last_precharge <= transport now after 1 ns; end if; if (BankActivatedFlag (0) /= '1') then assert false report "WARNING : (MEMORY_PRECHARGE) : Precharging deactivated bank." severity warning; else RA := RA_Activated_B0; i := 0; loop exit when i >= NUM_OF_COLS; MEM_CELL_ARRAY0(conv_integer (RA))(i) := SA_ARRAY (conv_integer(BkAdd))(i); i := i + 1; end loop; if (AutoPrechargeFlag(0) = '1' and (now - b0_last_activate) < tRAS) then BankActivatedFlag (0) <= transport '0' after (tRAS - (now - b0_last_activate)); else BankActivatedFlag (0) <= '0'; end if; tmp_ref_addr2 <= RA&"00"; end if; elsif (BkAdd = "01") then if (AutoPrechargeFlag(1) = '1' and (now - b1_last_activate) < tRAS) then b1_last_precharge <= transport (tRAS + b1_last_activate) after 1 ns; else b1_last_precharge <= transport now after 1 ns; end if; if (BankActivatedFlag (1) /= '1') then assert false report "WARNING : (MEMORY_PRECHARGE) : Precharging deactivated bank." severity warning; else RA := RA_Activated_B1; i := 0; loop exit when i >= NUM_OF_COLS; MEM_CELL_ARRAY1(conv_integer (RA))(i) := SA_ARRAY (conv_integer(BkAdd))(i); i := i + 1; end loop; if (AutoPrechargeFlag(1) = '1' and (now - b1_last_activate) < tRAS) then BankActivatedFlag (1) <= transport '0' after (tRAS - (now - b1_last_activate)); else BankActivatedFlag (1) <= '0'; end if; tmp_ref_addr2 <= RA&"01"; end if; elsif (BkAdd = "10") then if (AutoPrechargeFlag(2) = '1' and (now - b2_last_activate) < tRAS) then b2_last_precharge <= transport (tRAS + b2_last_activate) after 1 ns; else b2_last_precharge <= transport now after 1 ns; end if; if (BankActivatedFlag (2) /= '1') then assert false report "WARNING : (MEMORY_PRECHARGE) : Precharging deactivated bank." severity warning; else RA := RA_Activated_B2; i := 0; loop exit when i >= NUM_OF_COLS; MEM_CELL_ARRAY2(conv_integer (RA))(i) := SA_ARRAY (conv_integer(BkAdd))(i); i := i + 1; end loop; if (AutoPrechargeFlag(2) = '1' and (now - b2_last_activate) < tRAS) then BankActivatedFlag (2) <= transport '0' after (tRAS - (now - b2_last_activate)); else BankActivatedFlag (2) <= '0'; end if; tmp_ref_addr2 <= RA&"10"; end if; elsif (BkAdd = "11") then if (AutoPrechargeFlag(3) = '1' and (now - b3_last_activate) < tRAS) then b3_last_precharge <= transport (tRAS + b3_last_activate) after 1 ns; else b3_last_precharge <= transport now after 1 ns; end if; if (BankActivatedFlag (3) /= '1') then assert false report "WARNING : (MEMORY_PRECHARGE) : Precharging deactivated bank." severity warning; else RA := RA_Activated_B3; i := 0; loop exit when i >= NUM_OF_COLS; MEM_CELL_ARRAY3(conv_integer (RA))(i) := SA_ARRAY (conv_integer(BkAdd))(i); i := i + 1; end loop; if (AutoPrechargeFlag(3) = '1' and (now - b3_last_activate) < tRAS) then BankActivatedFlag (3) <= transport '0' after (tRAS - (now - b3_last_activate)); else BankActivatedFlag (3) <= '0'; end if; tmp_ref_addr2 <= RA&"11"; end if; end if; if (PrechargeFlag = TRUE) then PrechargeFinFlag <= TRUE, FALSE after 2 ns; end if; tmp_ref_addr2_trans <= transport '1', '0' after 1 ns; end if; if (PrechargeAllFlag'EVENT and PrechargeAllFlag = TRUE) then if BankActivatedFlag (0) = '1' then BkAdd := "00"; RA := RA_Activated_B0; i := 0; loop exit when i >= NUM_OF_COLS; MEM_CELL_ARRAY0(conv_integer (RA))(i) := SA_ARRAY (conv_integer(BkAdd))(i); i := i + 1; end loop; BankActivatedFlag (0) <= '0'; tmp_ref_addr3_B0 <= RA; tmp_ref_addr3_0 <= transport '1', '0' after 1 ns; b0_last_precharge <= transport now after 1 ns; end if; if BankActivatedFlag (1) = '1' then BkAdd := "01"; RA := RA_Activated_B1; i := 0; loop exit when i >= NUM_OF_COLS; MEM_CELL_ARRAY1(conv_integer (RA))(i) := SA_ARRAY (conv_integer(BkAdd))(i); i := i + 1; end loop; BankActivatedFlag (1) <= '0'; tmp_ref_addr3_B1 <= RA; tmp_ref_addr3_1 <= transport '1', '0' after 1 ns; b1_last_precharge <= transport now after 1 ns; end if; if BankActivatedFlag (2) = '1' then BkAdd := "10"; RA := RA_Activated_B2; i := 0; loop exit when i >= NUM_OF_COLS; MEM_CELL_ARRAY2(conv_integer (RA))(i) := SA_ARRAY (conv_integer(BkAdd))(i); i := i + 1; end loop; BankActivatedFlag (2) <= '0'; tmp_ref_addr3_B2 <= RA; tmp_ref_addr3_2 <= transport '1', '0' after 1 ns; b2_last_precharge <= transport now after 1 ns; end if; if BankActivatedFlag (3) = '1' then BkAdd := "11"; RA := RA_Activated_B3; i := 0; loop exit when i >= NUM_OF_COLS; MEM_CELL_ARRAY3(conv_integer (RA))(i) := SA_ARRAY (conv_integer(BkAdd))(i); i := i + 1; end loop; BankActivatedFlag (3) <= '0'; tmp_ref_addr3_B3 <= RA; tmp_ref_addr3_3 <= transport '1', '0' after 1 ns; b3_last_precharge <= transport now after 1 ns; end if; tmp_ref_addr3_trans <= transport '1', '0' after 1 ns; if (BankActivatedFlag = "0000") then if (PUSCheckFinFlag = TRUE) then assert false report "WARNING : (PRECHARGE_ALL) : No Activated Banks, PCGA command ignored." severity WARNING; else BankActivatedFlag (0) <= '0'; BankActivatedFlag (1) <= '0'; BankActivatedFlag (2) <= '0'; BankActivatedFlag (3) <= '0'; end if; end if; PrechargeAllFinFlag <= TRUE, FALSE after 2 ns; end if; end process; ----------------------------------------------------------------------------------------------------- SENSE_AMPLIFIER_UPDATE : process (tmp_act_trans0, tmp_act_trans1, tmp_act_trans2, tmp_act_trans3, tmp_w_trans0, tmp_w_trans1, tmp_w_trans2, tmp_w_trans3) begin if (tmp_act_trans0'EVENT and tmp_act_trans0 = '1') then SA_ARRAY(0) <= SA_ARRAY_A0; elsif (tmp_act_trans1'EVENT and tmp_act_trans1 = '1') then SA_ARRAY(1) <= SA_ARRAY_A1; elsif (tmp_act_trans2'EVENT and tmp_act_trans2 = '1') then SA_ARRAY(2) <= SA_ARRAY_A2; elsif (tmp_act_trans3'EVENT and tmp_act_trans3 = '1') then SA_ARRAY(3) <= SA_ARRAY_A3; elsif (tmp_w_trans0'EVENT and tmp_w_trans0 = '1') then SA_ARRAY(0) <= SA_ARRAY_W0; elsif (tmp_w_trans1'EVENT and tmp_w_trans1 = '1') then SA_ARRAY(1) <= SA_ARRAY_W1; elsif (tmp_w_trans2'EVENT and tmp_w_trans2 = '1') then SA_ARRAY(2) <= SA_ARRAY_W2; elsif (tmp_w_trans3'EVENT and tmp_w_trans3 = '1') then SA_ARRAY(3) <= SA_ARRAY_W3; End if; end process; ----------------------------------------------------------------------------------------------------- RD_WR_PIPE : process (CLK_DLY1, CLK) variable CA : std_logic_vector ((NUM_OF_COL_ADD - 1) downto 0) := (others => 'X'); variable BkAdd : std_logic_vector ((NUM_OF_BANK_ADD - 1) downto 0) := (others => 'X'); begin if (CLK'EVENT and CLK = '1') then BkAdd := (BA); CA := ADDR(NUM_OF_COL_ADD - 1 downto 0); end if; if (CLK_DLY1'EVENT and CLK_DLY1 = '1') then RD_PIPE_REG(6 downto 1) <= RD_PIPE_REG(5 downto 0); WT_PIPE_REG(12 downto 1) <= WT_PIPE_REG(11 downto 0); ADD_PIPE_REG(12) <= ADD_PIPE_REG(11); ADD_PIPE_REG(11) <= ADD_PIPE_REG(10); ADD_PIPE_REG(10) <= ADD_PIPE_REG(9); ADD_PIPE_REG(9) <= ADD_PIPE_REG(8); ADD_PIPE_REG(8) <= ADD_PIPE_REG(7); ADD_PIPE_REG(7) <= ADD_PIPE_REG(6); ADD_PIPE_REG(6) <= ADD_PIPE_REG(5); ADD_PIPE_REG(5) <= ADD_PIPE_REG(4); ADD_PIPE_REG(4) <= ADD_PIPE_REG(3); ADD_PIPE_REG(3) <= ADD_PIPE_REG(2); ADD_PIPE_REG(2) <= ADD_PIPE_REG(1); ADD_PIPE_REG(1) <= ADD_PIPE_REG(0); if (Read_CA = '1' or Write_CA = '1') then ADD_PIPE_REG(0) <= BkAdd & CA; if (Read_CA = '1') then RD_PIPE_REG(0) <= '1'; else RD_PIPE_REG(0) <= '0'; end if; if (Write_CA = '1') then WT_PIPE_REG(0) <= '1'; else WT_PIPE_REG(0) <= '0'; end if; else ADD_PIPE_REG(0) <= (others => '0'); RD_PIPE_REG(0) <= '0'; WT_PIPE_REG(0) <= '0'; end if; end if; end process; ----------------------------------------------------------------------------------------------------- casp6_XX_Gen : process (RD_PIPE_REG, WT_PIPE_REG) begin if (RD_PIPE_REG'event and RD_PIPE_REG(ExtModeRegister.AL) = '1') then casp6_rd <= transport '1' after 0.5 ns, '0' after 2 ns; elsif (WT_PIPE_REG'event and WT_PIPE_REG(ExtModeRegister.AL + ModeRegister.CAS_LATENCY - 2) = '1') then caspwt <= transport '1', '0' after 2 ns; end if; end process; ----------------------------------------------------------------------------------------------------- RD_WT_Flag_GEN : process (caspwt, casp6_rd, ReadFinFlag, WriteFinFlag) begin if (ReadFinFlag'EVENT and ReadFinFlag = TRUE) then ReadFlag <= FALSE; elsif (WriteFinFlag'EVENT and WriteFinFlag = TRUE) then WriteFlag <= FALSE; end if; if (casp6_rd'event and casp6_rd = '1') then ReadFlag <= TRUE; elsif (caspwt'event and caspwt = '1') then WriteFlag <= TRUE; end if; end process; ----------------------------------------------------------------------------------------------------- WRITE_ST_GEN : process(CLK_DLY1, caspwt) begin if (caspwt'event and caspwt = '1') then wt_stdby <= '1'; end if; if (CLK_DLY1'event and CLK_DLY1 = '1') then if (casp_wtII = '1') then casp6_wt <= transport '1' after 0.5 ns, '0' after 2 ns; end if; casp_wtII <= casp_wtI; casp_wtI <= wt_stdby; wt_stdby <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------- YBURST_GEN : process (casp6_rd, casp6_wt, CLK, ReadFinFlag, WriteFinFlag) begin if ((casp6_rd'event and casp6_rd = '1') or (casp6_wt'event and casp6_wt = '1')) then RD_WR_ST <= '1'; yburst <= '0'; end if; if (CLK'event and CLK = '1') then if (RD_WR_ST = '1' and ModeRegister.BURST_LENGTH = 8) then yburst <= transport '1' after 2.1 ns; end if; RD_WR_ST <= '0'; end if; if ((ReadFinFlag'event and ReadFinFlag = TRUE) or (WriteFinFlag'event and WriteFinFlag = TRUE)) then yburst <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------- DQS_PULSE_GEN : process (CLK, casp6_rd) begin if (casp6_rd'EVENT and casp6_rd = '1') then dqs_pulse1 <= '1'; end if; if (CLK'EVENT and CLK = '1') then dqs_pulse6 <= dqs_pulse5; dqs_pulse5 <= dqs_pulse4; dqs_pulse4 <= dqs_pulse3; dqs_pulse3 <= dqs_pulse2; dqs_pulse2 <= dqs_pulse1; dqs_pulse1 <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------- DQS_GENERATION : process(CLK, dqs_pulse1, dqs_pulse2, dqs_pulse3, dqs_pulse4, dqs_pulse5, dqs_pulse6) begin if (CLK'event and CLK = '1') then if ((ModeRegister.CAS_LATENCY = 2 and dqs_pulse1 = '1') or (ModeRegister.CAS_LATENCY = 3 and dqs_pulse2 = '1') or (ModeRegister.CAS_LATENCY = 4 and dqs_pulse3 = '1') or (ModeRegister.CAS_LATENCY = 5 and dqs_pulse4 = '1') or (ModeRegister.CAS_LATENCY = 6 and dqs_pulse5 = '1')) then if (DQS_S = 'Z') then DQS_S <= '0'; elsif (dqs_count = ModeRegister.BURST_LENGTH) then DQS_S <= '0'; else DQS_S <= '1'; end if; elsif ((ModeRegister.CAS_LATENCY = 2 and dqs_pulse2 = '1') or (ModeRegister.CAS_LATENCY = 3 and dqs_pulse3 = '1') or (ModeRegister.CAS_LATENCY = 4 and dqs_pulse4 = '1') or (ModeRegister.CAS_LATENCY = 5 and dqs_pulse5 = '1') or (ModeRegister.CAS_LATENCY = 6 and dqs_pulse6 = '1')) then if (DQS_S = '0') then DQS_S <= '1'; end if; dqs_count <= 1; elsif (dqs_count = ModeRegister.BURST_LENGTH) then if (DQS_S = '0') then DQS_S <= 'Z'; end if; dqs_count <= 0; elsif (DQS_S = '0') then DQS_S <= '1'; dqs_count <= dqs_count + 1; end if; elsif (CLK'event and CLK = '0') then if (DQS_S = '1') then DQS_S <= '0'; dqs_count <= dqs_count + 1; end if; end if; end process; ----------------------------------------------------------------------------------------------------- DQS_OPERATION : process(DQS_S, ExtModeRegister.OCD_PGM) begin if (ExtModeRegister.QOFF = '0') then if (ExtModeRegister.OCD_PGM'event and ExtModeRegister.OCD_PGM = DRIVE0) then UDQS <= '0'; LDQS <= '0'; if (ExtModeRegister.DQSB_ENB = '1') then UDQSB <= '1'; LDQSB <= '1'; else UDQSB <= 'Z'; LDQSB <= 'Z'; end if; elsif (ExtModeRegister.OCD_PGM'event and ExtModeRegister.OCD_PGM = DRIVE1) then UDQS <= '1'; LDQS <= '1'; if (ExtModeRegister.DQSB_ENB = '1') then UDQSB <= '0'; LDQSB <= '0'; else UDQSB <= 'Z'; LDQSB <= 'Z'; end if; elsif (ExtModeRegister.OCD_PGM'event and ExtModeRegister.OCD_PGM = CAL_EXIT) then UDQS <= 'Z'; LDQS <= 'Z'; UDQSB <= 'Z'; LDQSB <= 'Z'; elsif (DQS_S'event and DQS_S = '1') then UDQS <= '1'; LDQS <= '1'; if (ExtModeRegister.DQSB_ENB = '0') then UDQSB <= '0'; LDQSB <= '0'; else UDQSB <= 'Z'; LDQSB <= 'Z'; end if; elsif (DQS_S'event and DQS_S = '0') then UDQS <= '0'; LDQS <= '0'; if (ExtModeRegister.DQSB_ENB = '0') then UDQSB <= '1'; LDQSB <= '1'; else UDQSB <= 'Z'; LDQSB <= 'Z'; end if; elsif (DQS_S'event and DQS_S = 'Z' and DQS_S /= DQS_S'LAST_VALUE) then UDQS <= 'Z'; LDQS <= 'Z'; UDQSB <= 'Z'; LDQSB <= 'Z'; end if; else UDQS <= 'Z'; LDQS <= 'Z'; UDQSB <= 'Z'; LDQSB <= 'Z'; end if; end process; ----------------------------------------------------------------------------------------------------- MEMORY_READ : process (DQS_S, CLK_DLY2, ExtModeRegister.OCD_PGM) variable BkAdd : std_logic_vector ((NUM_OF_BANK_ADD - 1) downto 0) := (others => 'X'); variable CRBI : integer := 0; variable i, k, l : integer := 0; begin if (CLK_DLY2'EVENT and CLK_DLY2 = '1') then if (casp6_rd = '1' or (ReadFlag = TRUE and yburst = '1')) then if (casp6_rd = '1') then BkAdd := ADD_PIPE_REG(ExtModeRegister.AL)(NUM_OF_BANK_ADD + NUM_OF_COL_ADD - 1 downto NUM_OF_BANK_ADD + NUM_OF_COL_ADD - 2); CRBI := 0; end if; if (BankActivatedFlag (conv_integer(BkAdd)) = '1') then DataBuffer(i, 0) <= conv_std_logic_vector(SA_ARRAY (conv_integer(BkAdd))(conv_integer(real_col_addr(0))), WORD_SIZE); DataBuffer(i, 1) <= conv_std_logic_vector(SA_ARRAY (conv_integer(BkAdd))(conv_integer(real_col_addr(1))), WORD_SIZE); DataBuffer(i, 2) <= conv_std_logic_vector(SA_ARRAY (conv_integer(BkAdd))(conv_integer(real_col_addr(2))), WORD_SIZE); DataBuffer(i, 3) <= conv_std_logic_vector(SA_ARRAY (conv_integer(BkAdd))(conv_integer(real_col_addr(3))), WORD_SIZE); i := i + 1; if (i = NUM_OF_BUFFERS) then i := 0; end if; CRBI := CRBI + 4; if (CRBI = ModeRegister.BURST_LENGTH) then ReadFinFlag <= TRUE, FALSE after 2 ns; CRBI := 0; end if; else assert false report "WARNING : (MEMORY_READ_PROCESS) : Accessing deactivated bank." severity WARNING; CRBI := CRBI + 4; if (CRBI = ModeRegister.BURST_LENGTH) then ReadFinFlag <= TRUE, FALSE after 2 ns; CRBI := 0; end if; end if; end if; end if; if (ExtModeRegister.OCD_PGM'event and ExtModeRegister.OCD_PGM = DRIVE0) then DQ <= (others => '0'); elsif (ExtModeRegister.OCD_PGM'event and ExtModeRegister.OCD_PGM = DRIVE1) then DQ <= (others => '1'); elsif (ExtModeRegister.OCD_PGM'event and ExtModeRegister.OCD_PGM = CAL_EXIT) then DQ <= (others => 'Z'); end if; if (DQS_S'EVENT and DQS_S = '1' and DQS_S'LAST_VALUE = '0' and WriteFlag = FALSE) then DQ <= transport DataBuffer(k, l), (others => 'Z') after 0.5 * clk_cycle; l := l + 1; elsif (DQS_S'EVENT and DQS_S = '0' and DQS_S'LAST_VALUE = '1' and WriteFlag = FALSE) then DQ <= transport DataBuffer(k, l), (others => 'Z') after 0.5 * clk_cycle; if (l = 3) then l := 0; k := k + 1; if (k = NUM_OF_BUFFERS) then k := 0; end if; else l := l + 1; end if; end if; end process; ----------------------------------------------------------------------------------------------------- BURST_RD_WR_ADDR_GEN : process(CLK_DLY15, casp6_rd, casp6_wt, ReadFlag, WriteFlag) variable CA : std_logic_vector ((NUM_OF_COL_ADD - 1) downto 0) := (others => 'X'); variable i, j : integer := 0; variable col_addr_count : integer := 0; begin if ((ReadFlag = FALSE) and (WriteFlag = FALSE)) then real_col_addr(0) <= (others => '0'); real_col_addr(1) <= (others => '0'); real_col_addr(2) <= (others => '0'); real_col_addr(3) <= (others => '0'); col_addr_count := 0; i := 0; j := 0; end if; if ((casp6_rd'EVENT and casp6_rd = '1') or (casp6_wt'EVENT and casp6_wt = '1') or (CLK_DLY15'EVENT and CLK_DLY15 = '1' and yburst = '1')) then if (casp6_rd = '1') then CA := ADD_PIPE_REG(ExtModeRegister.AL)(NUM_OF_COL_ADD - 1 downto 0); col_addr_count := 0; i := 0; j := 0; elsif (casp6_wt = '1') then CA := ADD_PIPE_REG(ExtModeRegister.AL + ModeRegister.CAS_LATENCY + 1)(NUM_OF_COL_ADD - 1 downto 0); col_addr_count := 0; i := 0; j := 0; end if; if (col_addr_count < ModeRegister.BURST_LENGTH/4) then loop exit when (j > 3); if ((col_addr_count = 0) and (j = 0)) then real_col_addr(0) <= CA; elsif (ModeRegister.BURST_LENGTH = 4) then if (ModeRegister.BURST_MODE = SEQUENTIAL) then real_col_addr(j) <= CA((NUM_OF_COL_ADD - 1) downto 2)& conv_std_logic_vector(remainder((conv_integer(CA(1 downto 0)) + i), 4), 2); elsif (ModeRegister.BURST_MODE = INTERLEAVE) then real_col_addr(j) <= CA((NUM_OF_COL_ADD - 1) downto 2)& xor_func(CA(1 downto 0), conv_std_logic_vector(i, 2)); end if; elsif (ModeRegister.BURST_LENGTH = 8) then if (ModeRegister.BURST_MODE = SEQUENTIAL) then real_col_addr(j) <= CA((NUM_OF_COL_ADD - 1) downto 3)& conv_std_logic_vector((conv_integer(CA(2)) + col_addr_count), 2)(0)& conv_std_logic_vector(remainder((conv_integer(CA(1 downto 0)) + i), 4), 2); elsif (ModeRegister.BURST_MODE = INTERLEAVE) then real_col_addr(j) <= CA((NUM_OF_COL_ADD - 1) downto 3)& xor_func(CA(2 downto 0), conv_std_logic_vector(i, 3)); end if; end if; i := i + 1; j := j + 1; end loop; end if; j := 0; col_addr_count := col_addr_count + 1; end if; end process; ----------------------------------------------------------------------------------------------------- MEMORY_WRITE : process (CLK_DLY2, LDQS, UDQS, CLK) variable BkAdd : std_logic_vector ((NUM_OF_BANK_ADD - 1) downto 0) := (others => 'X'); variable TMP_VALUE : std_logic_vector ((WORD_SIZE - 1) downto 0) := (others => '0'); variable WriteDriver : SA_TYPE; variable i, j, k, l, m : integer := 0; variable CWBI : integer := 0; variable dq_buffL, dq_buffH : DATA_BUFFER_TYPE; begin if (CLK'event and CLK = '1') then dq_buffL(0) := dq_bufferL(0); dq_buffL(1) := dq_bufferL(1); dq_buffL(2) := dq_bufferL(2); dq_buffL(3) := dq_bufferL(3); dq_buffH(0) := dq_bufferH(0); dq_buffH(1) := dq_bufferH(1); dq_buffH(2) := dq_bufferH(2); dq_buffH(3) := dq_bufferH(3); end if; if (CLK_DLY2'EVENT and CLK_DLY2 = '1') then if (casp6_wt = '1' or (WriteFlag = TRUE and yburst = '1')) then if (casp6_wt = '1') then BkAdd := ADD_PIPE_REG(ExtModeRegister.AL + ModeRegister.CAS_LATENCY + 1) (NUM_OF_BANK_ADD + NUM_OF_COL_ADD - 1 downto NUM_OF_BANK_ADD + NUM_OF_COL_ADD - 2); CWBI := 0; WriteDriver := SA_ARRAY (conv_integer(BkAdd)); end if; if (BankActivatedFlag (conv_integer(BkAdd)) = '1') then TMP_VALUE := conv_std_logic_vector(WriteDriver(conv_integer(real_col_addr(0))), WORD_SIZE); if (dq_buffL(0)(8) = '0' and dq_buffH(0)(8) = '0') then TMP_VALUE := (dq_buffH(0)(7 downto 0) & dq_buffL(0)(7 downto 0)); elsif (dq_buffL(0)(8) = '0' and dq_buffH(0)(8) = '1') then TMP_VALUE := (TMP_VALUE(15 downto 8) & dq_buffL(0)(7 downto 0)); elsif (dq_buffL(0)(8) = '1' and dq_buffH(0)(8) = '0') then TMP_VALUE := (dq_buffH(0)(7 downto 0) & TMP_VALUE(7 downto 0)); elsif (dq_buffL(0)(8) = '1' and dq_buffH(0)(8) = '1') then TMP_VALUE := (TMP_VALUE); end if; WriteDriver (conv_integer(real_col_addr(0))) := conv_integer(TMP_VALUE); TMP_VALUE := conv_std_logic_vector(WriteDriver(conv_integer(real_col_addr(1))), WORD_SIZE); if (dq_buffL(1)(8) = '0' and dq_buffH(1)(8) = '0') then TMP_VALUE := (dq_buffH(1)(7 downto 0) & dq_buffL(1)(7 downto 0)); elsif (dq_buffL(1)(8) = '0' and dq_buffH(1)(8) = '1') then TMP_VALUE := (TMP_VALUE(15 downto 8) & dq_buffL(1)(7 downto 0)); elsif (dq_buffL(1)(8) = '1' and dq_buffH(1)(8) = '0') then TMP_VALUE := (dq_buffH(1)(7 downto 0) & TMP_VALUE(7 downto 0)); elsif (dq_buffL(1)(8) = '1' and dq_buffH(1)(8) = '1') then TMP_VALUE := (TMP_VALUE); end if; WriteDriver (conv_integer(real_col_addr(1))) := conv_integer(TMP_VALUE); TMP_VALUE := conv_std_logic_vector(WriteDriver(conv_integer(real_col_addr(2))), WORD_SIZE); if (dq_buffL(2)(8) = '0' and dq_buffH(2)(8) = '0') then TMP_VALUE := (dq_buffH(2)(7 downto 0) & dq_buffL(2)(7 downto 0)); elsif (dq_buffL(2)(8) = '0' and dq_buffH(2)(8) = '1') then TMP_VALUE := (TMP_VALUE(15 downto 8) & dq_buffL(2)(7 downto 0)); elsif (dq_buffL(2)(8) = '1' and dq_buffH(2)(8) = '0') then TMP_VALUE := (dq_buffH(2)(7 downto 0) & TMP_VALUE(7 downto 0)); elsif (dq_buffL(2)(8) = '1' and dq_buffH(2)(8) = '1') then TMP_VALUE := (TMP_VALUE); end if; WriteDriver (conv_integer(real_col_addr(2))) := conv_integer(TMP_VALUE); TMP_VALUE := conv_std_logic_vector(WriteDriver(conv_integer(real_col_addr(3))), WORD_SIZE); if (dq_buffL(3)(8) = '0' and dq_buffH(3)(8) = '0') then TMP_VALUE := (dq_buffH(3)(7 downto 0) & dq_buffL(3)(7 downto 0)); elsif (dq_buffL(3)(8) = '0' and dq_buffH(3)(8) = '1') then TMP_VALUE := (TMP_VALUE(15 downto 8) & dq_buffL(3)(7 downto 0)); elsif (dq_buffL(3)(8) = '1' and dq_buffH(3)(8) = '0') then TMP_VALUE := (dq_buffH(3)(7 downto 0) & TMP_VALUE(7 downto 0)); elsif (dq_buffL(3)(8) = '1' and dq_buffH(3)(8) = '1') then TMP_VALUE := (TMP_VALUE); end if; WriteDriver (conv_integer(real_col_addr(3))) := conv_integer(TMP_VALUE); if (conv_integer(BkAdd) = 0) then SA_ARRAY_W0 <= WriteDriver; tmp_w_trans0 <= '1', '0' after 2 ns; b0_last_data_in <= (now - 2 ns); elsif (conv_integer(BkAdd) = 1) then SA_ARRAY_W1 <= WriteDriver; tmp_w_trans1 <= '1', '0' after 2 ns; b1_last_data_in <= (now - 2 ns); elsif (conv_integer(BkAdd) = 2) then SA_ARRAY_W2 <= WriteDriver; tmp_w_trans2 <= '1', '0' after 2 ns; b2_last_data_in <= (now - 2 ns); elsif (conv_integer(BkAdd) = 3) then SA_ARRAY_W3 <= WriteDriver; tmp_w_trans3 <= '1', '0' after 2 ns; b3_last_data_in <= (now - 2 ns); end if; CWBI := CWBI + 4; if (CWBI = ModeRegister.BURST_LENGTH and casp_wtI /= '1' and caspwt /= '1') then WriteFinFlag <= transport TRUE, FALSE after 2 ns; CWBI := 0; end if; else assert false report "WARNING : (MEM_WRITE_PROCESS) : Accessing deactivated bank." severity WARNING; CWBI := CWBI + 4; if (CWBI = ModeRegister.BURST_LENGTH and casp_wtI /= '1' and caspwt /= '1') then WriteFinFlag <= transport TRUE, FALSE after 2 ns; CWBI := 0; end if; end if; end if; end if; if (LDQS'EVENT and LDQS = '0' and LDQS'LAST_VALUE = '1' and WriteFlag = TRUE) then dq_bufferL(2) <= transport dq_bufferL(6); dq_bufferL(1) <= transport dq_bufferL(5); dq_bufferL(0) <= transport dq_bufferL(4); dq_bufferL(3) <= transport (LDM & DQ(7 downto 0)); end if; if (LDQS'EVENT and LDQS = '1' and WriteFlag = TRUE) then dq_bufferL(5) <= transport dq_bufferL(3); dq_bufferL(4) <= transport dq_bufferL(2); dq_bufferL(6) <= transport (LDM & DQ(7 downto 0)); end if; if (UDQS'EVENT and UDQS = '0' and UDQS'LAST_VALUE = '1' and WriteFlag = TRUE) then dq_bufferH(2) <= transport dq_bufferH(6); dq_bufferH(1) <= transport dq_bufferH(5); dq_bufferH(0) <= transport dq_bufferH(4); dq_bufferH(3) <= transport (UDM & DQ(15 downto 8)); end if; if (UDQS'EVENT and UDQS = '1' and WriteFlag = TRUE) then dq_bufferH(5) <= transport dq_bufferH(3); dq_bufferH(4) <= transport dq_bufferH(2); dq_bufferH(6) <= transport (UDM & DQ(15 downto 8)); end if; end process; ----------------------------------------------------------------------------------------------------- REFRESH_COUNTER : process(AutoRefFlag, SelfRefFlag, CLK) variable rc : integer := 0; begin if (AutoRefFlag'EVENT and AutoRefFlag = TRUE and TimingCheckFlag = TRUE) then if (rc >= 8192) then rc := rc - 8192; end if; if (now - refresh_check(0, rc) > tREF) then assert false report "WARNING : (REFRESH_INTERVAL) : Refresh Interval Exceeds 64ms for Bank0" severity warning; end if; if (now - refresh_check(1, rc) > tREF) then assert false report "WARNING : (REFRESH_INTERVAL) : Refresh Interval Exceeds 64ms for Bank1" severity warning; end if; if (now - refresh_check(2, rc) > tREF) then assert false report "WARNING : (REFRESH_INTERVAL) : Refresh Interval Exceeds 64ms for Bank2" severity warning; end if; if (now - refresh_check(3, rc) > tREF) then assert false report "WARNING : (REFRESH_INTERVAL) : Refresh Interval Exceeds 64ms for Bank3" severity warning; end if; tmp_ref_addr1 <= conv_std_logic_vector (rc, NUM_OF_ROW_ADD); tmp_ref_addr1_trans <= transport '1', '0' after 1 ns; rc := rc + 1; end if; if (CLK'EVENT and CLK = '1') then if (SelfRefFlag = TRUE and TimingCheckFlag = TRUE) then Ref_time <= Ref_time + clk_cycle; if (Ref_time >= 7812.5 ns/(conv_integer(ExtModeRegister2.SREF_HOT) + 1)) then Ref_time <= 0 ns; if (rc >= 8192) then rc := rc - 8192; end if; if (now - refresh_check(0, rc) > tREF) then assert false report "WARNING : (REFRESH_INTERVAL) : Refresh Interval Exceeds 64ms for Bank0" severity warning; end if; if (now - refresh_check(1, rc) > tREF) then assert false report "WARNING : (REFRESH_INTERVAL) : Refresh Interval Exceeds 64ms for Bank1" severity warning; end if; if (now - refresh_check(2, rc) > tREF) then assert false report "WARNING : (REFRESH_INTERVAL) : Refresh Interval Exceeds 64ms for Bank2" severity warning; end if; if (now - refresh_check(3, rc) > tREF) then assert false report "WARNING : (REFRESH_INTERVAL) : Refresh Interval Exceeds 64ms for Bank3" severity warning; end if; tmp_ref_addr1 <= conv_std_logic_vector (rc, NUM_OF_ROW_ADD); tmp_ref_addr1_trans <= transport '1', '0' after 1 ns; rc := rc + 1; end if; end if; end if; if (SelfRefFlag = FALSE) then Ref_time <= 0 ns; end if; end process; ----------------------------------------------------------------------------------------------------- PUS_CHECK : process(CLK, PrechargeAllFlag, AutoRefFlag, ModeRegister.DLL_STATE) variable ChipSelectBar : std_logic := '0'; variable RowAddrStrobeBar : std_logic := '0'; variable ColAddrStrobeBar : std_logic := '0'; variable WriteEnableBar : std_logic := '0'; variable Address10 : std_logic := '0'; variable BkAdd : std_logic_vector((NUM_OF_BANK_ADD - 1) downto 0) := (others => 'X'); variable ClockEnable : CKE_TYPE := (others => '0'); variable CurrentCommand : COMMAND_TYPE := NOP; variable i, j : integer := 0; variable PUSNOP200USFlag : boolean := FALSE; variable PUSAREF2Flag : boolean := FALSE; variable BankActFlag : std_logic_vector((NUM_OF_BANKS - 1) downto 0) := (others => '0'); variable pus_aref : integer := 0; variable Cur_State : STATE_TYPE := IDLE; begin if (PUSCheckFinFlag /= TRUE and PUSCheckFlag = TRUE) then if (CLK'EVENT and CLK = '1') then cur_time <= transport now; -- if (cur_time < 200000 ns) then -- if (CKE /= '0') then -- assert false report -- "ERROR : (Power Up Sequence) : CKE Should Be '0' during initial 200us!" -- severity error; -- end if; -- end if; end if; if (ModeRegister.DLL_STATE'EVENT and ModeRegister.DLL_STATE = RST and PUS_DLL_RESET = FALSE) then PUS_DLL_RESET <= TRUE; end if; if (PrechargeAllFlag'EVENT and PrechargeAllFlag = TRUE and CurrentState = PWRUP and TimingCheckFlag = TRUE) then if (PUSPCGAFlag1 = TRUE) then assert (PUS_DLL_RESET = TRUE) report "ERROR : (Power Up Sequence) : The 2nd PCGA Command Should Be Issued after DLL Reset!" severity error; else PUSPCGAFlag1 <= TRUE; -- assert (cur_time >= tPUS - clk_cycle) report -- "WARNING : (Power Up Sequence) : PCGA Command Should Be Issued after 200us(Input Stable)!" -- severity warning; end if; end if; if (AutoRefFlag'EVENT and AutoRefFlag = TRUE) then assert (PUSPCGAFlag2 = TRUE) report "WARNING : (Power Up Sequence) : Needs Precharge All Bank Command before Auto Refresh !" severity warning; pus_aref := pus_aref + 1; if (pus_aref >= 2) then PUSAREF2Flag := TRUE; end if; if ((PUSNOP200USFlag = TRUE) and (PUSAREF2Flag = TRUE)) then PUSCheckFinFlag <= TRUE; end if; end if; ClockEnable := CKEN; if ClockEnable (-1) = '1' then ChipSelectBar := CSB; RowAddrStrobeBar := RASB; ColAddrStrobeBar := CASB; WriteEnableBar := WEB; Address10 := ADDR(10); BkAdd := (BA); BankActFlag := BankActivatedFlag; end if; COMMAND_DECODE (ChipSelectBar, RowAddrStrobeBar, ColAddrStrobeBar, WriteEnableBar, Address10, BkAdd, ClockEnable, CurrentCommand, BankActFlag, Cur_State); if ((PUSNOP200USFlag = FALSE) and (PUSAREF2Flag /= TRUE)) then if (CLK = '1') then i := i + 1; -- if (i * clk_cycle >= tPUS - clk_cycle) then PUSNOP200USFlag := TRUE; -- end if; end if; elsif ((CurrentCommand = PCGA) and (PUSNOP200USFlag = TRUE) and (PUSPCGAFlag1 = TRUE) and (PUSAREF2Flag /= TRUE)) then PUSPCGAFlag2 <= TRUE; end if; elsif (PUSCheckFinFlag /= TRUE and PUSCheckFlag = FALSE) then PUSCheckFinFlag <= TRUE; end if; end process; ----------------------------------------------------------------------------------------------------- BUS_CHANGE_DETECT : process(CKE, WEB, CASB, RASB, CSB, LDM, UDM, ADDR, DQ) begin if (CKE'EVENT and CKE /= CKE'LAST_VALUE) then cke_ch <= transport now after 0.1 ns; end if; if (WEB'EVENT and WEB /= WEB'LAST_VALUE) then web_ch <= transport now after 0.1 ns; end if; if (CASB'EVENT and CASB /= CASB'LAST_VALUE) then casb_ch <= transport now after 0.1 ns; end if; if (RASB'EVENT and RASB /= RASB'LAST_VALUE) then rasb_ch <= transport now after 0.1 ns; end if; if (CSB'EVENT and CSB /= CSB'LAST_VALUE) then csb_ch <= transport now after 0.1 ns; end if; if (LDM'EVENT and LDM /= LDM'LAST_VALUE) then ldm_ch <= transport now after 0.1 ns; end if; if (UDM'EVENT and UDM /= UDM'LAST_VALUE) then udm_ch <= transport now after 0.1 ns; end if; if (ADDR(0)'EVENT and ADDR(0) /= ADDR(0)'LAST_VALUE) then a0_ch <= transport now after 0.1 ns; end if; if (ADDR(1)'EVENT and ADDR(1) /= ADDR(1)'LAST_VALUE) then a1_ch <= transport now after 0.1 ns; end if; if (ADDR(2)'EVENT and ADDR(2) /= ADDR(2)'LAST_VALUE) then a2_ch <= transport now after 0.1 ns; end if; if (ADDR(3)'EVENT and ADDR(3) /= ADDR(3)'LAST_VALUE) then a3_ch <= transport now after 0.1 ns; end if; if (ADDR(4)'EVENT and ADDR(4) /= ADDR(4)'LAST_VALUE) then a4_ch <= transport now after 0.1 ns; end if; if (ADDR(5)'EVENT and ADDR(5) /= ADDR(5)'LAST_VALUE) then a5_ch <= transport now after 0.1 ns; end if; if (ADDR(6)'EVENT and ADDR(6) /= ADDR(6)'LAST_VALUE) then a6_ch <= transport now after 0.1 ns; end if; if (ADDR(7)'EVENT and ADDR(7) /= ADDR(7)'LAST_VALUE) then a7_ch <= transport now after 0.1 ns; end if; if (ADDR(8)'EVENT and ADDR(8) /= ADDR(8)'LAST_VALUE) then a8_ch <= transport now after 0.1 ns; end if; if (ADDR(9)'EVENT and ADDR(9) /= ADDR(9)'LAST_VALUE) then a9_ch <= transport now after 0.1 ns; end if; if (ADDR(10)'EVENT and ADDR(10) /= ADDR(10)'LAST_VALUE) then a10_ch <= transport now after 0.1 ns; end if; if (ADDR(11)'EVENT and ADDR(11) /= ADDR(11)'LAST_VALUE) then a11_ch <= transport now after 0.1 ns; end if; if (ADDR(12)'EVENT and ADDR(12) /= ADDR(12)'LAST_VALUE) then a12_ch <= transport now after 0.1 ns; end if; if (BA(0)'EVENT and BA(0) /= BA(0)'LAST_VALUE) then ba0_ch <= transport now after 0.1 ns; end if; if (BA(1)'EVENT and BA(1) /= BA(1)'LAST_VALUE) then ba1_ch <= transport now after 0.1 ns; end if; if (DQ(0)'EVENT and STD_LOGIC_TO_BIT (DQ(0)) /= STD_LOGIC_TO_BIT (DQ(0)'LAST_VALUE)) then dq0_ch <= transport now after 0.1 ns; end if; if (DQ(1)'EVENT and STD_LOGIC_TO_BIT (DQ(1)) /= STD_LOGIC_TO_BIT (DQ(1)'LAST_VALUE)) then dq1_ch <= transport now after 0.1 ns; end if; if (DQ(2)'EVENT and STD_LOGIC_TO_BIT (DQ(2)) /= STD_LOGIC_TO_BIT (DQ(2)'LAST_VALUE)) then dq2_ch <= transport now after 0.1 ns; end if; if (DQ(3)'EVENT and STD_LOGIC_TO_BIT (DQ(3)) /= STD_LOGIC_TO_BIT (DQ(3)'LAST_VALUE)) then dq3_ch <= transport now after 0.1 ns; end if; if (DQ(4)'EVENT and STD_LOGIC_TO_BIT (DQ(4)) /= STD_LOGIC_TO_BIT (DQ(4)'LAST_VALUE)) then dq4_ch <= transport now after 0.1 ns; end if; if (DQ(5)'EVENT and STD_LOGIC_TO_BIT (DQ(5)) /= STD_LOGIC_TO_BIT (DQ(5)'LAST_VALUE)) then dq5_ch <= transport now after 0.1 ns; end if; if (DQ(6)'EVENT and STD_LOGIC_TO_BIT (DQ(6)) /= STD_LOGIC_TO_BIT (DQ(6)'LAST_VALUE)) then dq6_ch <= transport now after 0.1 ns; end if; if (DQ(7)'EVENT and STD_LOGIC_TO_BIT (DQ(7)) /= STD_LOGIC_TO_BIT (DQ(7)'LAST_VALUE)) then dq7_ch <= transport now after 0.1 ns; end if; if (DQ(8)'EVENT and STD_LOGIC_TO_BIT (DQ(8)) /= STD_LOGIC_TO_BIT (DQ(8)'LAST_VALUE)) then dq8_ch <= transport now after 0.1 ns; end if; if (DQ(9)'EVENT and STD_LOGIC_TO_BIT (DQ(9)) /= STD_LOGIC_TO_BIT (DQ(9)'LAST_VALUE)) then dq9_ch <= transport now after 0.1 ns; end if; if (DQ(10)'EVENT and STD_LOGIC_TO_BIT (DQ(10)) /= STD_LOGIC_TO_BIT (DQ(10)'LAST_VALUE)) then dq10_ch <= transport now after 0.1 ns; end if; if (DQ(11)'EVENT and STD_LOGIC_TO_BIT (DQ(11)) /= STD_LOGIC_TO_BIT (DQ(11)'LAST_VALUE)) then dq11_ch <= transport now after 0.1 ns; end if; if (DQ(12)'EVENT and STD_LOGIC_TO_BIT (DQ(12)) /= STD_LOGIC_TO_BIT (DQ(12)'LAST_VALUE)) then dq12_ch <= transport now after 0.1 ns; end if; if (DQ(13)'EVENT and STD_LOGIC_TO_BIT (DQ(13)) /= STD_LOGIC_TO_BIT (DQ(13)'LAST_VALUE)) then dq13_ch <= transport now after 0.1 ns; end if; if (DQ(14)'EVENT and STD_LOGIC_TO_BIT (DQ(14)) /= STD_LOGIC_TO_BIT (DQ(14)'LAST_VALUE)) then dq14_ch <= transport now after 0.1 ns; end if; if (DQ(15)'EVENT and STD_LOGIC_TO_BIT (DQ(15)) /= STD_LOGIC_TO_BIT (DQ(15)'LAST_VALUE)) then dq15_ch <= transport now after 0.1 ns; end if; end process; ----------------------------------------------------------------------------------------------------- CLK_TIMING : process (CLK) variable clk_last_cycle : time := 0 ns; variable i : integer := 0; begin if (CLK'event and CLK='1') then if (Part_Number = B400) then tRCD <= ModeRegister.CAS_LATENCY * 5 ns; tRP <= ModeRegister.CAS_LATENCY * 5 ns; if (ModeRegister.CAS_LATENCY = 3) then tRAS <= 40 ns; else tRAS <= 45 ns; end if; elsif (Part_Number = B533) then tRCD <= ModeRegister.CAS_LATENCY * 3.75 ns; tRP <= ModeRegister.CAS_LATENCY * 3.75 ns; tRAS <= 45 ns; elsif (Part_Number = B667) then tRCD <= ModeRegister.CAS_LATENCY * 3 ns; tRP <= ModeRegister.CAS_LATENCY * 3 ns; tRAS <= 45 ns; elsif (Part_Number = B800) then tRCD <= ModeRegister.CAS_LATENCY * 2.5 ns; tRP <= ModeRegister.CAS_LATENCY * 2.5 ns; tRAS <= 45 ns; end if; tRC <= tRAS + tRP; tCCD <= 2 * clk_cycle; tCCD_cycles <= 2; tDQSH <= 0.35 * clk_cycle; tDQSL <= 0.35 * clk_cycle; tWPSTmin <= 0.4 * clk_cycle; tWPSTmax <= 0.6 * clk_cycle; tDQSSmin <= 0.75 * clk_cycle; tDQSSmax <= 1.25 * clk_cycle; tMRD <= 2 * clk_cycle; tMRD_cycles <= 2; tWPRE <= 0.25 * clk_cycle; tCH <= 0.45 * clk_cycle; tCL <= 0.45 * clk_cycle; if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then assert (clk_cycle >= tCKmin (Part_Number)) report "ERROR : (CLK_TIMING) : Clock period is too small." severity error; assert (clk_cycle <= tCKmax (Part_Number)) report "ERROR : (CLK_TIMING) : Clock period is too large." severity error; end if; if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then assert (now - clk_last_falling >= tCL) report "ERROR : (CLK_TIMING) : Clock low time is too small." severity error; end if; clk_last_rising <= transport now; end if; if (CLK'event and CLK = '0') then clk_last_falling <= transport now; if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then assert (now - clk_last_rising >= tCH) report "ERROR : (CLK_TIMING) : Clock high time is too small." severity error; end if; end if; end process; ----------------------------------------------------------------------------------------------------- DQS_TIMING : process (LDQS, UDQS, caspwt) begin if (caspwt'EVENT and caspwt = '1') then wr_cmd_time <= transport now - 1 ns; udspre_enable <= '0'; ldspre_enable <= '0'; udsh_dsl_enable <= '0'; ldsh_dsl_enable <= '0'; end if; if (TimingCheckFlag = TRUE) then if (UDQS'EVENT and UDQS = '0' and UDQS'LAST_VALUE = 'Z' and WriteFlag = TRUE) then udspre_enable <= '1'; end if; if (LDQS'EVENT and LDQS = '0' and LDQS'LAST_VALUE = 'Z' and WriteFlag = TRUE) then ldspre_enable <= '1'; end if; if (UDQS'EVENT and UDQS = '1' and UDQS'LAST_VALUE = '0' and WriteFlag = TRUE) then udqs_last_rising <= transport now; if (udspre_enable = '1') then if ((now - clk_last_falling) < tWPRE) then assert false report "WARNING : (tWPRE_CHECK) : tWPRE time violation!" severity WARNING; end if; if ((now - wr_cmd_time) < tDQSSmin) then assert false report "WARNING : (tDQSS_CHECK) : Minimum tDQSS time violation!" severity WARNING; end if; if ((now - wr_cmd_time) > tDQSSmax) then assert false report "WARNING : (tDQSS_CHECK) : Maximum tDQSS time violation!" severity WARNING; end if; udspre_enable <= '0'; udsh_dsl_enable <= '1'; elsif (udsh_dsl_enable = '1') then if ((now - udqs_last_falling) < tDQSL) then assert false report "ERROR : (tDQSL_CHECK) : Minimum tDQSL time violation!" severity ERROR; end if; end if; elsif (UDQS'EVENT and UDQS = '0' and UDQS'LAST_VALUE = '1' and WriteFlag = TRUE) then udqs_last_falling <= transport now; if (udsh_dsl_enable = '1') then if ((now - udqs_last_rising) < tDQSH) then assert false report "ERROR : (tDQSH_CHECK) : Minimum tDQSH time violation!" severity ERROR; end if; end if; udspre_enable <= '0'; ldspre_enable <= '0'; end if; if (LDQS'EVENT and LDQS = '1' and LDQS'LAST_VALUE = '0' and WriteFlag = TRUE) then ldqs_last_rising <= transport now; if (ldspre_enable = '1') then if ((now - clk_last_falling) < tWPRE) then assert false report "WARNING : (tWPRE_CHECK) : tWPRE time violation!" severity WARNING; end if; if ((now - wr_cmd_time) < tDQSSmin) then assert false report "WARNING : (tDQSS_CHECK) : Minimum tDQSS time violation!" severity WARNING; end if; if ((now - wr_cmd_time) > tDQSSmax) then assert false report "WARNING : (tDQSS_CHECK) : Maximum tDQSS time violation!" severity WARNING; end if; ldspre_enable <= '0'; ldsh_dsl_enable <= '1'; elsif (ldsh_dsl_enable = '1') then if ((now - ldqs_last_falling) < tDQSL) then assert false report "ERROR : (tDQSL_CHECK) : Minimum tDQSL time violation!" severity ERROR; end if; end if; elsif (LDQS'EVENT and LDQS = '0' and LDQS'LAST_VALUE = '1' and WriteFlag = TRUE) then ldqs_last_falling <= transport now; if (ldsh_dsl_enable = '1') then if ((now - ldqs_last_rising) < tDQSH) then assert false report "ERROR : (tDQSH_CHECK) : Minimum tDQSH time violation!" severity ERROR; end if; end if; udspre_enable <= '0'; ldspre_enable <= '0'; end if; if (LDQS'EVENT and LDQS = 'Z' and LDQS'LAST_VALUE = '0' and WriteFlag = TRUE and caspwt = '0') then if ((now - ldqs_last_falling) < tWPSTmin) then assert false report "WARNING : (tWPST_CHECK) : Minimum tWPST time violation!" severity WARNING; end if; if ((now - ldqs_last_falling) > tWPSTmax) then assert false report "WARNING : (tWPST_CHECK) : Maximum tWPST time violation!" severity WARNING; end if; ldspre_enable <= '0'; ldsh_dsl_enable <= '0'; end if; if (UDQS'EVENT and UDQS = 'Z' and UDQS'LAST_VALUE = '0' and WriteFlag = TRUE and caspwt = '0') then if ((now - udqs_last_falling) < tWPSTmin) then assert false report "WARNING : (tWPST_CHECK) : Minimum tWPST time violation!" severity WARNING; end if; if ((now - udqs_last_falling) > tWPSTmax) then assert false report "WARNING : (tWPST_CHECK) : Maximum tWPST time violation!" severity WARNING; end if; udspre_enable <= '0'; udsh_dsl_enable <= '0'; end if; end if; end process; ----------------------------------------------------------------------------------------------------- SETUP_CHECK : process (CLK, UDQS, LDQS) begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then if (((UDQS'EVENT and UDQS = '1' and UDQS'LAST_VALUE = '0') or (UDQS'EVENT and UDQS = '0') or (LDQS'EVENT and LDQS = '1' and LDQS'LAST_VALUE = '0') or (LDQS'EVENT and LDQS = '0')) and (WriteFlag = TRUE)) then assert ( ((now - dq0_ch) >= tDS (Part_Number)) and ((now - dq1_ch) >= tDS (Part_Number)) and ((now - dq2_ch) >= tDS (Part_Number)) and ((now - dq3_ch) >= tDS (Part_Number)) and ((now - dq4_ch) >= tDS (Part_Number)) and ((now - dq5_ch) >= tDS (Part_Number)) and ((now - dq6_ch) >= tDS (Part_Number)) and ((now - dq7_ch) >= tDS (Part_Number)) and ((now - dq8_ch) >= tDS (Part_Number)) and ((now - dq9_ch) >= tDS (Part_Number)) and ((now - dq10_ch) >= tDS (Part_Number)) and ((now - dq11_ch) >= tDS (Part_Number)) and ((now - dq12_ch) >= tDS (Part_Number)) and ((now - dq13_ch) >= tDS (Part_Number)) and ((now - dq14_ch) >= tDS (Part_Number)) and ((now - dq15_ch) >= tDS (Part_Number))) report "ERROR : (SETUP_HOLD) : Data input setup/hold time violation." severity error; end if; if (CLK'EVENT and CLK = '1') then assert ( ((now - a0_ch) >= tIS (Part_Number)) and ((now - a1_ch) >= tIS (Part_Number)) and ((now - a2_ch) >= tIS (Part_Number)) and ((now - a3_ch) >= tIS (Part_Number)) and ((now - a4_ch) >= tIS (Part_Number)) and ((now - a5_ch) >= tIS (Part_Number)) and ((now - a6_ch) >= tIS (Part_Number)) and ((now - a7_ch) >= tIS (Part_Number)) and ((now - a8_ch) >= tIS (Part_Number)) and ((now - a9_ch) >= tIS (Part_Number)) and ((now - a10_ch) >= tIS (Part_Number)) and ((now - a11_ch) >= tIS (Part_Number)) and ((now - a12_ch) >= tIS (Part_Number)) and ((now - ba0_ch) >= tIS (Part_Number)) and ((now - ba1_ch) >= tIS (Part_Number))) report "ERROR : (SETUP_HOLD) : Address input setup time violation." severity error; assert ( ((now - csb_ch) >= tIS (Part_Number)) and ((now - rasb_ch) >= tIS (Part_Number)) and ((now - casb_ch) >= tIS (Part_Number)) and ((now - web_ch) >= tIS (Part_Number))) report "ERROR : (SETUP_HOLD) : Command input setup time violation." severity error; assert (now - cke_ch >= tIS (Part_Number)) report "ERROR : (SETUP_HOLD) : Clock enable input setup time violation." severity error; end if; end if; end process; ----------------------------------------------------------------------------------------------------- DM_SETUP_HOLD_CHECK : process (LDM, UDM, LDQS, UDQS) begin if (TimingCheckFlag = TRUE) then if (LDM'EVENT and LDM = '1' and WriteFlag = TRUE) then ldm_last_rising <= transport now; elsif (LDM'EVENT and LDM = '0' and WriteFlag = TRUE) then if ((now - ldqs_last_rising) < tDH (Part_Number)) then assert false report "ERROR : (tDH_CHECK) : LDM Hold Time Violation!" severity ERROR; end if; end if; if (UDM'EVENT and UDM = '1' and WriteFlag = TRUE) then udm_last_rising <= transport now; elsif (UDM'EVENT and UDM = '0' and WriteFlag = TRUE) then if ((now - udqs_last_rising) < tDH (Part_Number)) then assert false report "ERROR : (tDH_CHECK) : UDM Hold Time Violation!" severity ERROR; end if; end if; if (LDQS'EVENT and LDQS = '1' and LDQS'LAST_VALUE = '0' and WriteFlag = TRUE) then if ((now - ldm_last_rising) < tDS (Part_Number)) then assert false report "ERROR : (tDS_CHECK) : LDM Setup Time Violation!" severity ERROR; end if; end if; if (UDQS'EVENT and UDQS = '1' and UDQS'LAST_VALUE = '0' and WriteFlag = TRUE) then if ((now - udm_last_rising) < tDS (Part_Number)) then assert false report "ERROR : (tDS_CHECK) : UDM Setup Time Violation!" severity ERROR; end if; end if; end if; end process; ----------------------------------------------------------------------------------------------------- A_HOLD_CHECK : process (ADDR) begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then assert ((now - clk_last_rising) >= tIH (Part_Number)) report "ERROR : (SETUP_HOLD) : Address hold time violation." severity error; end if; end process; ----------------------------------------------------------------------------------------------------- UDQ_HOLD_CHECK : process (DQ(15 downto 8)) begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE and WriteFlag = TRUE) then assert ((now - udqs_last_rising) >= tDH (Part_Number)) report "ERROR : (SETUP_HOLD) : Hold time violation of CLK rising-edge aligned data." severity error; assert ((now - udqs_last_falling) >= tDH (Part_Number)) report "ERROR : (SETUP_HOLD) : Hold time violation of CLK falling-edge aligned data." severity error; end if; end process; ----------------------------------------------------------------------------------------------------- LDQ_HOLD_CHECK : process (DQ(7 downto 0)) begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE and WriteFlag = TRUE) then assert ((now - ldqs_last_rising) >= tDH (Part_Number)) report "ERROR : (SETUP_HOLD) : Hold time violation of CLK rising-edge aligned data." severity error; assert ((now - udqs_last_falling) >= tDH (Part_Number)) report "ERROR : (SETUP_HOLD) : Hold time violation of CLK falling-edge aligned data." severity error; end if; end process; ----------------------------------------------------------------------------------------------------- CMD_HOLD_CHECK : process (CSB, WEB, RASB, CASB) begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then assert ((now - clk_last_rising) >= tIH (Part_Number)) report "ERROR : (SETUP_HOLD) : Command hold time violation." severity error; end if; end process; ----------------------------------------------------------------------------------------------------- CKE_HOLD_CHECK : process (CKE) begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then assert ((now - clk_last_rising) >= tIH (Part_Number)) report "ERROR : (SETUP_HOLD) : Clock enable hold time violation." severity error; end if; end process; ----------------------------------------------------------------------------------------------------- tRC_CHECK : process (BankActivateFlag) variable BkAdd : std_logic_vector((NUM_OF_BANK_ADD - 1) downto 0) := (others => 'X'); begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then BkAdd := (BA); if (BkAdd = "00" and (BankActivateFlag'EVENT and BankActivateFlag = TRUE)) then assert ((now - b0_last_activate) >= tRC) report "ERROR : (tRC_CHECK) : Row Address Strobe cycle time violation." severity error; elsif (BkAdd = "01" and (BankActivateFlag'EVENT and BankActivateFlag = TRUE)) then assert ((now - b1_last_activate) >= tRC) report "ERROR : (tRC_CHECK) : Row Address Strobe cycle time violation." severity error; elsif (BkAdd = "10" and (BankActivateFlag'EVENT and BankActivateFlag = TRUE)) then assert ((now - b2_last_activate) >= tRC) report "ERROR : (tRC_CHECK) : Row Address Strobe cycle time violation." severity error; elsif (BkAdd = "11" and (BankActivateFlag'EVENT and BankActivateFlag = TRUE)) then assert ((now - b3_last_activate) >= tRC) report "ERROR : (tRC_CHECK) : Row Address Strobe cycle time violation." severity error; end if; end if; end process; ----------------------------------------------------------------------------------------------------- tRCD_CHECK : process (casp6_rd, casp6_wt) variable BkAdd : std_logic_vector((NUM_OF_BANK_ADD - 1) downto 0) := (others => 'X'); begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then BkAdd := (BA); if (BkAdd = "00" and ((casp6_rd'event and casp6_rd = '1') or (casp6_wt'event and casp6_wt = '1'))) then assert ((now - b0_last_activate - 1.5 ns) >= tRCD) report "ERROR : (tRCD_CHECK) : Active to column Access delay time violation." severity error; b0_last_column_access <= transport now after 1 ns; b0_last_column_access_cycle <= transport clk_cycle_count; elsif (BkAdd = "01" and ((casp6_rd'event and casp6_rd = '1') or (casp6_wt'event and casp6_wt = '1'))) then assert ((now - b1_last_activate - 1.5 ns) >= tRCD) report "ERROR : (tRCD_CHECK) : Active to column Access delay time violation." severity error; b1_last_column_access <= transport now after 1 ns; b1_last_column_access_cycle <= transport clk_cycle_count; elsif (BkAdd = "10" and ((casp6_rd'event and casp6_rd = '1') or (casp6_wt'event and casp6_wt = '1'))) then assert ((now - b2_last_activate - 1.5 ns) >= tRCD) report "ERROR : (tRCD_CHECK) : Active to column Access delay time violation." severity error; b2_last_column_access <= transport now after 1 ns; b2_last_column_access_cycle <= transport clk_cycle_count; elsif (BkAdd = "11" and ((casp6_rd'event and casp6_rd = '1') or (casp6_wt'event and casp6_wt = '1'))) then assert ((now - b3_last_activate - 1.5 ns) >= tRCD) report "ERROR : (tRCD_CHECK) : Active to column Access delay time violation." severity error; b3_last_column_access <= transport now after 1 ns; b3_last_column_access_cycle <= transport clk_cycle_count; end if; end if; end process; ----------------------------------------------------------------------------------------------------- tRAS_CHECK : process (BankActivatedFlag(0), BankActivatedFlag(1), BankActivatedFlag(2), BankActivatedFlag(3)) begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then if (BankActivatedFlag(0)'EVENT and BankActivatedFlag(0) = '0' and BankActivatedFlag(0)'LAST_VALUE = '1') then assert ((now - b0_last_activate) >= tRAS) report "ERROR : (tRAS_CHECK) : Bank0 active time minimum violation." severity error; assert ((now - b0_last_activate) <= tRASmax (Part_Number)) report "ERROR : (tRAS_CHECK) : Bank0 active time maximum violation." severity error; end if; if (BankActivatedFlag(1)'EVENT and BankActivatedFlag(1) = '0' and BankActivatedFlag(1)'LAST_VALUE = '1') then assert ((now - b1_last_activate) >= tRAS) report "ERROR : (tRAS_CHECK) : Bank1 active time minimum violation." severity error; assert ((now - b1_last_activate) <= tRASmax (Part_Number)) report "ERROR : (tRAS_CHECK) : Bank1 active time maximum violation." severity error; end if; if (BankActivatedFlag(2)'EVENT and BankActivatedFlag(2) = '0' and BankActivatedFlag(2)'LAST_VALUE = '1') then assert ((now - b2_last_activate) >= tRAS) report "ERROR : (tRAS_CHECK) : Bank2 active time minimum violation." severity error; assert ((now - b2_last_activate) <= tRASmax (Part_Number)) report "ERROR : (tRAS_CHECK) : Bank2 active time maximum violation." severity error; end if; if (BankActivatedFlag(3)'EVENT and BankActivatedFlag(3) = '0' and BankActivatedFlag(3)'LAST_VALUE = '1') then assert ((now - b3_last_activate) >= tRAS) report "ERROR : (tRAS_CHECK) : Bank3 active time minimum violation." severity error; assert ((now - b3_last_activate) <= tRASmax (Part_Number)) report "ERROR : (tRAS_CHECK) : Bank3 active time maximum violation." severity error; end if; end if; end process; ----------------------------------------------------------------------------------------------------- tRP_CHECK : process (BankActivateFlag) variable BkAdd : std_logic_vector((NUM_OF_BANK_ADD - 1) downto 0) := (others => 'X'); begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then BkAdd := (BA); if (BkAdd = "00" and (BankActivateFlag'event and BankActivateFlag = TRUE)) then assert ((now - b0_last_precharge) >= tRP) report "ERROR : (tRP_CHECK) : Precharge to active delay time violation." severity error; elsif (BkAdd = "01" and (BankActivateFlag'event and BankActivateFlag = TRUE)) then assert ((now - b1_last_precharge) >= tRP) report "ERROR : (tRP_CHECK) : Precharge to active delay time violation." severity error; elsif (BkAdd = "10" and (BankActivateFlag'event and BankActivateFlag = TRUE)) then assert ((now - b2_last_precharge) >= tRP) report "ERROR : (tRP_CHECK) : Precharge to active delay time violation." severity error; elsif (BkAdd = "11" and (BankActivateFlag'event and BankActivateFlag = TRUE)) then assert ((now - b3_last_precharge) >= tRP) report "ERROR : (tRP_CHECK) : Precharge to active delay time violation." severity error; end if; end if; end process; ----------------------------------------------------------------------------------------------------- tRRD_CHECK : process (BankActivateFlag) begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then if (BankActivateFlag'EVENT and BankActivateFlag = TRUE) then assert ((now - b0_last_activate) >= tRRD) report "ERROR : (tRRD_CHECK) : Active to the other bank active delay time violation." severity error; assert ((now - b1_last_activate) >= tRRD) report "ERROR : (tRRD_CHECK) : Active to the other bank active delay time violation." severity error; assert ((now - b2_last_activate) >= tRRD) report "ERROR : (tRRD_CHECK) : Active to the other bank active delay time violation." severity error; assert ((now - b3_last_activate) >= tRRD) report "ERROR : (tRRD_CHECK) : Active to the other bank active delay time violation." severity error; end if; end if; end process; ----------------------------------------------------------------------------------------------------- tCCD_CHECK : process (casp6_rd, casp6_wt) begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then if ((casp6_rd'EVENT and casp6_rd = '1') or (casp6_wt'EVENT and casp6_wt = '1')) then -- print("last_column_access : " & -- tost(b0_last_column_access_cycle) & " " & -- tost(b1_last_column_access_cycle) & " " & -- tost(b2_last_column_access_cycle) & " " & -- tost(b3_last_column_access_cycle) & " " & -- "clk_cycle_count: " & tost(clk_cycle_count)); assert (clk_cycle_count - b0_last_column_access_cycle >= tCCD_cycles or clk_cycle_count <= b0_last_column_access_cycle) report "ERROR : (tCCD_CHECK) : Column access to column access delay time violation." severity error; assert (clk_cycle_count - b1_last_column_access_cycle >= tCCD_cycles or clk_cycle_count <= b1_last_column_access_cycle) report "ERROR : (tCCD_CHECK) : Column access to column access delay time violation." severity error; assert (clk_cycle_count - b2_last_column_access_cycle >= tCCD_cycles or clk_cycle_count <= b2_last_column_access_cycle) report "ERROR : (tCCD_CHECK) : Column access to column access delay time violation." severity error; assert (clk_cycle_count - b3_last_column_access_cycle >= tCCD_cycles or clk_cycle_count <= b3_last_column_access_cycle) report "ERROR : (tCCD_CHECK) : Column access to column access delay time violation." severity error; -- assert ((now - b0_last_column_access) >= tCCD) report -- "ERROR : (tCCD_CHECK) : Column access to column access delay time violation." -- severity error; -- assert ((now - b1_last_column_access) >= tCCD) report -- "ERROR : (tCCD_CHECK) : Column access to column access delay time violation." -- severity error; -- assert ((now - b2_last_column_access) >= tCCD) report -- "ERROR : (tCCD_CHECK) : Column access to column access delay time violation." -- severity error; -- assert ((now - b3_last_column_access) >= tCCD) report -- "ERROR : (tCCD_CHECK) : Column access to column access delay time violation." -- severity error; end if; end if; end process; ----------------------------------------------------------------------------------------------------- tWR_CHECK : process (PrechargeFlag, PrechargeAllFlag) variable BkAdd : std_logic_vector((NUM_OF_BANK_ADD - 1) downto 0) := (others => 'X'); begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then BkAdd := (BA); if (BkAdd = "00" and (PrechargeFlag'EVENT and PrechargeFlag = TRUE)) then assert ((now - b0_last_data_in) >= tWR(Part_Number)) report "ERROR : (tWR_CHECK) : Last data in to precharge command delay violation." severity error; elsif (BkAdd = "01" and (PrechargeFlag'EVENT and PrechargeFlag = TRUE)) then assert ((now - b1_last_data_in) >= tWR(Part_Number)) report "ERROR : (tWR_CHECK) : Last data in to precharge command delay violation." severity error; elsif (BkAdd = "10" and (PrechargeFlag'EVENT and PrechargeFlag = TRUE)) then assert ((now - b2_last_data_in) >= tWR(Part_Number)) report "ERROR : (tWR_CHECK) : Last data in to precharge command delay violation." severity error; elsif (BkAdd = "11" and (PrechargeFlag'EVENT and PrechargeFlag = TRUE)) then assert ((now - b3_last_data_in) >= tWR(Part_Number)) report "ERROR : (tWR_CHECK) : Last data in to precharge command delay violation." severity error; elsif (PrechargeAllFlag'EVENT and PrechargeAllFlag = TRUE) then assert ((now - b0_last_data_in) >= tWR(Part_Number)) report "ERROR : (tWR_CHECK) : Last data in to precharge command delay violation." severity error; assert ((now - b1_last_data_in) >= tWR(Part_Number)) report "ERROR : (tWR_CHECK) : Last data in to precharge command delay violation." severity error; assert ((now - b2_last_data_in) >= tWR(Part_Number)) report "ERROR : (tWR_CHECK) : Last data in to precharge command delay violation." severity error; assert ((now - b3_last_data_in) >= tWR(Part_Number)) report "ERROR : (tWR_CHECK) : Last data in to precharge command delay violation." severity error; end if; end if; end process; ----------------------------------------------------------------------------------------------------- tWTR_CHECK : process (casp6_rd) begin if (TimingCheckFlag = TRUE and ModeRegisterSetFlag = TRUE) then if (casp6_rd'event and casp6_rd = '1') then assert ((now - b0_last_data_in - 1 ns) >= tWTR) report "ERROR : (tWTR_CHECK) : Last data in to read command delay violation." severity error; assert ((now - b1_last_data_in - 1 ns) >= tWTR) report "ERROR : (tWTR_CHECK) : Last data in to read command delay violation." severity error; assert ((now - b2_last_data_in - 1 ns) >= tWTR) report "ERROR : (tWTR_CHECK) : Last data in to read command delay violation." severity error; assert ((now - b3_last_data_in - 1 ns) >= tWTR) report "ERROR : (tWTR_CHECK) : Last data in to read command delay violation." severity error; end if; end if; end process; ----------------------------------------------------------------------------------------------------- tMRD_CHECK : process (mrs_cmd_in) begin if (mrs_cmd_in'event and mrs_cmd_in = '1') then -- assert ((now - last_mrs_set) >= tMRD) report -- "ERROR : (tMRD_CHECK) : MRS to MRS delay violation." -- severity error; -- print("clk_cycle_count: " & tost(clk_cycle_count) & " last_mrs_set_cycle:" & tost(last_mrs_set_cycle)); assert (clk_cycle_count-last_mrs_set_cycle >= tMRD_cycles or clk_cycle_count <= last_mrs_set_cycle) report "ERROR : (tMRD_CHECK) : MRS to MRS delay violation." severity error; last_mrs_set <= transport now; last_mrs_set_cycle <= transport clk_cycle_count; end if; end process; ----------------------------------------------------------------------------------------------------- OCD_DEFAULT_CHECK : process (ExtModeRegister.OCD_PGM) begin if (TimingCheckFlag = TRUE) then if (ExtModeRegister.OCD_PGM = CAL_DEFAULT and DLL_reset = '1') then assert false report "WARNING : DLL RESET to OCD Default Delay Violation." severity warning; end if; end if; end process; ----------------------------------------------------------------------------------------------------- OCD_ADJUST_CHECK : process (ExtModeRegister.OCD_PGM) begin if (ExtModeRegister.OCD_PGM'event and ExtModeRegister.OCD_PGM = CAL_EXIT) then if (TimingCheckFlag = TRUE) then assert (now - last_ocd_adjust_cmd >= (ExtModeRegister.AL + ModeRegister.CAS_LATENCY + 1 + ModeRegister.TWR) * clk_cycle) report "WARNINg : OCD ADJUST to OCD CALIBRATION EXIT Delay Violation." severity warning; end if; elsif (ExtModeRegister.OCD_PGM'event and ExtModeRegister.OCD_PGM = ADJUST) then last_ocd_adjust_cmd <= transport now; end if; end process; ----------------------------------------------------------------------------------------------------- End Behavioral_Model_HY5PS121621F; -----------------------------------------------------------------------------------------------------
gpl-2.0
37c7b1b853934b1f5723ef855f5b3dcd
0.543634
3.461673
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/techmap/unisim/pads_unisim.vhd
1
40,266
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: pad_xilinx_gen -- File: pad_xilinx_gen.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Xilinx pads wrappers ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library unisim; use unisim.IBUF; -- pragma translate_on entity unisim_inpad is generic (level : integer := 0; voltage : integer := x33v); port (pad : in std_ulogic; o : out std_ulogic); end; architecture rtl of unisim_inpad is component IBUF generic( CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "LVCMOS25"); port (O : out std_ulogic; I : in std_ulogic); end component; attribute syn_noprune : boolean; attribute syn_noprune of IBUF : component is true; begin pci0 : if level = pci33 generate pci_5 : if voltage = x50v generate ip : IBUF generic map (IOSTANDARD => "PCI33_5") port map (O => o, I => pad); end generate; pci_3 : if voltage /= x50v generate ip : IBUF generic map (IOSTANDARD => "PCI33_3") port map (O => o, I => pad); end generate; end generate; ttl0 : if level = ttl generate ip : IBUF generic map (IOSTANDARD => "LVTTL") port map (O => o, I => pad); end generate; cmos0 : if level = cmos generate cmos_33 : if voltage = x33v generate ip : IBUF generic map (IOSTANDARD => "LVCMOS33") port map (O => o, I => pad); end generate; cmos_25 : if voltage = x25v generate ip : IBUF generic map (IOSTANDARD => "LVCMOS25") port map (O => o, I => pad); end generate; cmos_18 : if voltage = x18v generate ip : IBUF generic map (IOSTANDARD => "LVCMOS18") port map (O => o, I => pad); end generate; cmos_15 : if voltage = x15v generate ip : IBUF generic map (IOSTANDARD => "LVCMOS15") port map (O => o, I => pad); end generate; end generate; sstl2x : if level = sstl2_i generate ip : IBUF generic map (IOSTANDARD => "SSTL2_I") port map (O => o, I => pad); end generate; sstl2y : if level = sstl2_ii generate ip : IBUF generic map (IOSTANDARD => "SSTL2_II") port map (O => o, I => pad); end generate; gen0 : if (level /= pci33) and (level /= ttl) and (level /= cmos) and (level /= sstl2_i)and (level /= sstl2_ii) generate ip : IBUF port map (O => o, I => pad); end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library unisim; use unisim.IOBUF; -- pragma translate_on entity unisim_iopad is generic (level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12); port (pad : inout std_ulogic; i, en : in std_ulogic; o : out std_ulogic); end ; architecture rtl of unisim_iopad is component IOBUF generic ( DRIVE : integer := 12; IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW"); port (O : out std_ulogic; IO : inout std_logic; I, T : in std_ulogic); end component; attribute syn_noprune : boolean; attribute syn_noprune of IOBUF : component is true; begin pci0 : if level = pci33 generate pci_5 : if voltage = x50v generate op : IOBUF generic map (IOSTANDARD => "PCI33_5") port map (O => o, IO => pad, I => i, T => en); end generate; pci_3 : if voltage /= x50v generate op : IOBUF generic map (IOSTANDARD => "PCI33_3") port map (O => o, IO => pad, I => i, T => en); end generate; end generate; ttl0 : if level = ttl generate slow0 : if slew = 0 generate op : IOBUF generic map (drive => strength, IOSTANDARD => "LVTTL") port map (O => o, IO => pad, I => i, T => en); end generate; fast0 : if slew /= 0 generate op : IOBUF generic map (drive => strength, IOSTANDARD => "LVTTL", SLEW => "FAST") port map (O => o, IO => pad, I => i, T => en); end generate; end generate; cmos0 : if level = cmos generate cmos_33 : if voltage = x33v generate slow0 : if slew = 0 generate op : IOBUF generic map (drive => strength, IOSTANDARD => "LVCMOS33") port map (O => o, IO => pad, I => i, T => en); end generate; fast0 : if slew /= 0 generate op : IOBUF generic map (drive => strength, IOSTANDARD => "LVCMOS33", SLEW => "FAST") port map (O => o, IO => pad, I => i, T => en); end generate; end generate; cmos_25 : if voltage = x25v generate slow0 : if slew = 0 generate op : IOBUF generic map (drive => strength, IOSTANDARD => "LVCMOS25") port map (O => o, IO => pad, I => i, T => en); end generate; fast0 : if slew /= 0 generate op : IOBUF generic map (drive => strength, IOSTANDARD => "LVCMOS25", SLEW => "FAST") port map (O => o, IO => pad, I => i, T => en); end generate; end generate; cmos_18 : if voltage = x18v generate slow0 : if slew = 0 generate op : IOBUF generic map (drive => strength, IOSTANDARD => "LVCMOS18") port map (O => o, IO => pad, I => i, T => en); end generate; fast0 : if slew /= 0 generate op : IOBUF generic map (drive => strength, IOSTANDARD => "LVCMOS18", SLEW => "FAST") port map (O => o, IO => pad, I => i, T => en); end generate; end generate; cmos_15 : if voltage = x15v generate slow0 : if slew = 0 generate op : IOBUF generic map (drive => strength, IOSTANDARD => "LVCMOS15") port map (O => o, IO => pad, I => i, T => en); end generate; fast0 : if slew /= 0 generate op : IOBUF generic map (drive => strength, IOSTANDARD => "LVCMOS15", SLEW => "FAST") port map (O => o, IO => pad, I => i, T => en); end generate; end generate; end generate; sstl2x : if level = sstl2_i generate op : IOBUF generic map (drive => strength, IOSTANDARD => "SSTL2_I") port map (O => o, IO => pad, I => i, T => en); end generate; sstl2y : if level = sstl2_ii generate op : IOBUF generic map (drive => strength, IOSTANDARD => "SSTL2_II") port map (O => o, IO => pad, I => i, T => en); end generate; sstl18i : if level = sstl18_i generate op : IOBUF generic map (drive => strength, IOSTANDARD => "SSTL18_I") port map (O => o, IO => pad, I => i, T => en); end generate; sstl18ii : if level = sstl18_ii generate op : IOBUF generic map (drive => strength, IOSTANDARD => "SSTL18_II") port map (O => o, IO => pad, I => i, T => en); end generate; gen0 : if (level /= pci33) and (level /= ttl) and (level /= cmos) and (level /= sstl2_i) and (level /= sstl2_ii) and (level /= sstl18_i) and (level /= sstl18_ii) generate op : IOBUF port map (O => o, IO => pad, I => i, T => en); end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library unisim; use unisim.OBUF; -- pragma translate_on entity unisim_outpad is generic (level : integer := 0; slew : integer := 0; voltage : integer := 0; strength : integer := 12); port (pad : out std_ulogic; i : in std_ulogic); end ; architecture rtl of unisim_outpad is component OBUF generic ( CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12; IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW"); port (O : out std_ulogic; I : in std_ulogic); end component; attribute syn_noprune : boolean; attribute syn_noprune of OBUF : component is true; begin pci0 : if level = pci33 generate pci_5 : if voltage = x50v generate op : OBUF generic map (drive => strength, IOSTANDARD => "PCI33_5") port map (O => pad, I => i); end generate; pci_3 : if voltage /= x50v generate op : OBUF generic map (drive => strength, IOSTANDARD => "PCI33_3") port map (O => pad, I => i); end generate; end generate; ttl0 : if level = ttl generate slow0 : if slew = 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVTTL") port map (O => pad, I => i); end generate; fast0 : if slew /= 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVTTL", SLEW => "FAST") port map (O => pad, I => i); end generate; end generate; cmos0 : if level = cmos generate cmos_3: if voltage = x33v generate slow0 : if slew = 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVCMOS33") port map (O => pad, I => i); end generate; fast0 : if slew /= 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVCMOS33", SLEW => "FAST") port map (O => pad, I => i); end generate; end generate; cmos_25: if voltage = x25v generate slow0 : if slew = 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVCMOS25") port map (O => pad, I => i); end generate; fast0 : if slew /= 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVCMOS25", SLEW => "FAST") port map (O => pad, I => i); end generate; end generate; cmos_18: if voltage = x18v generate slow0 : if slew = 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVCMOS18") port map (O => pad, I => i); end generate; fast0 : if slew /= 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVCMOS18", SLEW => "FAST") port map (O => pad, I => i); end generate; end generate; cmos_15: if voltage = x15v generate slow0 : if slew = 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVCMOS15") port map (O => pad, I => i); end generate; fast0 : if slew /= 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVCMOS15", SLEW => "FAST") port map (O => pad, I => i); end generate; end generate; end generate; sstl2x : if level = sstl2_i generate op : OBUF generic map (drive => strength, IOSTANDARD => "SSTL2_I") port map (O => pad, I => i); end generate; sstl2y : if level = sstl2_ii generate op : OBUF generic map (drive => strength, IOSTANDARD => "SSTL2_II") port map (O => pad, I => i); end generate; sstl18i : if level = sstl18_i generate op : OBUF generic map (drive => strength, IOSTANDARD => "SSTL18_I") port map (O => pad, I => i); end generate; sstl18ii : if level = sstl18_ii generate op : OBUF generic map (drive => strength, IOSTANDARD => "SSTL18_II") port map (O => pad, I => i); end generate; gen0 : if (level /= pci33) and (level /= ttl) and (level /= cmos) and (level /= sstl2_i) and (level /= sstl2_ii) and (level /= sstl18_i) and (level /= sstl18_ii) generate op : OBUF port map (O => pad, I => i); end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library unisim; use unisim.OBUFT; -- pragma translate_on entity unisim_toutpad is generic (level : integer := 0; slew : integer := 0; voltage : integer := 0; strength : integer := 12); port (pad : out std_ulogic; i, en : in std_ulogic); end ; architecture rtl of unisim_toutpad is component OBUFT generic ( CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12; IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW"); port (O : out std_ulogic; I, T : in std_ulogic); end component; attribute syn_noprune : boolean; attribute syn_noprune of OBUFT : component is true; begin pci0 : if level = pci33 generate pci_5 : if voltage = x50v generate op : OBUFT generic map (drive => strength, IOSTANDARD => "PCI33_5") port map (O => pad, I => i, T => en); end generate; pci_3 : if voltage /= x50v generate op : OBUFT generic map (drive => strength, IOSTANDARD => "PCI33_3") port map (O => pad, I => i, T => en); end generate; end generate; ttl0 : if level = ttl generate slow0 : if slew = 0 generate op : OBUFT generic map (drive => strength, IOSTANDARD => "LVTTL") port map (O => pad, I => i, T => en); end generate; fast0 : if slew /= 0 generate op : OBUFT generic map (drive => strength, IOSTANDARD => "LVTTL", SLEW => "FAST") port map (O => pad, I => i, T => en); end generate; end generate; cmos0 : if level = cmos generate cmos_33 : if voltage = x33v generate slow0 : if slew = 0 generate op : OBUFT generic map (drive => strength, IOSTANDARD => "LVCMOS33") port map (O => pad, I => i, T => en); end generate; fast0 : if slew /= 0 generate op : OBUFT generic map (drive => strength, IOSTANDARD => "LVCMOS33", SLEW => "FAST") port map (O => pad, I => i, T => en); end generate; end generate; cmos_25 : if voltage = x25v generate slow0 : if slew = 0 generate op : OBUFT generic map (drive => strength, IOSTANDARD => "LVCMOS25") port map (O => pad, I => i, T => en); end generate; fast0 : if slew /= 0 generate op : OBUFT generic map (drive => strength, IOSTANDARD => "LVCMOS25", SLEW => "FAST") port map (O => pad, I => i, T => en); end generate; end generate; cmos_18 : if voltage = x18v generate slow0 : if slew = 0 generate op : OBUFT generic map (drive => strength, IOSTANDARD => "LVCMOS18") port map (O => pad, I => i, T => en); end generate; fast0 : if slew /= 0 generate op : OBUFT generic map (drive => strength, IOSTANDARD => "LVCMOS18", SLEW => "FAST") port map (O => pad, I => i, T => en); end generate; end generate; end generate; gen0 : if (level /= pci33) and (level /= ttl) and (level /= cmos) generate op : OBUFT port map (O => pad, I => i, T => en); end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library unisim; use unisim.OBUF; use unisim.BUFG; use unisim.DCM; -- pragma translate_on entity unisim_skew_outpad is generic (level : integer := 0; slew : integer := 0; voltage : integer := 0; strength : integer := 12; skew : integer := 0); port (pad : out std_ulogic; i : in std_ulogic; rst : in std_ulogic; o : out std_ulogic); end ; architecture rtl of unisim_skew_outpad is component OBUF generic ( CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12; IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW"); port (O : out std_ulogic; I : in std_ulogic); end component; component DCM generic ( CLKDV_DIVIDE : real := 2.0; CLKFX_DIVIDE : integer := 1; CLKFX_MULTIPLY : integer := 4; CLKIN_DIVIDE_BY_2 : boolean := false; CLKIN_PERIOD : real := 10.0; CLKOUT_PHASE_SHIFT : string := "NONE"; CLK_FEEDBACK : string := "1X"; DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; DFS_FREQUENCY_MODE : string := "LOW"; DLL_FREQUENCY_MODE : string := "LOW"; DSS_MODE : string := "NONE"; DUTY_CYCLE_CORRECTION : boolean := true; FACTORY_JF : bit_vector := X"C080"; PHASE_SHIFT : integer := 0; STARTUP_WAIT : boolean := false ); port ( CLKFB : in std_logic; CLKIN : in std_logic; DSSEN : in std_logic; PSCLK : in std_logic; PSEN : in std_logic; PSINCDEC : in std_logic; RST : in std_logic; CLK0 : out std_logic; CLK90 : out std_logic; CLK180 : out std_logic; CLK270 : out std_logic; CLK2X : out std_logic; CLK2X180 : out std_logic; CLKDV : out std_logic; CLKFX : out std_logic; CLKFX180 : out std_logic; LOCKED : out std_logic; PSDONE : out std_logic; STATUS : out std_logic_vector (7 downto 0)); end component; component BUFG port (O : out std_logic; I : in std_logic); end component; signal reset, clk0, clk0b, gnd, vcc : std_ulogic; attribute syn_noprune : boolean; attribute syn_noprune of OBUF : component is true; begin gnd <= '0'; vcc <= '1'; reset <= not rst; dll0 : DCM generic map (clkin_period => 10.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS", CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => skew) port map ( CLKIN => i, CLKFB => clk0b, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => reset, CLK0 => clk0); bufg0 : BUFG port map (I => clk0, O => clk0b); o <= clk0b; -- output before pad --x0 : unisim_outpad generic map (level, slew, voltage, strength) port map (pad, clk0b); pci0 : if level = pci33 generate pci_5 : if voltage = x50v generate op : OBUF generic map (drive => strength, IOSTANDARD => "PCI33_5") port map (O => pad, I => clk0b); end generate; pci_3 : if voltage /= x50v generate op : OBUF generic map (drive => strength, IOSTANDARD => "PCI33_3") port map (O => pad, I => clk0b); end generate; end generate; ttl0 : if level = ttl generate slow0 : if slew = 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVTTL") port map (O => pad, I => clk0b); end generate; fast0 : if slew /= 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVTTL", SLEW => "FAST") port map (O => pad, I => clk0b); end generate; end generate; cmos0 : if level = cmos generate slow0 : if slew = 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVCMOS33") port map (O => pad, I => clk0b); end generate; fast0 : if slew /= 0 generate op : OBUF generic map (drive => strength, IOSTANDARD => "LVCMOS33", SLEW => "FAST") port map (O => pad, I => clk0b); end generate; end generate; sstl2x : if level = sstl2_i generate op : OBUF generic map (drive => strength, IOSTANDARD => "SSTL2_I") port map (O => pad, I => clk0b); end generate; sstl2y : if level = sstl2_ii generate op : OBUF generic map (drive => strength, IOSTANDARD => "SSTL2_II") port map (O => pad, I => clk0b); end generate; sstl18i : if level = sstl18_i generate op : OBUF generic map (drive => strength, IOSTANDARD => "SSTL18_I") port map (O => pad, I => clk0b); end generate; sstl18ii : if level = sstl18_ii generate op : OBUF generic map (drive => strength, IOSTANDARD => "SSTL18_II") port map (O => pad, I => clk0b); end generate; gen0 : if (level /= pci33) and (level /= ttl) and (level /= cmos) and (level /= sstl2_i) and (level /= sstl2_ii) and (level /= sstl18_i) and (level /= sstl18_ii) generate op : OBUF port map (O => pad, I => clk0b); end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library unisim; use unisim.IBUFG; use unisim.IBUF; use unisim.BUFGMUX; use unisim.BUFG; -- pragma translate_on entity unisim_clkpad is generic (level : integer := 0; voltage : integer := x33v; arch : integer := 0; hf : integer := 0; tech : integer := 0); port (pad : in std_ulogic; o : out std_ulogic; rstn : in std_ulogic := '1'; lock : out std_ulogic); end; architecture rtl of unisim_clkpad is component IBUFG generic( CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "LVCMOS25"); port (O : out std_logic; I : in std_logic); end component; component IBUF generic( CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "LVCMOS25"); port (O : out std_ulogic; I : in std_ulogic); end component; component BUFGMUX port (O : out std_logic; I0, I1, S : in std_logic); end component; component BUFG port (O : out std_logic; I : in std_logic); end component; component BUFR port (O : out std_logic; I, CE, CLR : in std_logic); end component; component CLKDLL port ( CLK0 : out std_ulogic; CLK180 : out std_ulogic; CLK270 : out std_ulogic; CLK2X : out std_ulogic; CLK90 : out std_ulogic; CLKDV : out std_ulogic; LOCKED : out std_ulogic; CLKFB : in std_ulogic; CLKIN : in std_ulogic; RST : in std_ulogic); end component; component CLKDLLHF port ( CLK0 : out std_ulogic; CLK180 : out std_ulogic; CLKDV : out std_ulogic; LOCKED : out std_ulogic; CLKFB : in std_ulogic; CLKIN : in std_ulogic; RST : in std_ulogic); end component; component DCM_SP generic ( CLKDV_DIVIDE : real := 2.0; CLKFX_DIVIDE : integer := 1; CLKFX_MULTIPLY : integer := 4; CLKIN_DIVIDE_BY_2 : boolean := false; CLKIN_PERIOD : real := 10.0; CLKOUT_PHASE_SHIFT : string := "NONE"; CLK_FEEDBACK : string := "1X"; DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; DFS_FREQUENCY_MODE : string := "LOW"; DLL_FREQUENCY_MODE : string := "LOW"; DSS_MODE : string := "NONE"; DUTY_CYCLE_CORRECTION : boolean := true; FACTORY_JF : bit_vector := X"C080"; PHASE_SHIFT : integer := 0; STARTUP_WAIT : boolean := false ); port ( CLK0 : out std_ulogic := '0'; CLK180 : out std_ulogic := '0'; CLK270 : out std_ulogic := '0'; CLK2X : out std_ulogic := '0'; CLK2X180 : out std_ulogic := '0'; CLK90 : out std_ulogic := '0'; CLKDV : out std_ulogic := '0'; CLKFX : out std_ulogic := '0'; CLKFX180 : out std_ulogic := '0'; LOCKED : out std_ulogic := '0'; PSDONE : out std_ulogic := '0'; STATUS : out std_logic_vector(7 downto 0) := "00000000"; CLKFB : in std_ulogic := '0'; CLKIN : in std_ulogic := '0'; DSSEN : in std_ulogic := '0'; PSCLK : in std_ulogic := '0'; PSEN : in std_ulogic := '0'; PSINCDEC : in std_ulogic := '0'; RST : in std_ulogic := '0'); end component; signal gnd, ol, ol2, ol3 : std_ulogic; signal rst : std_ulogic; attribute syn_noprune : boolean; attribute syn_noprune of IBUFG : component is true; attribute syn_noprune of IBUF : component is true; begin gnd <= '0'; rst <= not rstn; g0 : if arch = 0 generate pci0 : if level = pci33 generate pci_5 : if voltage = x50v generate ip : IBUFG generic map (IOSTANDARD => "PCI33_5") port map (O => o, I => pad); end generate; pci_3 : if voltage /= x50v generate ip : IBUFG generic map (IOSTANDARD => "PCI33_3") port map (O => o, I => pad); end generate; end generate; ttl0 : if level = ttl generate ip : IBUFG generic map (IOSTANDARD => "LVTTL") port map (O => o, I => pad); end generate; cmos0 : if level = cmos generate cmos_33 : if voltage = x33v generate ip : IBUFG generic map (IOSTANDARD => "LVCMOS33") port map (O => o, I => pad); end generate; cmos_25 : if voltage = x25v generate ip : IBUFG generic map (IOSTANDARD => "LVCMOS25") port map (O => o, I => pad); end generate; cmos_18 : if voltage = x18v generate ip : IBUFG generic map (IOSTANDARD => "LVCMOS18") port map (O => o, I => pad); end generate; end generate; sstl2 : if level = sstl2_ii generate ip : IBUFG generic map (IOSTANDARD => "SSTL2_II") port map (O => o, I => pad); end generate; gen0 : if (level /= pci33) and (level /= ttl) and (level /= cmos) and (level /= sstl2_ii) generate ip : IBUFG port map (O => o, I => pad); end generate; lock <= '1'; end generate; g1 : if arch = 1 generate pci0 : if level = pci33 generate pci_5 : if voltage = x50v generate ip : IBUF generic map (IOSTANDARD => "PCI33_5") port map (O => ol, I => pad); bf : BUFGMUX port map (O => o, I0 => ol, I1 => gnd, S => gnd); end generate; pci_3 : if voltage /= x50v generate ip : IBUF generic map (IOSTANDARD => "PCI33_3") port map (O => ol, I => pad); bf : BUFGMUX port map (O => o, I0 => ol, I1 => gnd, S => gnd); end generate; end generate; ttl0 : if level = ttl generate ip : IBUF generic map (IOSTANDARD => "LVTTL") port map (O => ol, I => pad); bf : BUFGMUX port map (O => o, I0 => ol, I1 => gnd, S => gnd); end generate; cmos0 : if level = cmos generate ip : IBUF generic map (IOSTANDARD => "LVCMOS33") port map (O => ol, I => pad); bf : BUFGMUX port map (O => o, I0 => ol, I1 => gnd, S => gnd); end generate; gen0 : if (level /= pci33) and (level /= ttl) and (level /= cmos) generate ip : IBUF port map (O => ol, I => pad); bf : BUFGMUX port map (O => o, I0 => ol, I1 => gnd, S => gnd); end generate; lock <= '1'; end generate; g2 : if arch = 2 generate pci0 : if level = pci33 generate pci_5 : if voltage = x50v generate ip : IBUFG generic map (IOSTANDARD => "PCI33_5") port map (O => ol, I => pad); bf : BUFG port map (O => o, I => ol); end generate; pci_3 : if voltage /= x50v generate ip : IBUFG generic map (IOSTANDARD => "PCI33_3") port map (O => ol, I => pad); bf : BUFG port map (O => o, I => ol); end generate; end generate; ttl0 : if level = ttl generate ip : IBUFG generic map (IOSTANDARD => "LVTTL") port map (O => ol, I => pad); bf : BUFG port map (O => o, I => ol); end generate; cmos0 : if level = cmos generate cmos_33 : if voltage = x33v generate ip : IBUFG generic map (IOSTANDARD => "LVCMOS33") port map (O => ol, I => pad); bf : BUFG port map (O => o, I => ol); end generate; cmos_25 : if voltage = x25v generate ip : IBUFG generic map (IOSTANDARD => "LVCMOS25") port map (O => ol, I => pad); bf : BUFG port map (O => o, I => ol); end generate; cmos_18 : if voltage = x18v generate ip : IBUFG generic map (IOSTANDARD => "LVCMOS18") port map (O => ol, I => pad); bf : BUFG port map (O => o, I => ol); end generate; end generate; gen0 : if (level /= pci33) and (level /= ttl) and (level /= cmos) generate ip : IBUFG port map (O => ol, I => pad); bf : BUFG port map (O => o, I => ol); end generate; lock <= '1'; end generate; g3 : if arch = 3 generate ip : IBUFG port map (O => ol, I => pad); sp6 : if tech = spartan6 generate dll: DCM_SP generic map (CLK_FEEDBACK => "1X") port map ( CLK0 => ol2, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLK90 => open, CLKDV => open, CLKFX => open, CLKFX180 => open, LOCKED => lock, PSDONE => open, STATUS => open, CLKFB => ol3, CLKIN => ol, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => rst); end generate; nsp6 : if tech /= spartan6 generate hf0 : if hf = 0 generate dll: CLKDLL port map( CLK0 => ol2, CLK180 => open, CLK270 => open, CLK2X => open, CLK90 => open, CLKDV => open, LOCKED => lock, CLKFB => ol3, CLKIN => ol, RST => rst); end generate; hf1 : if hf = 1 generate dll : CLKDLLHF port map( CLK0 => ol2, CLK180 => open, CLKDV => open, LOCKED => lock, CLKFB => ol3, CLKIN => ol, RST => rst); end generate; end generate; bf : BUFG port map (O => ol3, I => ol2); o <= ol3; end generate g3; g4 : if arch = 4 generate cmos0 : if level = cmos generate cmos_33 : if voltage = x33v generate ip : IBUF generic map (IOSTANDARD => "LVCMOS33") port map (O => ol, I => pad); bf : BUFR port map (O => o, I => ol, CE => '0', CLR => '0'); end generate; cmos_25 : if voltage /= x33v generate ip : IBUF generic map (IOSTANDARD => "LVCMOS25") port map (O => ol, I => pad); bf : BUFR port map (O => o, I => ol, CE => '0', CLR => '0'); end generate; end generate; gen0 : if (level /= cmos) generate ip : IBUF port map (O => ol, I => pad); bf : BUFR port map (O => o, I => ol, CE => '0', CLR => '0'); end generate; lock <= '1'; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library unisim; use unisim.IBUFDS_LVDS_25; use unisim.IBUFDS_LVDS_33; -- pragma translate_on entity unisim_inpad_ds is generic (level : integer := lvds; voltage : integer := x33v; term : integer := 0); port (padp, padn : in std_ulogic; o : out std_ulogic); end; architecture rtl of unisim_inpad_ds is component IBUFDS_LVDS_25 port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; component IBUFDS_LVDS_33 port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; begin xlvds : if level = lvds generate lvds_33 : if voltage = x33v generate ip : IBUFDS_LVDS_33 port map (O => o, I => padp, IB => padn); end generate; lvds_25 : if voltage /= x33v generate ip : IBUFDS_LVDS_25 port map (O => o, I => padp, IB => padn); end generate; end generate; beh : if level /= lvds generate o <= padp after 1 ns; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library unisim; use unisim.IBUFGDS; use unisim.IBUFGDS_LVDS_25; use unisim.IBUFGDS_LVDS_33; -- pragma translate_on entity unisim_clkpad_ds is generic (level : integer := lvds; voltage : integer := x33v; term : integer := 0); port (padp, padn : in std_ulogic; o : out std_ulogic); end; architecture rtl of unisim_clkpad_ds is component IBUFGDS generic ( CAPACITANCE : string := "DONT_CARE"; DIFF_TERM : boolean := FALSE; IBUF_DELAY_VALUE : string := "0"; IOSTANDARD : string := "DEFAULT"); port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; component IBUFGDS_LVDS_25 port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; component IBUFGDS_LVDS_33 port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; attribute syn_noprune : boolean; attribute syn_noprune of IBUFGDS_LVDS_25 : component is true; attribute syn_noprune of IBUFGDS_LVDS_33 : component is true; begin xlvds : if level = lvds generate lvds_33 : if voltage = x33v generate ip : IBUFGDS_LVDS_33 port map (O => o, I => padp, IB => padn); end generate; lvds_25 : if voltage = x25v generate ip : IBUFGDS_LVDS_25 port map (O => o, I => padp, IB => padn); end generate; end generate; xsstl : if level = sstl generate sstl_18 : if voltage = x18v generate ip : IBUFGDS generic map (DIFF_TERM => true, IOSTANDARD =>"DIFF_SSTL18") port map (O => o, I => padp, IB => padn); end generate; sstl_15 : if voltage = x15v generate ip : IBUFGDS generic map (DIFF_TERM => true, IOSTANDARD =>"DIFF_SSTL15") port map (O => o, I => padp, IB => padn); end generate; end generate; beh : if ((level /= lvds) and (level /= sstl)) generate o <= padp after 1 ns; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library unisim; use unisim.IBUFDS; -- pragma translate_on entity virtex4_inpad_ds is generic (level : integer := lvds; voltage : integer := x33v); port (padp, padn : in std_ulogic; o : out std_ulogic); end; architecture rtl of virtex4_inpad_ds is component IBUFDS generic ( CAPACITANCE : string := "DONT_CARE"; DIFF_TERM : boolean := FALSE; IBUF_DELAY_VALUE : string := "0"; IFD_DELAY_VALUE : string := "AUTO"; IOSTANDARD : string := "DEFAULT"); port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; attribute syn_noprune : boolean; attribute syn_noprune of IBUFDS : component is true; begin xlvds : if level = lvds generate lvds_33 : if voltage = x33v generate ip : IBUFDS generic map (DIFF_TERM => true, IOSTANDARD =>"LVDS_33") port map (O => o, I => padp, IB => padn); end generate; lvds_25 : if voltage /= x33v generate ip : IBUFDS generic map (DIFF_TERM => true, IOSTANDARD =>"LVDS_25") port map (O => o, I => padp, IB => padn); end generate; end generate; beh : if level /= lvds generate o <= padp after 1 ns; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library unisim; use unisim.IBUFGDS; -- pragma translate_on entity virtex4_clkpad_ds is generic (level : integer := lvds; voltage : integer := x33v; term : integer := 0); port (padp, padn : in std_ulogic; o : out std_ulogic); end; architecture rtl of virtex4_clkpad_ds is component IBUFGDS generic ( CAPACITANCE : string := "DONT_CARE"; DIFF_TERM : boolean := FALSE; IBUF_DELAY_VALUE : string := "0"; IOSTANDARD : string := "DEFAULT"); port ( O : out std_ulogic; I : in std_ulogic; IB : in std_ulogic); end component; attribute syn_noprune : boolean; attribute syn_noprune of IBUFGDS : component is true; begin xlvds : if level = lvds generate lvds_33 : if voltage = x33v generate ip : IBUFGDS generic map (DIFF_TERM => true, IOSTANDARD =>"LVDS_33") port map (O => o, I => padp, IB => padn); end generate; lvds_25 : if voltage = x25v generate ip : IBUFGDS generic map (DIFF_TERM => true, IOSTANDARD =>"LVDS_25") port map (O => o, I => padp, IB => padn); end generate; end generate; beh : if level /= lvds generate o <= padp after 1 ns; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library unisim; use unisim.IOBUFDS; -- pragma translate_on entity unisim_iopad_ds is generic (level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; term : integer := 0); port (padp, padn : inout std_ulogic; i, en : in std_ulogic; o : out std_ulogic); end ; architecture rtl of unisim_iopad_ds is component IOBUFDS generic ( CAPACITANCE : string := "DONT_CARE"; IBUF_DELAY_VALUE : string := "0"; IOSTANDARD : string := "DEFAULT"; IFD_DELAY_VALUE : string := "AUTO"); port (O : out std_ulogic; IO, IOB : inout std_logic; I, T : in std_ulogic); end component; attribute syn_noprune : boolean; attribute syn_noprune of IOBUFDS : component is true; begin xlvds : if level = lvds generate lvds_33 : if voltage = x33v generate iop : IOBUFDS generic map (IOSTANDARD => "LVDS_33") port map (O => o, IO => padp, IOB => padn, I => i, T => en); end generate; lvds_25 : if voltage /= x33v generate iop : IOBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => o, IO => padp, IOB => padn, I => i, T => en); end generate; end generate; xsstl18_i : if level = sstl18_i generate iop : IOBUFDS generic map (IOSTANDARD => "DIFF_SSTL18_I") port map (O => o, IO => padp, IOB => padn, I => i, T => en); end generate; xsstl18_ii : if level = sstl18_ii generate iop : IOBUFDS generic map (IOSTANDARD => "DIFF_SSTL18_II") port map (O => o, IO => padp, IOB => padn, I => i, T => en); end generate; default : if (level /= lvds) and (level /= sstl18_i) and (level /= sstl18_ii) generate iop : IOBUFDS generic map (IOSTANDARD => "DEFAULT") port map (O => o, IO => padp, IOB => padn, I => i, T => en); end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; -- pragma translate_off library unisim; use unisim.OBUFDS; -- pragma translate_on entity unisim_outpad_ds is generic (level : integer := lvds; slew : integer := 0; voltage : integer := x33v); port (padp, padn : out std_ulogic; i : in std_ulogic); end ; architecture rtl of unisim_outpad_ds is component OBUFDS generic( CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "DEFAULT"; SLEW : string := "SLOW" ); port( O : out std_ulogic; OB : out std_ulogic; I : in std_ulogic ); end component; attribute syn_noprune : boolean; attribute syn_noprune of OBUFDS : component is true; begin slow : if slew = 0 generate xlvds : if level = lvds generate lvds_33 : if voltage = x33v generate op : OBUFDS generic map(IOSTANDARD => "LVDS_33") port map (O => padp, OB => padn, I => i); end generate; lvds_25 : if voltage /= x33v generate op : OBUFDS generic map(IOSTANDARD => "LVDS_25") port map (O => padp, OB => padn, I => i); end generate; end generate; xsstl2_i : if level = sstl2_i generate op : OBUFDS generic map(IOSTANDARD => "DIFF_SSTL2_I") port map (O => padp, OB => padn, I => i); end generate; xsstl2_ii : if level = sstl2_ii generate op : OBUFDS generic map(IOSTANDARD => "DIFF_SSTL2_II") port map (O => padp, OB => padn, I => i); end generate; xsstl18_i : if level = sstl18_i generate op : OBUFDS generic map(IOSTANDARD => "DIFF_SSTL18_I") port map (O => padp, OB => padn, I => i); end generate; xsstl18_ii : if level = sstl18_ii generate op : OBUFDS generic map(IOSTANDARD => "DIFF_SSTL18_II") port map (O => padp, OB => padn, I => i); end generate; end generate; fast : if slew = 1 generate xlvds : if level = lvds generate lvds_33 : if voltage = x33v generate op : OBUFDS generic map(IOSTANDARD => "LVDS_33", SLEW => "FAST") port map (O => padp, OB => padn, I => i); end generate; lvds_25 : if voltage /= x33v generate op : OBUFDS generic map(IOSTANDARD => "LVDS_25", SLEW => "FAST") port map (O => padp, OB => padn, I => i); end generate; end generate; xsstl2_i : if level = sstl2_i generate op : OBUFDS generic map(IOSTANDARD => "DIFF_SSTL2_I", SLEW => "FAST") port map (O => padp, OB => padn, I => i); end generate; xsstl2_ii : if level = sstl2_ii generate op : OBUFDS generic map(IOSTANDARD => "DIFF_SSTL2_II", SLEW => "FAST") port map (O => padp, OB => padn, I => i); end generate; xsstl18_i : if level = sstl18_i generate op : OBUFDS generic map(IOSTANDARD => "DIFF_SSTL18_I", SLEW => "FAST") port map (O => padp, OB => padn, I => i); end generate; xsstl18_ii : if level = sstl18_ii generate op : OBUFDS generic map(IOSTANDARD => "DIFF_SSTL18_II", SLEW => "FAST") port map (O => padp, OB => padn, I => i); end generate; end generate; end;
gpl-2.0
40dc4a6747c9deae67bc22826894c361
0.582328
3.571897
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/misc/svgactrl.vhd
1
27,545
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: svgactrl -- File: svgactrl.vhd -- Author: Hans Soderlund -- Modified: Jiri Gaisler, Edvin Catovic, Jan Andersson -- Contact: [email protected] -- Description: SVGA Controller core ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.misc.all; entity svgactrl is generic( length : integer := 384; -- FIFO length in 32-bit words part : integer := 128; -- FIFO-part length in 32-bit words memtech : integer := DEFMEMTECH; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; hindex : integer := 0; hirq : integer := 0; clk0 : integer := 40000; clk1 : integer := 20000; clk2 : integer := 15385; clk3 : integer := 0; burstlen : integer range 2 to 8 := 8; ahbaccsz : integer := 32; asyncrst : integer range 0 to 1 := 0 -- Enable async. reset of VGA CD ); port ( rst : in std_logic; -- Synchronous reset clk : in std_logic; vgaclk : in std_logic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; vgao : out apbvga_out_type; ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type; clk_sel : out std_logic_vector(1 downto 0); arst : in std_ulogic := '1' -- Asynchronous reset ); end ; architecture rtl of svgactrl is constant REVISION : amba_version_type := 0; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_SVGACTRL, 0, REVISION, 0), 1 => apb_iobar(paddr, pmask)); -- Calculates the required number of address bits for 32 bit buffer function addrbits return integer is begin for i in 1 to 30 loop if (2**i >= length) then return(i); end if; end loop; return(30); end function addrbits; constant WPAC : integer := ahbaccsz/32; -- Words Per AHB Access. constant FIFO_DW : integer := ahbaccsz; -- FIFO data width constant FIFOCNTR : integer := log2(WPAC); constant ABITS : integer := addrbits - FIFOCNTR; -- FIFO address bits constant FIFOCNTL : integer := addrbits - 1; subtype FIFO_CNT_R is natural range FIFOCNTL downto FIFOCNTR; constant BURSTL : integer := burstlen + 1; constant BURSTR : integer := log2(ahbaccsz/8); type register_type is array (1 to 5) of std_logic_vector(31 downto 0); type state_type is (running, not_running, reset); type read_type is record read_pointer : std_logic_vector(FIFOCNTL downto 0); read_pointer_out : std_logic_vector(FIFOCNTL downto 0); sync : std_logic_vector(2 downto 0); data_out : std_logic_vector(23 downto 0); lock : std_logic; index : std_logic_vector(1 downto 0); read_pointer_clut : std_logic_vector(7 downto 0); hcounter : std_logic_vector(15 downto 0); vcounter : std_logic_vector(15 downto 0); fifo_ren : std_logic; fifo_en : std_logic; hsync : std_logic ; vsync : std_logic ; csync : std_logic ; blank : std_logic ; hsync2 : std_logic ; vsync2 : std_logic ; csync2 : std_logic ; blank2 : std_logic ; end record; type control_type is record int_reg : register_type; state : state_type; enable : std_logic; reset : std_logic; sync_c : std_logic_vector(2 downto 0); sync_w : std_logic_vector(2 downto 0); write_pointer_clut : std_logic_vector(7 downto 0); datain_clut : std_logic_vector(23 downto 0); write_en_clut : std_logic; address : std_logic_vector(31 downto 0); start : std_logic; write_pointer : integer range 0 to length/WPAC; ram_address : integer range 0 to length/WPAC; data : std_logic_vector(FIFO_DW-1 downto 0); level : integer range 0 to part/WPAC + 1; status : integer range 0 to 3; hpolarity : std_ulogic; vpolarity : std_ulogic; func : std_logic_vector(1 downto 0); clk_sel : std_logic_vector(1 downto 0); end record; type sync_regs is record s1 : std_logic_vector(2 downto 0); s2 : std_logic_vector(2 downto 0); s3 : std_logic_vector(2 downto 0); end record; signal t,tin : read_type; signal r,rin : control_type; signal sync_w : sync_regs; signal sync_ra : sync_regs; signal sync_rb : sync_regs; signal sync_c : sync_regs; signal read_status : std_logic_vector(2 downto 0); signal write_status : std_logic_vector(2 downto 0); signal write_en : std_logic; signal res_mod :std_logic; signal en_mod : std_logic; signal fifo_en : std_logic; signal dmai : ahb_dma_in_type; signal dmao : ahb_dma_out_type; signal equal : std_logic; signal hmax : std_logic_vector(15 downto 0); signal hfporch : std_logic_vector(15 downto 0); signal hsyncpulse : std_logic_vector(15 downto 0); signal hvideo : std_logic_vector(15 downto 0); signal vmax : std_logic_vector(15 downto 0); signal vfporch : std_logic_vector(15 downto 0); signal vsyncpulse : std_logic_vector(15 downto 0); signal vvideo : std_logic_vector(15 downto 0); signal write_pointer_clut : std_logic_vector(7 downto 0); signal read_pointer_clut : std_logic_vector(7 downto 0); signal read_pointer_fifo : std_logic_vector((ABITS-1) downto 0); signal write_pointer_fifo : std_logic_vector((ABITS-1) downto 0); signal datain_clut : std_logic_vector(23 downto 0); signal dataout_clut : std_logic_vector(23 downto 0); signal dataout_fifo : std_logic_vector((FIFO_DW-1) downto 0); signal datain_fifo : std_logic_vector((FIFO_DW-1) downto 0); signal write_en_clut, read_en_clut : std_logic; signal vcc : std_logic; signal read_en_fifo, write_en_fifo : std_logic; begin vcc <= '1'; ram0 : syncram_2p generic map ( tech => memtech, abits => ABITS, dbits => FIFO_DW, sepclk => 1) port map ( rclk => vgaclk, renable => read_en_fifo, raddress => read_pointer_fifo, dataout => dataout_fifo, wclk => clk, write => write_en_fifo, waddress => write_pointer_fifo, datain => datain_fifo); clutram : syncram_2p generic map ( tech => memtech, abits => 8, dbits => 24, sepclk => 1) port map ( rclk => vgaclk, renable => read_en_clut, raddress => read_pointer_clut, dataout => dataout_clut, wclk => clk, write => write_en_clut, waddress => write_pointer_clut, datain => datain_clut); ahb_master : ahbmst generic map (hindex, hirq, VENDOR_GAISLER, GAISLER_SVGACTRL, 0, 3, 1) port map (rst, clk, dmai, dmao, ahbi, ahbo); apbo.pirq <= (others => '0'); apbo.pindex <= pindex; apbo.pconfig <= pconfig; control_proc : process(r,rst,sync_c,apbi,fifo_en,write_en,read_status,dmao,res_mod,sync_w) variable v : control_type; variable apbrdata : std_logic_vector(31 downto 0); variable apbwrite : std_logic; variable we_fifo : std_logic; begin v := r; v.write_en_clut := '0'; apbrdata := (others =>'0'); we_fifo := '0'; --------------------------------------------------------------------------- -- Control. Handles the APB accesses and stores the internal registers --------------------------------------------------------------------------- apbwrite := apbi.psel(pindex) and apbi.pwrite and apbi.penable; case apbi.paddr(5 downto 2) is when "0000" => -- Status register if apbwrite = '1' then v.enable := apbi.pwdata(0); v.reset := apbi.pwdata(1); v.hpolarity := apbi.pwdata(8); v.vpolarity := apbi.pwdata(9); v.func := apbi.pwdata(5 downto 4); v.clk_sel := apbi.pwdata(7 downto 6); end if; apbrdata(9 downto 0) := r.vpolarity & r.hpolarity & r.clk_sel & r.func & fifo_en & '0' & r.reset & r.enable; when "1010" => -- CLUT access register if apbwrite = '1' then v.datain_clut := apbi.pwdata(23 downto 0); v.write_pointer_clut := apbi.pwdata(31 downto 24); v.write_en_clut := '1'; end if; when "0001" => -- Video length register if apbwrite = '1' then v.int_reg(1) := apbi.pwdata; end if; apbrdata := r.int_reg(1); when "0010" => -- Front porch register if apbwrite = '1' then v.int_reg(2) := apbi.pwdata; end if; apbrdata := r.int_reg(2); when "0011" => -- Sync length register if apbwrite = '1' then v.int_reg(3) := apbi.pwdata; end if; apbrdata := r.int_reg(3); when "0100" => -- Line length register if apbwrite = '1' then v.int_reg(4) := apbi.pwdata; end if; apbrdata := r.int_reg(4); when "0101" => -- Framebuffer memory position register if apbwrite = '1' then v.int_reg(5) := apbi.pwdata; end if; apbrdata := r.int_reg(5); -- Dynamic clock registers 0 - 3 when "0110" => apbrdata := conv_std_logic_vector(clk0,32); when "0111" => apbrdata := conv_std_logic_vector(clk1,32); when "1000" => apbrdata := conv_std_logic_vector(clk2,32); when "1001" => apbrdata := conv_std_logic_vector(clk3,32); when others => end case; --------------------------------------------------------------------------- -- Control state machine --------------------------------------------------------------------------- case r.state is when running => if r.enable = '0' then v.sync_c := "011"; v.state := not_running; end if; when not_running => if r.enable = '1' then v.sync_c := "001"; v.state := reset; end if; when reset => if sync_c.s3 = "001" then v.sync_c := "010"; v.state := running; end if; end case; --------------------------------------------------------------------------- -- Control reset --------------------------------------------------------------------------- if r.reset = '1' or rst = '0' then v.state := not_running; v.enable := '0'; v.int_reg := (others => (others => '0')); v.sync_c := "011"; v.reset := '0'; v.clk_sel := "00"; end if; --------------------------------------------------------------------------- -- Write part. This part reads from the memory framebuffer and places the -- data in the designated fifo specified from the generic. --------------------------------------------------------------------------- v.start := '0'; if write_en = '0' then if (r.start or not dmao.active) = '1' then v.start := '1'; end if; -- AHB access and FIFO write if dmao.ready = '1' then v.data := ahbreaddata(dmao.rdata, r.address(4 downto 2), conv_std_logic_vector(log2(FIFO_DW/8), 3)); v.ram_address := v.write_pointer; v.write_pointer := v.write_pointer + 1; we_fifo := '1'; if v.write_pointer = length/WPAC then v.write_pointer := 0; end if; v.level := v.level + 1; if dmao.haddr = (9 downto 0 => '0') then v.address := (v.address(31 downto 10) + 1) & dmao.haddr; else v.address := v.address(31 downto 10) & dmao.haddr; end if; if (dmao.haddr(BURSTL downto 0) = ((BURSTL downto BURSTR => '1') & zero32(BURSTR-1 downto 0))) then v.start := '0'; end if; end if; -- FIFO sync v.sync_w := v.sync_w and read_status; if v.level >= (part/WPAC-1) then if read_status(r.status) = '1' and v.sync_w(r.status) = '0' and v.level = part/WPAC then v.level := 0; if r.status = 0 then v.sync_w(2) := '1'; else v.sync_w(r.status -1) := '1'; end if; v.status := v.status + 1; if v.status = 3 then v.status := 0; end if; else v.start := '0'; end if; end if; end if; --------------------------------------------------------------------------- --- Write reset part --------------------------------------------------------------------------- if res_mod = '0' or write_en = '1' then if dmao.active = '0' then v.address := r.int_reg(5); end if; v.start := '0'; v.sync_w := "000"; v.status := 1; v.ram_address := 0; v.write_pointer := 0; v.level := 0; end if; if (r.start and dmao.active and not dmao.ready) = '1' then v.start := '1'; end if; --------------------------------------------------------------------------- -- Drive process outputs --------------------------------------------------------------------------- rin <= v; sync_c.s1 <= v.sync_c; sync_w.s1 <= r.sync_w; res_mod <= sync_c.s3(1); en_mod <= sync_c.s3(0); write_status <= sync_w.s3; hvideo <= r.int_reg(1)(15 downto 0); vvideo <= r.int_reg(1)(31 downto 16); hfporch <= r.int_reg(2)(15 downto 0); vfporch <= r.int_reg(2)(31 downto 16); hsyncpulse <= r.int_reg(3)(15 downto 0); vsyncpulse <= r.int_reg(3)(31 downto 16); hmax <= r.int_reg(4)(15 downto 0); vmax <= r.int_reg(4)(31 downto 16); apbo.prdata <= apbrdata; dmai.wdata <= (others => '0'); dmai.burst <= '1'; dmai.irq <= '0'; dmai.size <= conv_std_logic_vector(log2(ahbaccsz/8), 3); dmai.write <= '0'; dmai.busy <= '0'; dmai.start <= r.start and r.enable; dmai.address <= r.address; write_pointer_fifo <= conv_std_logic_vector(v.ram_address, ABITS); write_pointer_clut <= r.write_pointer_clut; datain_fifo <= v.data; datain_clut <= r.datain_clut; write_en_clut <= r.write_en_clut; clk_sel <= r.clk_sel; write_en_fifo <= we_fifo; end process; read_proc : process(t, res_mod, en_mod, write_status, dataout_fifo, sync_rb, dataout_clut, vmax, hmax, hvideo, hfporch, hsyncpulse, vvideo, vfporch, vsyncpulse, sync_ra, r) variable v : read_type; variable inc_pointer : std_logic; variable fifo_word : std_logic_vector(31 downto 0); variable rpo1 : std_logic_vector(1 downto 0); variable rpo2 : std_logic_vector(2 downto 0); begin v := t; fifo_word := (others => '0'); rpo1 := (others => '0'); rpo2 := (others => '0'); v.vsync2 := t.vsync; v.hsync2 := t.hsync; v.csync2 := t.csync; v.blank2 := t.blank; --------------------------------------------------------------------------- -- Sync signals generation --------------------------------------------------------------------------- if en_mod = '0' then -- vertical counter if (t.vcounter = vmax ) and (t.hcounter = hmax ) then v.vcounter := (others => '0'); elsif t.hcounter = hmax then v.vcounter := t.vcounter + 1; end if; -- horizontal counter if t.hcounter < hmax then v.hcounter := t.hcounter + 1; else v.hcounter := (others => '0'); end if; -- generate hsync if t.hcounter < (hvideo+hfporch+hsyncpulse) and (t.hcounter > (hvideo+hfporch-1)) then v.hsync := r.hpolarity; else v.hsync := not r.hpolarity; end if; -- generate vsync if t.vcounter <= (vvideo+vfporch+vsyncpulse) and (t.vcounter > (vvideo+vfporch)) then v.vsync := r.vpolarity; else v.vsync := not r.vpolarity; end if; --generate csync & blank signal v.csync := not (v.hsync xor v.vsync); v.blank := not t.fifo_ren; --generate fifo_ren signal if (t.hcounter = (hmax-1) and t.vcounter = vmax) or (t.hcounter = (hmax-1) and t.vcounter < vvideo) then v.fifo_ren := '0'; elsif t.hcounter = (hvideo-1) and t.vcounter <= vvideo then v.fifo_ren := '1'; end if; --generate fifo_en signal if t.vcounter = vmax then v.fifo_en := '0'; elsif t.vcounter = vvideo and t.hcounter = (hvideo-1) then v.fifo_en := '1'; end if; else -- Prevent uninitialized fifo_en signal that leads to uninitialized -- bit in APB status register v.fifo_en := '1'; end if; if r.func /= "01" then -- do not delay strobes when not using CLUT v.vsync2 := v.vsync; v.hsync2 := v.hsync; v.csync2 := v.csync; v.blank2 := v.blank; end if; --------------------------------------------------------------------------- -- Sync reset --------------------------------------------------------------------------- if res_mod = '0' then v.hcounter := hmax; v.vcounter := vmax - 1; v.hsync := r.hpolarity; v.vsync := r.vpolarity; v.blank := '0'; v.fifo_ren := '1'; v.fifo_en := '1'; end if; --------------------------------------------------------------------------- -- Read from fifo. --------------------------------------------------------------------------- inc_pointer := '0'; if t.fifo_en = '0' then -- Fifo sync if ((t.read_pointer_out = zero32(t.read_pointer_out'range) or t.read_pointer_out = conv_std_logic_vector(part, FIFOCNTL+1) or t.read_pointer_out = conv_std_logic_vector(2*part, FIFOCNTL+1)) and t.fifo_ren = '0' and v.index = "00") then case t.sync is when "111" | "011" => if write_status(0) = '1' then v.sync := "110"; v.lock := '0'; else v.lock := '1'; end if; when "110" => if write_status(1) = '1' then v.sync := "101"; v.lock := '0'; else v.lock := '1'; end if; when "101" => if write_status(2) = '1' then v.sync := "011"; v.lock := '0'; else v.lock := '1'; end if; when others => null; end case; end if; ------------------------------------------------------------------------- -- FIFO read and CLUT access ------------------------------------------------------------------------- if t.fifo_ren = '0' and v.lock = '0' then if FIFO_DW = 32 then fifo_word(FIFO_DW-1 downto 0) := dataout_fifo(FIFO_DW-1 downto 0); elsif FIFO_DW = 64 then if t.read_pointer_out(0) = '0' then fifo_word(FIFO_DW/2-1 downto 0) := dataout_fifo(FIFO_DW-1 downto FIFO_DW/2); else fifo_word(FIFO_DW/2-1 downto 0) := dataout_fifo(FIFO_DW/2-1 downto 0); end if; elsif FIFO_DW = 128 then rpo1 := t.read_pointer_out(1 downto 0); case rpo1 is when "00" => fifo_word(FIFO_DW/4-1 downto 0) := dataout_fifo(FIFO_DW-1 downto 3*(FIFO_DW/4)); when "01" => fifo_word(FIFO_DW/4-1 downto 0) := dataout_fifo(3*(FIFO_DW/4)-1 downto 2*(FIFO_DW/4)); when "10" => fifo_word(FIFO_DW/4-1 downto 0) := dataout_fifo(2*(FIFO_DW/4)-1 downto 1*(FIFO_DW/4)); when others => fifo_word(FIFO_DW/4-1 downto 0) := dataout_fifo((FIFO_DW/4)-1 downto 0); end case; elsif FIFO_DW = 256 then rpo2 := t.read_pointer_out(2 downto 0); case rpo2 is when "000" => fifo_word(FIFO_DW/8-1 downto 0) := dataout_fifo(FIFO_DW-1 downto 7*(FIFO_DW/8)); when "001" => fifo_word(FIFO_DW/8-1 downto 0) := dataout_fifo(7*(FIFO_DW/8)-1 downto 6*(FIFO_DW/8)); when "010" => fifo_word(FIFO_DW/8-1 downto 0) := dataout_fifo(6*(FIFO_DW/8)-1 downto 5*(FIFO_DW/8)); when "011" => fifo_word(FIFO_DW/8-1 downto 0) := dataout_fifo(5*(FIFO_DW/8)-1 downto 4*(FIFO_DW/8)); when "100" => fifo_word(FIFO_DW/8-1 downto 0) := dataout_fifo(4*(FIFO_DW/8)-1 downto 3*(FIFO_DW/8)); when "101" => fifo_word(FIFO_DW/8-1 downto 0) := dataout_fifo(3*(FIFO_DW/8)-1 downto 2*(FIFO_DW/8)); when "110" => fifo_word(FIFO_DW/8-1 downto 0) := dataout_fifo(2*(FIFO_DW/8)-1 downto 1*(FIFO_DW/8)); when others => fifo_word(FIFO_DW/8-1 downto 0) := dataout_fifo((FIFO_DW/8)-1 downto 0); end case; end if; case r.func is when "01" => if t.index = "00" then v.read_pointer_clut := fifo_word(31 downto 24); v.index := "01"; elsif t.index = "01" then v.read_pointer_clut := fifo_word(23 downto 16); v.index := "10"; elsif t.index = "10" then v.read_pointer_clut := fifo_word(15 downto 8); v.index := "11"; else v.read_pointer_clut := fifo_word(7 downto 0); v.index := "00"; inc_pointer := '1'; end if; v.data_out := dataout_clut; when "10" => if t.index = "00" then v.data_out := fifo_word(31 downto 27) & "000" & fifo_word(26 downto 21) & "00" & fifo_word(20 downto 16) & "000"; v.index := "01"; else v.data_out := fifo_word(15 downto 11) & "000" & fifo_word(10 downto 5) & "00" & fifo_word(4 downto 0) & "000"; v.index := "00"; inc_pointer := '1'; end if; when "11" => v.data_out := fifo_word(23 downto 0); v.index := "00"; inc_pointer := '1'; when others => v.data_out := (23 downto 0 => '1'); v.index := "00"; inc_pointer := '1'; end case; else v.data_out := (others => '0'); end if; if inc_pointer = '1' then v.read_pointer_out := t.read_pointer; v.read_pointer := t.read_pointer + 1; if v.read_pointer(FIFO_CNT_R) = conv_std_logic_vector(length/WPAC, ABITS) then v.read_pointer := (others => '0'); end if; if v.read_pointer_out(FIFO_CNT_R) = conv_std_logic_vector(length/WPAC, ABITS) then v.read_pointer_out := (others => '0'); end if; end if; else v.data_out := (others => '0'); end if; --------------------------------------------------------------------------- -- FIFO read reset --------------------------------------------------------------------------- if res_mod = '0' or t.fifo_en = '1' then v.sync := "111"; v.read_pointer_out := (others => '0'); v.read_pointer := conv_std_logic_vector(1, ABITS+FIFOCNTR); v.data_out := (others => '0'); v.lock := '1'; v.index := "00"; v.read_pointer_clut := (others => '0'); end if; --------------------------------------------------------------------------- -- Assign outputs --------------------------------------------------------------------------- tin <= v; sync_ra.s1 <= t.sync; sync_rb.s1 <= t.fifo_en & "00"; read_status <= sync_ra.s3; write_en <= sync_rb.s3(2); fifo_en <= t.fifo_en; read_pointer_clut <= v.read_pointer_clut; read_pointer_fifo <= v.read_pointer_out(FIFO_CNT_R); read_en_fifo <= not v.fifo_ren; read_en_clut <= not v.fifo_ren and not r.func(1) and r.func(0); vgao.video_out_r <= t.data_out(23 downto 16); vgao.video_out_g <= t.data_out(15 downto 8); vgao.video_out_b <= t.data_out(7 downto 0); vgao.hsync <= t.hsync2; vgao.vsync <= t.vsync2; vgao.comp_sync <= t.csync2; vgao.blank <= t.blank2; vgao.bitdepth <= r.func; end process; ----------------------------------------------------------------------------- -- Registers in system clock domain ----------------------------------------------------------------------------- proc_clk : process(clk) begin if rising_edge(clk) then r <= rin; -- Control sync_ra.s2 <= sync_ra.s1; -- Write sync_ra.s3 <= sync_ra.s2; -- Write sync_rb.s2 <= sync_rb.s1; -- Write sync_rb.s3 <= sync_rb.s2; -- Write end if; end process; ----------------------------------------------------------------------------- -- Registers in video clock domain ----------------------------------------------------------------------------- proc_vgaclk : process(arst, vgaclk) begin if asyncrst = 1 and arst = '0' then t.fifo_en <= '1'; sync_c.s2 <= "011"; sync_c.s3 <= "011"; elsif rising_edge(vgaclk) then t <= tin; -- Read sync_c.s2 <= sync_c.s1; -- Control sync_c.s3 <= sync_c.s2; -- Control sync_w.s2 <= sync_w.s1; -- Read sync_w.s3 <= sync_w.s2; -- Read end if; end process; -- Boot message -- pragma translate_off bootmsg : report_version generic map ( "svgactrl" & tost(pindex) & ": SVGA controller rev " & tost(REVISION) & ", FIFO length: " & tost(length) & ", FIFO part length: " & tost(part) & ", FIFO address bits: " & tost(ABITS) & ", AHB access size: " & tost(ahbaccsz) & " bits"); -- pragma translate_on end;
gpl-2.0
ef4d15abe0f18dfce8d267ef78b8036e
0.481939
3.68495
false
false
false
false
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_axi_dma_0_0/sim/design_1_axi_dma_0_0.vhd
1
20,741
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_dma:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_dma_v7_1; USE axi_dma_v7_1.axi_dma; ENTITY design_1_axi_dma_0_0 IS PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_axi_dma_0_0; ARCHITECTURE design_1_axi_dma_0_0_arch OF design_1_axi_dma_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_dma_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_dma IS GENERIC ( C_S_AXI_LITE_ADDR_WIDTH : INTEGER; C_S_AXI_LITE_DATA_WIDTH : INTEGER; C_DLYTMR_RESOLUTION : INTEGER; C_PRMRY_IS_ACLK_ASYNC : INTEGER; C_ENABLE_MULTI_CHANNEL : INTEGER; C_NUM_MM2S_CHANNELS : INTEGER; C_NUM_S2MM_CHANNELS : INTEGER; C_INCLUDE_SG : INTEGER; C_SG_INCLUDE_STSCNTRL_STRM : INTEGER; C_SG_USE_STSAPP_LENGTH : INTEGER; C_SG_LENGTH_WIDTH : INTEGER; C_M_AXI_SG_ADDR_WIDTH : INTEGER; C_M_AXI_SG_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : INTEGER; C_S_AXIS_S2MM_STS_TDATA_WIDTH : INTEGER; C_MICRO_DMA : INTEGER; C_INCLUDE_MM2S : INTEGER; C_INCLUDE_MM2S_SF : INTEGER; C_MM2S_BURST_SIZE : INTEGER; C_M_AXI_MM2S_ADDR_WIDTH : INTEGER; C_M_AXI_MM2S_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER; C_INCLUDE_MM2S_DRE : INTEGER; C_INCLUDE_S2MM : INTEGER; C_INCLUDE_S2MM_SF : INTEGER; C_S2MM_BURST_SIZE : INTEGER; C_M_AXI_S2MM_ADDR_WIDTH : INTEGER; C_M_AXI_S2MM_DATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER; C_INCLUDE_S2MM_DRE : INTEGER; C_FAMILY : STRING ); PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_sg_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_awvalid : OUT STD_LOGIC; m_axi_sg_awready : IN STD_LOGIC; m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_wlast : OUT STD_LOGIC; m_axi_sg_wvalid : OUT STD_LOGIC; m_axi_sg_wready : IN STD_LOGIC; m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_bvalid : IN STD_LOGIC; m_axi_sg_bready : OUT STD_LOGIC; m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_arvalid : OUT STD_LOGIC; m_axi_sg_arready : IN STD_LOGIC; m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_rlast : IN STD_LOGIC; m_axi_sg_rvalid : IN STD_LOGIC; m_axi_sg_rready : OUT STD_LOGIC; m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tid : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); m_axis_mm2s_tdest : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); mm2s_cntrl_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_cntrl_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_cntrl_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_cntrl_tvalid : OUT STD_LOGIC; m_axis_mm2s_cntrl_tready : IN STD_LOGIC; m_axis_mm2s_cntrl_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tid : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axis_s2mm_tdest : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s2mm_sts_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_sts_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_sts_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_sts_tvalid : IN STD_LOGIC; s_axis_s2mm_sts_tready : OUT STD_LOGIC; s_axis_s2mm_sts_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_dma; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_S2MM_CLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s2mm_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 S2MM_PRMRY_RESET_OUT_N RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TLAST"; ATTRIBUTE X_INTERFACE_INFO OF s2mm_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 S2MM_INTROUT INTERRUPT"; BEGIN U0 : axi_dma GENERIC MAP ( C_S_AXI_LITE_ADDR_WIDTH => 10, C_S_AXI_LITE_DATA_WIDTH => 32, C_DLYTMR_RESOLUTION => 125, C_PRMRY_IS_ACLK_ASYNC => 0, C_ENABLE_MULTI_CHANNEL => 0, C_NUM_MM2S_CHANNELS => 1, C_NUM_S2MM_CHANNELS => 1, C_INCLUDE_SG => 0, C_SG_INCLUDE_STSCNTRL_STRM => 0, C_SG_USE_STSAPP_LENGTH => 0, C_SG_LENGTH_WIDTH => 14, C_M_AXI_SG_ADDR_WIDTH => 32, C_M_AXI_SG_DATA_WIDTH => 32, C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => 32, C_S_AXIS_S2MM_STS_TDATA_WIDTH => 32, C_MICRO_DMA => 0, C_INCLUDE_MM2S => 0, C_INCLUDE_MM2S_SF => 1, C_MM2S_BURST_SIZE => 16, C_M_AXI_MM2S_ADDR_WIDTH => 32, C_M_AXI_MM2S_DATA_WIDTH => 32, C_M_AXIS_MM2S_TDATA_WIDTH => 32, C_INCLUDE_MM2S_DRE => 0, C_INCLUDE_S2MM => 1, C_INCLUDE_S2MM_SF => 1, C_S2MM_BURST_SIZE => 16, C_M_AXI_S2MM_ADDR_WIDTH => 32, C_M_AXI_S2MM_DATA_WIDTH => 32, C_S_AXIS_S2MM_TDATA_WIDTH => 32, C_INCLUDE_S2MM_DRE => 0, C_FAMILY => "zynq" ) PORT MAP ( s_axi_lite_aclk => s_axi_lite_aclk, m_axi_sg_aclk => '0', m_axi_mm2s_aclk => '0', m_axi_s2mm_aclk => m_axi_s2mm_aclk, axi_resetn => axi_resetn, s_axi_lite_awvalid => s_axi_lite_awvalid, s_axi_lite_awready => s_axi_lite_awready, s_axi_lite_awaddr => s_axi_lite_awaddr, s_axi_lite_wvalid => s_axi_lite_wvalid, s_axi_lite_wready => s_axi_lite_wready, s_axi_lite_wdata => s_axi_lite_wdata, s_axi_lite_bresp => s_axi_lite_bresp, s_axi_lite_bvalid => s_axi_lite_bvalid, s_axi_lite_bready => s_axi_lite_bready, s_axi_lite_arvalid => s_axi_lite_arvalid, s_axi_lite_arready => s_axi_lite_arready, s_axi_lite_araddr => s_axi_lite_araddr, s_axi_lite_rvalid => s_axi_lite_rvalid, s_axi_lite_rready => s_axi_lite_rready, s_axi_lite_rdata => s_axi_lite_rdata, s_axi_lite_rresp => s_axi_lite_rresp, m_axi_sg_awready => '0', m_axi_sg_wready => '0', m_axi_sg_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_bvalid => '0', m_axi_sg_arready => '0', m_axi_sg_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), m_axi_sg_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_rlast => '0', m_axi_sg_rvalid => '0', m_axi_mm2s_arready => '0', m_axi_mm2s_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), m_axi_mm2s_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_mm2s_rlast => '0', m_axi_mm2s_rvalid => '0', m_axis_mm2s_tready => '0', m_axis_mm2s_cntrl_tready => '0', m_axi_s2mm_awaddr => m_axi_s2mm_awaddr, m_axi_s2mm_awlen => m_axi_s2mm_awlen, m_axi_s2mm_awsize => m_axi_s2mm_awsize, m_axi_s2mm_awburst => m_axi_s2mm_awburst, m_axi_s2mm_awprot => m_axi_s2mm_awprot, m_axi_s2mm_awcache => m_axi_s2mm_awcache, m_axi_s2mm_awvalid => m_axi_s2mm_awvalid, m_axi_s2mm_awready => m_axi_s2mm_awready, m_axi_s2mm_wdata => m_axi_s2mm_wdata, m_axi_s2mm_wstrb => m_axi_s2mm_wstrb, m_axi_s2mm_wlast => m_axi_s2mm_wlast, m_axi_s2mm_wvalid => m_axi_s2mm_wvalid, m_axi_s2mm_wready => m_axi_s2mm_wready, m_axi_s2mm_bresp => m_axi_s2mm_bresp, m_axi_s2mm_bvalid => m_axi_s2mm_bvalid, m_axi_s2mm_bready => m_axi_s2mm_bready, s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n, s_axis_s2mm_tdata => s_axis_s2mm_tdata, s_axis_s2mm_tkeep => s_axis_s2mm_tkeep, s_axis_s2mm_tvalid => s_axis_s2mm_tvalid, s_axis_s2mm_tready => s_axis_s2mm_tready, s_axis_s2mm_tlast => s_axis_s2mm_tlast, s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axis_s2mm_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), s_axis_s2mm_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), s_axis_s2mm_sts_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_s2mm_sts_tkeep => X"F", s_axis_s2mm_sts_tvalid => '0', s_axis_s2mm_sts_tlast => '0', s2mm_introut => s2mm_introut, axi_dma_tstvec => axi_dma_tstvec ); END design_1_axi_dma_0_0_arch;
gpl-3.0
260898fa990e82854bfb7d7c97d89768
0.665542
2.823826
false
false
false
false
CogPy/cog
xUnit/vhdl/test1.vhd
1
2,553
------------------------------------------------------------------------------- -- Title : test1 -- Project : ------------------------------------------------------------------------------- -- File : test1.vhd -- Author : <kristoffer.nordstrom@HELVNB0100> -- Company : -- Created : 2015-04-27 -- Last update: 2015-04-28 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2015 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2015-04-27 1.0 kn Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity test1 is port ( Clk : in std_logic; Clr : in std_logic; Test1_A : in std_logic_vector(3 downto 0); Test1_B : in std_logic_vector(3 downto 0); Test1_AB : out std_logic_vector(4 downto 0) ); end entity test1; ------------------------------------------------------------------------------- architecture str of test1 is ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- signal AB : unsigned(Test1_AB'range); begin -- architecture str ----------------------------------------------------------------------------- -- Output assignments ----------------------------------------------------------------------------- Test1_AB <= std_logic_vector(AB); ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- p_addAandB: process (Clk) is begin -- process p_addAandB if Clk'event and Clk = '1' then -- rising clock edge if Clr = '1' then AB <= to_unsigned(0, AB'length); else AB <= resize(unsigned(Test1_A), AB'length) + resize(unsigned(Test1_B), AB'length); end if; end if; end process p_addAandB; end architecture str; -------------------------------------------------------------------------------
lgpl-3.0
118d516db09effc89329673d3c58b0ac
0.30944
5.610989
false
true
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/misc/gptimer.vhd
1
11,960
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: gptimer -- File: gptimer.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: This unit implemets a set of general-purpose timers with a -- common prescaler. Then number of timers and the width of -- the timers is propgrammable through generics ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.config_types.all; use grlib.config.all; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library gaisler; use gaisler.misc.all; --pragma translate_off use std.textio.all; --pragma translate_on entity gptimer is generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; pirq : integer := 0; sepirq : integer := 0; -- use separate interrupts for each timer sbits : integer := 16; -- scaler bits ntimers : integer range 1 to 7 := 1; -- number of timers nbits : integer := 32; -- timer bits wdog : integer := 0; ewdogen : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; gpti : in gptimer_in_type; gpto : out gptimer_out_type ); end; architecture rtl of gptimer is constant REVISION : integer := 0; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_GPTIMER, 0, REVISION, pirq), 1 => apb_iobar(paddr, pmask)); type timer_reg is record enable : std_ulogic; -- enable counter load : std_ulogic; -- load counter restart : std_ulogic; -- restart counter irqpen : std_ulogic; -- interrupt pending irqen : std_ulogic; -- interrupt enable irq : std_ulogic; -- interrupt pulse chain : std_ulogic; -- chain with previous timer value : std_logic_vector(nbits-1 downto 0); reload : std_logic_vector(nbits-1 downto 0); end record; type timer_reg_vector is array (Natural range <> ) of timer_reg; constant TBITS : integer := log2x(ntimers+1); type registers is record scaler : std_logic_vector(sbits-1 downto 0); reload : std_logic_vector(sbits-1 downto 0); tick : std_ulogic; tsel : integer range 0 to ntimers; timers : timer_reg_vector(1 to ntimers); dishlt : std_ulogic; wdogn : std_ulogic; wdog : std_ulogic; end record; constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; function RESVAL_FUNC return registers is variable vres : registers; begin vres.scaler := (others => '1'); vres.reload := (others => '1'); vres.tick := '0'; vres.tsel := 0; for i in 1 to ntimers loop vres.timers(i).enable := '0'; vres.timers(i).load := '0'; vres.timers(i).restart := '0'; vres.timers(i).irqpen := '0'; vres.timers(i).irqen := '0'; vres.timers(i).irq := '0'; vres.timers(i).chain := '0'; vres.timers(i).value := (others => '0'); vres.timers(i).reload := (others => '0'); end loop; if wdog /= 0 then vres.timers(ntimers).enable := '1'; -- May be overriden by ewdogen vres.timers(ntimers).load := '1'; vres.timers(ntimers).reload := conv_std_logic_vector(wdog, nbits); vres.timers(ntimers).irqen := '1'; end if; vres.dishlt := '0'; vres.wdogn := '1'; vres.wdog := '0'; return vres; end function RESVAL_FUNC; constant RESVAL : registers := RESVAL_FUNC; signal r, rin : registers; begin comb : process(rst, r, apbi, gpti) variable scaler : std_logic_vector(sbits downto 0); variable readdata, timer1 : std_logic_vector(31 downto 0); variable res, addin : std_logic_vector(nbits-1 downto 0); variable v : registers; variable z : std_ulogic; variable vtimers : timer_reg_vector(0 to ntimers); variable xirq : std_logic_vector(NAHBIRQ-1 downto 0); variable nirq : std_logic_vector(0 to ntimers-1); variable tick : std_logic_vector(1 to 7); begin v := r; v.tick := '0'; tick := (others => '0'); vtimers(0) := ('0', '0', '0', '0', '0', '0', '0', zero32(nbits-1 downto 0), zero32(nbits-1 downto 0) ); vtimers(1 to ntimers) := r.timers; xirq := (others => '0'); for i in 1 to ntimers loop v.timers(i).irq := '0'; v.timers(i).load := '0'; tick(i) := r.timers(i).irq; end loop; v.wdogn := not r.timers(ntimers).irqpen; v.wdog := r.timers(ntimers).irqpen; -- scaler operation scaler := ('0' & r.scaler) - 1; -- decrement scaler if (not gpti.dhalt or r.dishlt) = '1' then -- halt timers in debug mode if (scaler(sbits) = '1') then v.scaler := r.reload; v.tick := '1'; -- reload scaler else v.scaler := scaler(sbits-1 downto 0); end if; end if; -- timer operation if (r.tick = '1') or (r.tsel /= 0) then if r.tsel = ntimers then v.tsel := 0; else v.tsel := r.tsel + 1; end if; end if; res := vtimers(r.tsel).value - 1; -- decrement selected timer if (res(nbits-1) = '1') and ((vtimers(r.tsel).value(nbits-1) = '0')) then z := '1'; else z := '0'; end if; -- undeflow detect -- update corresponding register and generate irq for i in 1 to ntimers-1 loop nirq(i) := r.timers(i).irq; end loop; nirq(0) := r.timers(ntimers).irq; for i in 1 to ntimers loop if i = r.tsel then if (r.timers(i).enable = '1') and (((r.timers(i).chain and nirq(i-1)) or not (r.timers(i).chain)) = '1') then v.timers(i).irq := z and not r.timers(i).load; if (v.timers(i).irq and r.timers(i).irqen) = '1' then v.timers(i).irqpen := '1'; end if; v.timers(i).value := res; if (z and not r.timers(i).load) = '1' then v.timers(i).enable := r.timers(i).restart; if r.timers(i).restart = '1' then v.timers(i).value := r.timers(i).reload; end if; end if; end if; end if; if r.timers(i).load = '1' then v.timers(i).value := r.timers(i).reload; end if; end loop; if sepirq /= 0 then for i in 1 to ntimers loop xirq(i-1+pirq) := r.timers(i).irq and r.timers(i).irqen; end loop; else for i in 1 to ntimers loop xirq(pirq) := xirq(pirq) or (r.timers(i).irq and r.timers(i).irqen); end loop; end if; -- read registers readdata := (others => '0'); case apbi.paddr(6 downto 2) is when "00000" => readdata(sbits-1 downto 0) := r.scaler; when "00001" => readdata(sbits-1 downto 0) := r.reload; when "00010" => readdata(2 downto 0) := conv_std_logic_vector(ntimers, 3) ; readdata(7 downto 3) := conv_std_logic_vector(pirq, 5) ; if (sepirq /= 0) then readdata(8) := '1'; end if; readdata(9) := r.dishlt; when others => for i in 1 to ntimers loop if conv_integer(apbi.paddr(6 downto 4)) = i then case apbi.paddr(3 downto 2) is when "00" => readdata(nbits-1 downto 0) := r.timers(i).value; when "01" => readdata(nbits-1 downto 0) := r.timers(i).reload; when "10" => readdata(6 downto 0) := gpti.dhalt & r.timers(i).chain & r.timers(i).irqpen & r.timers(i).irqen & r.timers(i).load & r.timers(i).restart & r.timers(i).enable; when others => end case; end if; end loop; end case; -- write registers if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case apbi.paddr(6 downto 2) is when "00000" => v.scaler := apbi.pwdata(sbits-1 downto 0); when "00001" => v.reload := apbi.pwdata(sbits-1 downto 0); v.scaler := apbi.pwdata(sbits-1 downto 0); when "00010" => v.dishlt := apbi.pwdata(9); when others => for i in 1 to ntimers loop if conv_integer(apbi.paddr(6 downto 4)) = i then case apbi.paddr(3 downto 2) is when "00" => v.timers(i).value := apbi.pwdata(nbits-1 downto 0); when "01" => v.timers(i).reload := apbi.pwdata(nbits-1 downto 0); when "10" => v.timers(i).chain := apbi.pwdata(5); v.timers(i).irqpen := v.timers(i).irqpen and not apbi.pwdata(4); v.timers(i).irqen := apbi.pwdata(3); v.timers(i).load := apbi.pwdata(2); v.timers(i).restart := apbi.pwdata(1); v.timers(i).enable := apbi.pwdata(0); when others => end case; end if; end loop; end case; end if; -- reset operation if (not RESET_ALL) and (rst = '0') then for i in 1 to ntimers loop v.timers(i).enable := RESVAL.timers(i).enable; v.timers(i).irqen := RESVAL.timers(i).irqen; v.timers(i).irqpen := RESVAL.timers(i).irqpen; end loop; v.scaler := RESVAL.scaler; v.reload := RESVAL.reload; v.tsel := RESVAL.tsel; v.dishlt := RESVAL.dishlt; v.timers(ntimers).irq := RESVAL.timers(ntimers).irq; if (wdog /= 0) then if ewdogen /= 0 then v.timers(ntimers).enable := gpti.wdogen; else v.timers(ntimers).enable := RESVAL.timers(ntimers).enable; end if; v.timers(ntimers).load := RESVAL.timers(ntimers).load; v.timers(ntimers).reload := RESVAL.timers(ntimers).reload; v.timers(ntimers).chain := RESVAL.timers(ntimers).chain; v.timers(ntimers).irqen := RESVAL.timers(ntimers).irqen; v.timers(ntimers).irqpen := RESVAL.timers(ntimers).irqpen; v.timers(ntimers).restart := RESVAL.timers(ntimers).restart; end if; end if; timer1 := (others => '0'); timer1(nbits-1 downto 0) := r.timers(1).value; rin <= v; apbo.prdata <= readdata; -- drive apb read bus apbo.pirq <= xirq; apbo.pindex <= pindex; gpto.tick <= r.tick & tick; gpto.timer1 <= timer1; -- output timer1 value for debugging gpto.wdogn <= r.wdogn; gpto.wdog <= r.wdog; end process; apbo.pconfig <= pconfig; -- registers regs : process(clk) begin if rising_edge(clk) then r <= rin; if RESET_ALL and rst = '0' then r <= RESVAL; if wdog /= 0 and ewdogen /= 0 then r.timers(ntimers).enable <= gpti.wdogen; end if; end if; end if; end process; -- boot message -- pragma translate_off bootmsg : report_version generic map ("gptimer" & tost(pindex) & ": GR Timer Unit rev " & tost(REVISION) & ", " & tost(sbits) & "-bit scaler, " & tost(ntimers) & " " & tost(nbits) & "-bit timers" & ", irq " & tost(pirq)); -- pragma translate_on end;
gpl-2.0
b3a93b17e49cce521c72c70fa36e8916
0.567391
3.465662
false
false
false
false
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_axi_gpio_0_0/synth/design_1_axi_gpio_0_0.vhd
1
10,010
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_gpio:2.0 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_gpio_v2_0; USE axi_gpio_v2_0.axi_gpio; ENTITY design_1_axi_gpio_0_0 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(7 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END design_1_axi_gpio_0_0; ARCHITECTURE design_1_axi_gpio_0_0_arch OF design_1_axi_gpio_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_gpio_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_gpio IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_GPIO_WIDTH : INTEGER; C_GPIO2_WIDTH : INTEGER; C_ALL_INPUTS : INTEGER; C_ALL_INPUTS_2 : INTEGER; C_ALL_OUTPUTS : INTEGER; C_ALL_OUTPUTS_2 : INTEGER; C_INTERRUPT_PRESENT : INTEGER; C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_IS_DUAL : INTEGER; C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0) ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(7 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_gpio; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_axi_gpio_0_0_arch: ARCHITECTURE IS "axi_gpio,Vivado 2014.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_axi_gpio_0_0_arch : ARCHITECTURE IS "design_1_axi_gpio_0_0,axi_gpio,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_gpio_0_0_arch: ARCHITECTURE IS "design_1_axi_gpio_0_0,axi_gpio,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_gpio,x_ipVersion=2.0,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_S_AXI_ADDR_WIDTH=9,C_S_AXI_DATA_WIDTH=32,C_GPIO_WIDTH=8,C_GPIO2_WIDTH=32,C_ALL_INPUTS=0,C_ALL_INPUTS_2=0,C_ALL_OUTPUTS=0,C_ALL_OUTPUTS_2=0,C_INTERRUPT_PRESENT=0,C_DOUT_DEFAULT=0x00000000,C_TRI_DEFAULT=0xFFFFFFFF,C_IS_DUAL=0,C_DOUT_DEFAULT_2=0x00000000,C_TRI_DEFAULT_2=0xFFFFFFFF}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_i: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_I"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_o: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_O"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_t: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_T"; BEGIN U0 : axi_gpio GENERIC MAP ( C_FAMILY => "zynq", C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_GPIO_WIDTH => 8, C_GPIO2_WIDTH => 32, C_ALL_INPUTS => 0, C_ALL_INPUTS_2 => 0, C_ALL_OUTPUTS => 0, C_ALL_OUTPUTS_2 => 0, C_INTERRUPT_PRESENT => 0, C_DOUT_DEFAULT => X"00000000", C_TRI_DEFAULT => X"FFFFFFFF", C_IS_DUAL => 0, C_DOUT_DEFAULT_2 => X"00000000", C_TRI_DEFAULT_2 => X"FFFFFFFF" ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, gpio_io_i => gpio_io_i, gpio_io_o => gpio_io_o, gpio_io_t => gpio_io_t, gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)) ); END design_1_axi_gpio_0_0_arch;
gpl-3.0
c180c65aaeb33dc2f1cf92083a2d6521
0.686613
3.154743
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/i2c/i2c2ahb_apb.vhd
1
7,346
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: i2c2ahb_apb -- File: i2c2ahb_apb.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- Contact: [email protected] -- Description: Simple I2C-slave providing a bridge to AMBA AHB -- This entity provides an APB interface for setting defining the -- AHB address window that can be accessed from I2C. -- See i2c2ahbx.vhd and GRIP for documentation ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.i2c.all; library grlib; use grlib.amba.all; use grlib.devices.all; use grlib.stdlib.conv_std_logic; use grlib.stdlib.conv_std_logic_vector; entity i2c2ahb_apb is generic ( -- AHB Configuration hindex : integer := 0; -- ahbaddrh : integer := 0; ahbaddrl : integer := 0; ahbmaskh : integer := 0; ahbmaskl : integer := 0; resen : integer := 0; -- APB configuration pindex : integer := 0; -- slave bus index paddr : integer := 0; pmask : integer := 16#fff#; pirq : integer := 0; -- I2C configuration i2cslvaddr : integer range 0 to 127 := 0; i2ccfgaddr : integer range 0 to 127 := 0; oepol : integer range 0 to 1 := 0; -- filter : integer range 2 to 512 := 2 ); port ( rstn : in std_ulogic; clk : in std_ulogic; -- AHB master interface ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type; -- apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; -- I2C signals i2ci : in i2c_in_type; i2co : out i2c_out_type ); end entity i2c2ahb_apb; architecture rtl of i2c2ahb_apb is -- Register offsets constant CTRL_OFF : std_logic_vector(4 downto 2) := "000"; constant STS_OFF : std_logic_vector(4 downto 2) := "001"; constant ADDR_OFF : std_logic_vector(4 downto 2) := "010"; constant MASK_OFF : std_logic_vector(4 downto 2) := "011"; constant SLVA_OFF : std_logic_vector(4 downto 2) := "100"; constant SLVC_OFF : std_logic_vector(4 downto 2) := "101"; -- AMBA PnP constant PCONFIG : apb_config_type := ( 0 => ahb_device_reg(VENDOR_GAISLER, GAISLER_I2C2AHB, 0, 0, pirq), 1 => apb_iobar(paddr, pmask)); type apb_reg_type is record i2c2ahbi : i2c2ahb_in_type; irq : std_ulogic; irqen : std_ulogic; prot : std_ulogic; protx : std_ulogic; wr : std_ulogic; dma : std_ulogic; dmax : std_ulogic; end record; signal r, rin : apb_reg_type; signal i2c2ahbo : i2c2ahb_out_type; begin bridge : i2c2ahbx generic map (hindex => hindex, oepol => oepol, filter => filter) port map (rstn => rstn, clk => clk, ahbi => ahbi, ahbo => ahbo, i2ci => i2ci, i2co => i2co, i2c2ahbi => r.i2c2ahbi, i2c2ahbo => i2c2ahbo); comb: process (r, rstn, apbi, i2c2ahbo) variable v : apb_reg_type; variable apbaddr : std_logic_vector(4 downto 2); variable apbout : std_logic_vector(31 downto 0); variable irqout : std_logic_vector(NAHBIRQ-1 downto 0); begin v := r; apbaddr := apbi.paddr(apbaddr'range); apbout := (others => '0'); v.irq := '0'; irqout := (others => '0'); irqout(pirq) := r.irq; v.protx := i2c2ahbo.prot; v.dmax := i2c2ahbo.dma; --------------------------------------------------------------------------- -- APB register interface --------------------------------------------------------------------------- -- read registers if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then case apbaddr is when CTRL_OFF => apbout(1 downto 0) := r.irqen & r.i2c2ahbi.en; when STS_OFF => apbout(2 downto 0) := r.prot & r.wr & r.dma; when ADDR_OFF => apbout := r.i2c2ahbi.haddr; when MASK_OFF => apbout := r.i2c2ahbi.hmask; when SLVA_OFF => apbout(6 downto 0) := r.i2c2ahbi.slvaddr; when SLVC_OFF => apbout(6 downto 0) := r.i2c2ahbi.cfgaddr; when others => null; end case; end if; -- write registers if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case apbaddr is when CTRL_OFF => v.irqen := apbi.pwdata(1); v.i2c2ahbi.en := apbi.pwdata(0); when STS_OFF => v.dma := r.dma and not apbi.pwdata(0); v.prot := r.prot and not apbi.pwdata(2); when ADDR_OFF => v.i2c2ahbi.haddr := apbi.pwdata; when MASK_OFF => v.i2c2ahbi.hmask := apbi.pwdata; when SLVA_OFF => v.i2c2ahbi.slvaddr := apbi.pwdata(6 downto 0); when SLVC_OFF => v.i2c2ahbi.cfgaddr := apbi.pwdata(6 downto 0); when others => null; end case; end if; -- interrupt and status register handling if ((i2c2ahbo.dma and not r.dmax) or (i2c2ahbo.prot and not r.protx)) = '1' then v.dma := '1'; v.prot := r.prot or i2c2ahbo.prot; v.wr := i2c2ahbo.wr; if (r.irqen and not r.dma) = '1' then v.irq := '1'; end if; end if; --------------------------------------------------------------------------- -- reset --------------------------------------------------------------------------- if rstn = '0' then v.i2c2ahbi.en := conv_std_logic(resen = 1); v.i2c2ahbi.haddr := conv_std_logic_vector(ahbaddrh, 16) & conv_std_logic_vector(ahbaddrl, 16); v.i2c2ahbi.hmask := conv_std_logic_vector(ahbmaskh, 16) & conv_std_logic_vector(ahbmaskl, 16); v.i2c2ahbi.slvaddr := conv_std_logic_vector(i2cslvaddr, 7); v.i2c2ahbi.cfgaddr := conv_std_logic_vector(i2ccfgaddr, 7); v.irqen := '0'; v.prot := '0'; v.wr := '0'; v.dma := '0'; end if; --------------------------------------------------------------------------- -- signal assignments --------------------------------------------------------------------------- -- update registers rin <= v; -- update outputs apbo.prdata <= apbout; apbo.pirq <= irqout; apbo.pconfig <= PCONFIG; apbo.pindex <= pindex; end process comb; reg: process(clk) begin if rising_edge(clk) then r <= rin; end if; end process reg; -- Boot message provided in i2c2ahbx... end architecture rtl;
gpl-2.0
d5f4e713ca280a7c21b3abc78ad81406
0.548189
3.536832
false
false
false
false
Fairyland0902/BlockyRoads
src/BlockyRoads/ipcore_dir/startBtn/simulation/startBtn_tb.vhd
1
4,355
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: startBtn_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY startBtn_tb IS END ENTITY; ARCHITECTURE startBtn_tb_ARCH OF startBtn_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; startBtn_synth_inst:ENTITY work.startBtn_synth GENERIC MAP (C_ROM_SYNTH => 0) PORT MAP( CLK_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
mit
ef3ea9605dd2d78fa61b086ac432dab3
0.620436
4.652778
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/techmap/ec/memory_ec.vhd
1
92,596
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: various -- File: mem_ec_gen.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Memory generators for Lattice XP/EC/ECP RAM blocks ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library ec; use ec.dp8ka; -- pragma translate_on entity EC_RAMB8_S1_S1 is port ( DataInA: in std_logic_vector(0 downto 0); DataInB: in std_logic_vector(0 downto 0); AddressA: in std_logic_vector(12 downto 0); AddressB: in std_logic_vector(12 downto 0); ClockA: in std_logic; ClockB: in std_logic; ClockEnA: in std_logic; ClockEnB: in std_logic; WrA: in std_logic; WrB: in std_logic; QA: out std_logic_vector(0 downto 0); QB: out std_logic_vector(0 downto 0)); end; architecture Structure of EC_RAMB8_S1_S1 is COMPONENT dp8ka GENERIC( DATA_WIDTH_A : in Integer := 18; DATA_WIDTH_B : in Integer := 18; REGMODE_A : String := "NOREG"; REGMODE_B : String := "NOREG"; RESETMODE : String := "ASYNC"; CSDECODE_A : String := "000"; CSDECODE_B : String := "000"; WRITEMODE_A : String := "NORMAL"; WRITEMODE_B : String := "NORMAL"; GSR : String := "ENABLED"; initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ); PORT( dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X'; dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X'; ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X'; ada9, ada10, ada11, ada12 : in std_logic := 'X'; cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X'; dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X'; dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X'; adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X'; adb9, adb10, adb11, adb12 : in std_logic := 'X'; ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X'; doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X'; doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X'; dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X'; dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X' ); END COMPONENT; signal vcc, gnd : std_ulogic; begin vcc <= '1'; gnd <= '0'; u0: DP8KA generic map (CSDECODE_B=>"000", CSDECODE_A=>"000", WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL", GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG", REGMODE_A=>"NOREG", DATA_WIDTH_B=> 1, DATA_WIDTH_A=> 1) port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd, CSA1=>gnd, CSA2=>gnd, RSTA=>gnd, CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd, CSB1=>gnd, CSB2=>gnd, RSTB=>gnd, DIA0=>gnd, DIA1=>gnd, DIA2=>gnd, DIA3=>gnd, DIA4=>gnd, DIA5=>gnd, DIA6=>gnd, DIA7=>gnd, DIA8=>gnd, DIA9=>gnd, DIA10=>gnd, DIA11=>DataInA(0), DIA12=>gnd, DIA13=>gnd, DIA14=>gnd, DIA15=>gnd, DIA16=>gnd, DIA17=>gnd, ADA0=>AddressA(0), ADA1=>AddressA(1), ADA2=>AddressA(2), ADA3=>AddressA(3), ADA4=>AddressA(4), ADA5=>AddressA(5), ADA6=>AddressA(6), ADA7=>AddressA(7), ADA8=>AddressA(8), ADA9=>AddressA(9), ADA10=>AddressA(10), ADA11=>AddressA(11), ADA12=>AddressA(12), DIB0=>gnd, DIB1=>gnd, DIB2=>gnd, DIB3=>gnd, DIB4=>gnd, DIB5=>gnd, DIB6=>gnd, DIB7=>gnd, DIB8=>gnd, DIB9=>gnd, DIB10=>gnd, DIB11=>DataInB(0), DIB12=>gnd, DIB13=>gnd, DIB14=>gnd, DIB15=>gnd, DIB16=>gnd, DIB17=>gnd, ADB0=>AddressB(0), ADB1=>AddressB(1), ADB2=>AddressB(2), ADB3=>AddressB(3), ADB4=>AddressB(4), ADB5=>AddressB(5), ADB6=>AddressB(6), ADB7=>AddressB(7), ADB8=>AddressB(8), ADB9=>AddressB(9), ADB10=>AddressB(10), ADB11=>AddressB(11), ADB12=>AddressB(12), DOA0=>QA(0), DOA1=>open, DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, DOA16=>open, DOA17=>open, DOB0=>QB(0), DOB1=>open, DOB2=>open, DOB3=>open, DOB4=>open, DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library ec; use ec.dp8ka; -- pragma translate_on entity EC_RAMB8_S2_S2 is port ( DataInA: in std_logic_vector(1 downto 0); DataInB: in std_logic_vector(1 downto 0); AddressA: in std_logic_vector(11 downto 0); AddressB: in std_logic_vector(11 downto 0); ClockA: in std_logic; ClockB: in std_logic; ClockEnA: in std_logic; ClockEnB: in std_logic; WrA: in std_logic; WrB: in std_logic; QA: out std_logic_vector(1 downto 0); QB: out std_logic_vector(1 downto 0)); end; architecture Structure of EC_RAMB8_S2_S2 is COMPONENT dp8ka GENERIC( DATA_WIDTH_A : in Integer := 18; DATA_WIDTH_B : in Integer := 18; REGMODE_A : String := "NOREG"; REGMODE_B : String := "NOREG"; RESETMODE : String := "ASYNC"; CSDECODE_A : String := "000"; CSDECODE_B : String := "000"; WRITEMODE_A : String := "NORMAL"; WRITEMODE_B : String := "NORMAL"; GSR : String := "ENABLED"; initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ); PORT( dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X'; dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X'; ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X'; ada9, ada10, ada11, ada12 : in std_logic := 'X'; cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X'; dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X'; dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X'; adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X'; adb9, adb10, adb11, adb12 : in std_logic := 'X'; ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X'; doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X'; doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X'; dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X'; dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X' ); END COMPONENT; signal vcc, gnd : std_ulogic; begin vcc <= '1'; gnd <= '0'; u0: DP8KA generic map (CSDECODE_B=>"000", CSDECODE_A=>"000", WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL", GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG", REGMODE_A=>"NOREG", DATA_WIDTH_B=> 2, DATA_WIDTH_A=> 2) port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd, CSA1=>gnd, CSA2=>gnd, RSTA=>gnd, CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd, CSB1=>gnd, CSB2=>gnd, RSTB=>gnd, DIA0=>gnd, DIA1=>DataInA(0), DIA2=>gnd, DIA3=>gnd, DIA4=>gnd, DIA5=>gnd, DIA6=>gnd, DIA7=>gnd, DIA8=>gnd, DIA9=>gnd, DIA10=>gnd, DIA11=>DataInA(1), DIA12=>gnd, DIA13=>gnd, DIA14=>gnd, DIA15=>gnd, DIA16=>gnd, DIA17=>gnd, ADA0=>vcc, ADA1=>AddressA(0), ADA2=>AddressA(1), ADA3=>AddressA(2), ADA4=>AddressA(3), ADA5=>AddressA(4), ADA6=>AddressA(6), ADA7=>AddressA(6), ADA8=>AddressA(7), ADA9=>AddressA(8), ADA10=>AddressA(9), ADA11=>AddressA(10), ADA12=>AddressA(11), DIB0=>gnd, DIB1=>DataInB(0), DIB2=>gnd, DIB3=>gnd, DIB4=>gnd, DIB5=>gnd, DIB6=>gnd, DIB7=>gnd, DIB8=>gnd, DIB9=>gnd, DIB10=>gnd, DIB11=>DataInB(1), DIB12=>gnd, DIB13=>gnd, DIB14=>gnd, DIB15=>gnd, DIB16=>gnd, DIB17=>gnd, ADB0=>vcc, ADB1=>AddressB(0), ADB2=>AddressB(1), ADB3=>AddressB(2), ADB4=>AddressB(3), ADB5=>AddressB(4), ADB6=>AddressB(5), ADB7=>AddressB(6), ADB8=>AddressB(7), ADB9=>AddressB(8), ADB10=>AddressB(9), ADB11=>AddressB(10), ADB12=>AddressB(11), DOA0=>QA(1), DOA1=>QA(0), DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, DOA16=>open, DOA17=>open, DOB0=>QB(1), DOB1=>QB(0), DOB2=>open, DOB3=>open, DOB4=>open, DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library ec; use ec.dp8ka; -- pragma translate_on entity EC_RAMB8_S4_S4 is port ( DataInA: in std_logic_vector(3 downto 0); DataInB: in std_logic_vector(3 downto 0); AddressA: in std_logic_vector(10 downto 0); AddressB: in std_logic_vector(10 downto 0); ClockA: in std_logic; ClockB: in std_logic; ClockEnA: in std_logic; ClockEnB: in std_logic; WrA: in std_logic; WrB: in std_logic; QA: out std_logic_vector(3 downto 0); QB: out std_logic_vector(3 downto 0)); end; architecture Structure of EC_RAMB8_S4_S4 is COMPONENT dp8ka GENERIC( DATA_WIDTH_A : in Integer := 18; DATA_WIDTH_B : in Integer := 18; REGMODE_A : String := "NOREG"; REGMODE_B : String := "NOREG"; RESETMODE : String := "ASYNC"; CSDECODE_A : String := "000"; CSDECODE_B : String := "000"; WRITEMODE_A : String := "NORMAL"; WRITEMODE_B : String := "NORMAL"; GSR : String := "ENABLED"; initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ); PORT( dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X'; dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X'; ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X'; ada9, ada10, ada11, ada12 : in std_logic := 'X'; cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X'; dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X'; dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X'; adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X'; adb9, adb10, adb11, adb12 : in std_logic := 'X'; ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X'; doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X'; doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X'; dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X'; dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X' ); END COMPONENT; signal vcc, gnd : std_ulogic; begin vcc <= '1'; gnd <= '0'; u0: DP8KA generic map (CSDECODE_B=>"000", CSDECODE_A=>"000", WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL", GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG", REGMODE_A=>"NOREG", DATA_WIDTH_B=> 4, DATA_WIDTH_A=> 4) port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd, CSA1=>gnd, CSA2=>gnd, RSTA=>gnd, CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd, CSB1=>gnd, CSB2=>gnd, RSTB=>gnd, DIA0=>DataInA(0), DIA1=>DataInA(1), DIA2=>DataInA(2), DIA3=>DataInA(3), DIA4=>gnd, DIA5=>gnd, DIA6=>gnd, DIA7=>gnd, DIA8=>gnd, DIA9=>gnd, DIA10=>gnd, DIA11=>gnd, DIA12=>gnd, DIA13=>gnd, DIA14=>gnd, DIA15=>gnd, DIA16=>gnd, DIA17=>gnd, ADA0=>vcc, ADA1=>vcc, ADA2=>AddressA(0), ADA3=>AddressA(1), ADA4=>AddressA(2), ADA5=>AddressA(3), ADA6=>AddressA(4), ADA7=>AddressA(5), ADA8=>AddressA(6), ADA9=>AddressA(7), ADA10=>AddressA(8), ADA11=>AddressA(9), ADA12=>AddressA(10), DIB0=>DataInB(0), DIB1=>DataInB(1), DIB2=>DataInB(2), DIB3=>DataInB(3), DIB4=>gnd, DIB5=>gnd, DIB6=>gnd, DIB7=>gnd, DIB8=>gnd, DIB9=>gnd, DIB10=>gnd, DIB11=>gnd, DIB12=>gnd, DIB13=>gnd, DIB14=>gnd, DIB15=>gnd, DIB16=>gnd, DIB17=>gnd, ADB0=>vcc, ADB1=>vcc, ADB2=>AddressB(0), ADB3=>AddressB(1), ADB4=>AddressB(2), ADB5=>AddressB(3), ADB6=>AddressB(4), ADB7=>AddressB(5), ADB8=>AddressB(6), ADB9=>AddressB(7), ADB10=>AddressB(8), ADB11=>AddressB(9), ADB12=>AddressB(10), DOA0=>QA(0), DOA1=>QA(1), DOA2=>QA(2), DOA3=>QA(3), DOA4=>open, DOA5=>open, DOA6=>open, DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, DOA16=>open, DOA17=>open, DOB0=>QB(0), DOB1=>QB(1), DOB2=>QB(2), DOB3=>QB(3), DOB4=>open, DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library ec; use ec.dp8ka; -- pragma translate_on entity EC_RAMB8_S9_S9 is port ( DataInA: in std_logic_vector(8 downto 0); DataInB: in std_logic_vector(8 downto 0); AddressA: in std_logic_vector(9 downto 0); AddressB: in std_logic_vector(9 downto 0); ClockA: in std_logic; ClockB: in std_logic; ClockEnA: in std_logic; ClockEnB: in std_logic; WrA: in std_logic; WrB: in std_logic; QA: out std_logic_vector(8 downto 0); QB: out std_logic_vector(8 downto 0)); end; architecture Structure of EC_RAMB8_S9_S9 is COMPONENT dp8ka GENERIC( DATA_WIDTH_A : in Integer := 18; DATA_WIDTH_B : in Integer := 18; REGMODE_A : String := "NOREG"; REGMODE_B : String := "NOREG"; RESETMODE : String := "ASYNC"; CSDECODE_A : String := "000"; CSDECODE_B : String := "000"; WRITEMODE_A : String := "NORMAL"; WRITEMODE_B : String := "NORMAL"; GSR : String := "ENABLED"; initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ); PORT( dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X'; dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X'; ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X'; ada9, ada10, ada11, ada12 : in std_logic := 'X'; cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X'; dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X'; dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X'; adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X'; adb9, adb10, adb11, adb12 : in std_logic := 'X'; ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X'; doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X'; doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X'; dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X'; dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X' ); END COMPONENT; signal vcc, gnd : std_ulogic; begin vcc <= '1'; gnd <= '0'; u0: DP8KA generic map (CSDECODE_B=>"000", CSDECODE_A=>"000", WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL", GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG", REGMODE_A=>"NOREG", DATA_WIDTH_B=> 9, DATA_WIDTH_A=> 9) port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd, CSA1=>gnd, CSA2=>gnd, RSTA=>gnd, CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd, CSB1=>gnd, CSB2=>gnd, RSTB=>gnd, DIA0=>DataInA(0), DIA1=>DataInA(1), DIA2=>DataInA(2), DIA3=>DataInA(3), DIA4=>DataInA(4), DIA5=>DataInA(5), DIA6=>DataInA(6), DIA7=>DataInA(7), DIA8=>DataInA(8), DIA9=>gnd, DIA10=>gnd, DIA11=>gnd, DIA12=>gnd, DIA13=>gnd, DIA14=>gnd, DIA15=>gnd, DIA16=>gnd, DIA17=>gnd, ADA0=>vcc, ADA1=>vcc, ADA2=>gnd, ADA3=>AddressA(0), ADA4=>AddressA(1), ADA5=>AddressA(2), ADA6=>AddressA(3), ADA7=>AddressA(4), ADA8=>AddressA(5), ADA9=>AddressA(6), ADA10=>AddressA(7), ADA11=>AddressA(8), ADA12=>AddressA(9), DIB0=>DataInB(0), DIB1=>DataInB(1), DIB2=>DataInB(2), DIB3=>DataInB(3), DIB4=>DataInB(4), DIB5=>DataInB(5), DIB6=>DataInB(6), DIB7=>DataInB(7), DIB8=>DataInB(8), DIB9=>gnd, DIB10=>gnd, DIB11=>gnd, DIB12=>gnd, DIB13=>gnd, DIB14=>gnd, DIB15=>gnd, DIB16=>gnd, DIB17=>gnd, ADB0=>vcc, ADB1=>vcc, ADB2=>gnd, ADB3=>AddressB(0), ADB4=>AddressB(1), ADB5=>AddressB(2), ADB6=>AddressB(3), ADB7=>AddressB(4), ADB8=>AddressB(5), ADB9=>AddressB(6), ADB10=>AddressB(7), ADB11=>AddressB(8), ADB12=>AddressB(9), DOA0=>QA(0), DOA1=>QA(1), DOA2=>QA(2), DOA3=>QA(3), DOA4=>QA(4), DOA5=>QA(5), DOA6=>QA(6), DOA7=>QA(7), DOA8=>QA(8), DOA9=>open, DOA10=>open, DOA11=>open, DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, DOA16=>open, DOA17=>open, DOB0=>QB(0), DOB1=>QB(1), DOB2=>QB(2), DOB3=>QB(3), DOB4=>QB(4), DOB5=>QB(5), DOB6=>QB(6), DOB7=>QB(7), DOB8=>QB(8), DOB9=>open, DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library ec; use ec.dp8ka; -- pragma translate_on entity EC_RAMB8_S18_S18 is port ( DataInA: in std_logic_vector(17 downto 0); DataInB: in std_logic_vector(17 downto 0); AddressA: in std_logic_vector(8 downto 0); AddressB: in std_logic_vector(8 downto 0); ClockA: in std_logic; ClockB: in std_logic; ClockEnA: in std_logic; ClockEnB: in std_logic; WrA: in std_logic; WrB: in std_logic; QA: out std_logic_vector(17 downto 0); QB: out std_logic_vector(17 downto 0)); end; architecture Structure of EC_RAMB8_S18_S18 is COMPONENT dp8ka GENERIC( DATA_WIDTH_A : in Integer := 18; DATA_WIDTH_B : in Integer := 18; REGMODE_A : String := "NOREG"; REGMODE_B : String := "NOREG"; RESETMODE : String := "ASYNC"; CSDECODE_A : String := "000"; CSDECODE_B : String := "000"; WRITEMODE_A : String := "NORMAL"; WRITEMODE_B : String := "NORMAL"; GSR : String := "ENABLED"; initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ); PORT( dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X'; dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X'; ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X'; ada9, ada10, ada11, ada12 : in std_logic := 'X'; cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X'; dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X'; dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X'; adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X'; adb9, adb10, adb11, adb12 : in std_logic := 'X'; ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X'; doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X'; doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X'; dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X'; dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X' ); END COMPONENT; signal vcc, gnd : std_ulogic; begin vcc <= '1'; gnd <= '0'; u0: DP8KA generic map (CSDECODE_B=>"000", CSDECODE_A=>"000", WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL", GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG", REGMODE_A=>"NOREG", DATA_WIDTH_B=> 18, DATA_WIDTH_A=> 18) port map (CEA=>ClockEnA, CLKA=>ClockA, WEA=>WrA, CSA0=>gnd, CSA1=>gnd, CSA2=>gnd, RSTA=>gnd, CEB=>ClockEnB, CLKB=>ClockB, WEB=>WrB, CSB0=>gnd, CSB1=>gnd, CSB2=>gnd, RSTB=>gnd, DIA0=>DataInA(0), DIA1=>DataInA(1), DIA2=>DataInA(2), DIA3=>DataInA(3), DIA4=>DataInA(4), DIA5=>DataInA(5), DIA6=>DataInA(6), DIA7=>DataInA(7), DIA8=>DataInA(8), DIA9=>DataInA(9), DIA10=>DataInA(10), DIA11=>DataInA(11), DIA12=>DataInA(12), DIA13=>DataInA(13), DIA14=>DataInA(14), DIA15=>DataInA(15), DIA16=>DataInA(16), DIA17=>DataInA(17), ADA0=>vcc, ADA1=>vcc, ADA2=>gnd, ADA3=>gnd, ADA4=>AddressA(0), ADA5=>AddressA(1), ADA6=>AddressA(2), ADA7=>AddressA(3), ADA8=>AddressA(4), ADA9=>AddressA(5), ADA10=>AddressA(6), ADA11=>AddressA(7), ADA12=>AddressA(8), DIB0=>DataInB(0), DIB1=>DataInB(1), DIB2=>DataInB(2), DIB3=>DataInB(3), DIB4=>DataInB(4), DIB5=>DataInB(5), DIB6=>DataInB(6), DIB7=>DataInB(7), DIB8=>DataInB(8), DIB9=>DataInB(9), DIB10=>DataInB(10), DIB11=>DataInB(11), DIB12=>DataInB(12), DIB13=>DataInB(13), DIB14=>DataInB(14), DIB15=>DataInB(15), DIB16=>DataInB(16), DIB17=>DataInB(17), ADB0=>vcc, ADB1=>vcc, ADB2=>gnd, ADB3=>gnd, ADB4=>AddressB(0), ADB5=>AddressB(1), ADB6=>AddressB(2), ADB7=>AddressB(3), ADB8=>AddressB(4), ADB9=>AddressB(5), ADB10=>AddressB(6), ADB11=>AddressB(7), ADB12=>AddressB(8), DOA0=>QA(0), DOA1=>QA(1), DOA2=>QA(2), DOA3=>QA(3), DOA4=>QA(4), DOA5=>QA(5), DOA6=>QA(6), DOA7=>QA(7), DOA8=>QA(8), DOA9=>QA(9), DOA10=>QA(10), DOA11=>QA(11), DOA12=>QA(12), DOA13=>QA(13), DOA14=>QA(14), DOA15=>QA(15), DOA16=>QA(16), DOA17=>QA(17), DOB0=>QB(0), DOB1=>QB(1), DOB2=>QB(2), DOB3=>QB(3), DOB4=>QB(4), DOB5=>QB(5), DOB6=>QB(6), DOB7=>QB(7), DOB8=>QB(8), DOB9=>QB(9), DOB10=>QB(10), DOB11=>QB(11), DOB12=>QB(12), DOB13=>QB(13), DOB14=>QB(14), DOB15=>QB(15), DOB16=>QB(16), DOB17=>QB(17)); end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library ec; use ec.sp8ka; -- pragma translate_on entity EC_RAMB8_S1 is port ( clk, en, we : in std_ulogic; address : in std_logic_vector (12 downto 0); data : in std_logic_vector (0 downto 0); q : out std_logic_vector (0 downto 0)); end; architecture behav of EC_RAMB8_S1 is COMPONENT sp8ka GENERIC( DATA_WIDTH : in Integer := 18; REGMODE : String := "NOREG"; RESETMODE : String := "ASYNC"; CSDECODE : String := "000"; WRITEMODE : String := "NORMAL"; GSR : String := "ENABLED"; initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ); PORT( di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X'; di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X'; ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X'; ad9, ad10, ad11, ad12 : in std_logic := 'X'; ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X'; do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X'; do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X' ); END COMPONENT; signal vcc, gnd : std_ulogic; begin vcc <= '1'; gnd <= '0'; u0: SP8KA generic map (CSDECODE=>"000", GSR=>"DISABLED", WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC", REGMODE=>"NOREG", DATA_WIDTH=> 1) port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd, CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>gnd, DI1=>gnd, DI2=>gnd, DI3=>gnd, DI4=>gnd, DI5=>gnd, DI6=>gnd, DI7=>gnd, DI8=>gnd, DI9=>gnd, DI10=>gnd, DI11=>Data(0), DI12=>gnd, DI13=>gnd, DI14=>gnd, DI15=>gnd, DI16=>gnd, DI17=>gnd, AD0=>Address(0), AD1=>Address(1), AD2=>Address(2), AD3=>Address(3), AD4=>Address(4), AD5=>Address(5), AD6=>Address(6), AD7=>Address(7), AD8=>Address(8), AD9=>Address(9), AD10=>Address(10), AD11=>Address(11), AD12=>Address(12), DO0=>Q(0), DO1=>open, DO2=>open, DO3=>open, DO4=>open, DO5=>open, DO6=>open, DO7=>open, DO8=>open, DO9=>open, DO10=>open, DO11=>open, DO12=>open, DO13=>open, DO14=>open, DO15=>open, DO16=>open, DO17=>open); end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library ec; use ec.sp8ka; -- pragma translate_on entity EC_RAMB8_S2 is port ( clk, en, we : in std_ulogic; address : in std_logic_vector (11 downto 0); data : in std_logic_vector (1 downto 0); q : out std_logic_vector (1 downto 0)); end; architecture behav of EC_RAMB8_S2 is COMPONENT sp8ka GENERIC( DATA_WIDTH : in Integer := 18; REGMODE : String := "NOREG"; RESETMODE : String := "ASYNC"; CSDECODE : String := "000"; WRITEMODE : String := "NORMAL"; GSR : String := "ENABLED"; initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ); PORT( di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X'; di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X'; ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X'; ad9, ad10, ad11, ad12 : in std_logic := 'X'; ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X'; do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X'; do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X' ); END COMPONENT; signal vcc, gnd : std_ulogic; begin vcc <= '1'; gnd <= '0'; u0: SP8KA generic map (CSDECODE=>"000", GSR=>"DISABLED", WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC", REGMODE=>"NOREG", DATA_WIDTH=> 2) port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd, CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>gnd, DI1=>Data(0), DI2=>gnd, DI3=>gnd, DI4=>gnd, DI5=>gnd, DI6=>gnd, DI7=>gnd, DI8=>gnd, DI9=>gnd, DI10=>gnd, DI11=>Data(1), DI12=>gnd, DI13=>gnd, DI14=>gnd, DI15=>gnd, DI16=>gnd, DI17=>gnd, AD0=>gnd, AD1=>Address(0), AD2=>Address(1), AD3=>Address(2), AD4=>Address(3), AD5=>Address(4), AD6=>Address(5), AD7=>Address(6), AD8=>Address(7), AD9=>Address(8), AD10=>Address(9), AD11=>Address(10), AD12=>Address(11), DO0=>Q(1), DO1=>Q(0), DO2=>open, DO3=>open, DO4=>open, DO5=>open, DO6=>open, DO7=>open, DO8=>open, DO9=>open, DO10=>open, DO11=>open, DO12=>open, DO13=>open, DO14=>open, DO15=>open, DO16=>open, DO17=>open); end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library ec; use ec.sp8ka; -- pragma translate_on entity EC_RAMB8_S4 is port ( clk, en, we : in std_ulogic; address : in std_logic_vector (10 downto 0); data : in std_logic_vector (3 downto 0); q : out std_logic_vector (3 downto 0)); end; architecture behav of EC_RAMB8_S4 is COMPONENT sp8ka GENERIC( DATA_WIDTH : in Integer := 18; REGMODE : String := "NOREG"; RESETMODE : String := "ASYNC"; CSDECODE : String := "000"; WRITEMODE : String := "NORMAL"; GSR : String := "ENABLED"; initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ); PORT( di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X'; di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X'; ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X'; ad9, ad10, ad11, ad12 : in std_logic := 'X'; ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X'; do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X'; do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X' ); END COMPONENT; signal vcc, gnd : std_ulogic; begin vcc <= '1'; gnd <= '0'; u0: SP8KA generic map (CSDECODE=>"000", GSR=>"DISABLED", WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC", REGMODE=>"NOREG", DATA_WIDTH=> 4) port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd, CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>Data(0), DI1=>Data(1), DI2=>Data(2), DI3=>Data(3), DI4=>gnd, DI5=>gnd, DI6=>gnd, DI7=>gnd, DI8=>gnd, DI9=>gnd, DI10=>gnd, DI11=>gnd, DI12=>gnd, DI13=>gnd, DI14=>gnd, DI15=>gnd, DI16=>gnd, DI17=>gnd, AD0=>gnd, AD1=>gnd, AD2=>Address(0), AD3=>Address(1), AD4=>Address(2), AD5=>Address(3), AD6=>Address(4), AD7=>Address(5), AD8=>Address(6), AD9=>Address(7), AD10=>Address(8), AD11=>Address(9), AD12=>Address(10), DO0=>Q(0), DO1=>Q(1), DO2=>Q(2), DO3=>Q(3), DO4=>open, DO5=>open, DO6=>open, DO7=>open, DO8=>open, DO9=>open, DO10=>open, DO11=>open, DO12=>open, DO13=>open, DO14=>open, DO15=>open, DO16=>open, DO17=>open); end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library ec; use ec.sp8ka; -- pragma translate_on entity EC_RAMB8_S9 is port ( clk, en, we : in std_ulogic; address : in std_logic_vector (9 downto 0); data : in std_logic_vector (8 downto 0); q : out std_logic_vector (8 downto 0)); end; architecture behav of EC_RAMB8_S9 is COMPONENT sp8ka GENERIC( DATA_WIDTH : in Integer := 18; REGMODE : String := "NOREG"; RESETMODE : String := "ASYNC"; CSDECODE : String := "000"; WRITEMODE : String := "NORMAL"; GSR : String := "ENABLED"; initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ); PORT( di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X'; di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X'; ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X'; ad9, ad10, ad11, ad12 : in std_logic := 'X'; ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X'; do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X'; do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X' ); END COMPONENT; signal vcc, gnd : std_ulogic; begin vcc <= '1'; gnd <= '0'; u0: SP8KA generic map (CSDECODE=>"000", GSR=>"DISABLED", WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC", REGMODE=>"NOREG", DATA_WIDTH=> 9) port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd, CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>Data(0), DI1=>Data(1), DI2=>Data(2), DI3=>Data(3), DI4=>Data(4), DI5=>Data(5), DI6=>Data(6), DI7=>Data(7), DI8=>Data(8), DI9=>gnd, DI10=>gnd, DI11=>gnd, DI12=>gnd, DI13=>gnd, DI14=>gnd, DI15=>gnd, DI16=>gnd, DI17=>gnd, AD0=>gnd, AD1=>gnd, AD2=>gnd, AD3=>Address(0), AD4=>Address(1), AD5=>Address(2), AD6=>Address(3), AD7=>Address(4), AD8=>Address(5), AD9=>Address(6), AD10=>Address(7), AD11=>Address(8), AD12=>Address(9), DO0=>Q(0), DO1=>Q(1), DO2=>Q(2), DO3=>Q(3), DO4=>Q(4), DO5=>Q(5), DO6=>Q(6), DO7=>Q(7), DO8=>Q(8), DO9=>open, DO10=>open, DO11=>open, DO12=>open, DO13=>open, DO14=>open, DO15=>open, DO16=>open, DO17=>open); end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library ec; use ec.sp8ka; -- pragma translate_on entity EC_RAMB8_S18 is port ( clk, en, we : in std_ulogic; address : in std_logic_vector (8 downto 0); data : in std_logic_vector (17 downto 0); q : out std_logic_vector (17 downto 0)); end; architecture behav of EC_RAMB8_S18 is COMPONENT sp8ka GENERIC( DATA_WIDTH : in Integer := 18; REGMODE : String := "NOREG"; RESETMODE : String := "ASYNC"; CSDECODE : String := "000"; WRITEMODE : String := "NORMAL"; GSR : String := "ENABLED"; initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ); PORT( di0, di1, di2, di3, di4, di5, di6, di7, di8 : in std_logic := 'X'; di9, di10, di11, di12, di13, di14, di15, di16, di17 : in std_logic := 'X'; ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8 : in std_logic := 'X'; ad9, ad10, ad11, ad12 : in std_logic := 'X'; ce, clk, we, cs0, cs1, cs2, rst : in std_logic := 'X'; do0, do1, do2, do3, do4, do5, do6, do7, do8 : out std_logic := 'X'; do9, do10, do11, do12, do13, do14, do15, do16, do17 : out std_logic := 'X' ); END COMPONENT; signal vcc, gnd : std_ulogic; begin vcc <= '1'; gnd <= '0'; u0: SP8KA generic map (CSDECODE=>"000", GSR=>"DISABLED", WRITEMODE=>"WRITETHROUGH", RESETMODE=>"ASYNC", REGMODE=>"NOREG", DATA_WIDTH=> 18) port map (CE=>En, CLK=>Clk, WE=>WE, CS0=>gnd, CS1=>gnd, CS2=>gnd, RST=>gnd, DI0=>Data(0), DI1=>Data(1), DI2=>Data(2), DI3=>Data(3), DI4=>Data(4), DI5=>Data(5), DI6=>Data(6), DI7=>Data(7), DI8=>Data(8), DI9=>Data(9), DI10=>Data(10), DI11=>Data(11), DI12=>Data(12), DI13=>Data(13), DI14=>Data(14), DI15=>Data(15), DI16=>Data(16), DI17=>Data(17), AD0=>gnd, AD1=>gnd, AD2=>gnd, AD3=>gnd, AD4=>Address(0), AD5=>Address(1), AD6=>Address(2), AD7=>Address(3), AD8=>Address(4), AD9=>Address(5), AD10=>Address(6), AD11=>Address(7), AD12=>Address(8), DO0=>Q(0), DO1=>Q(1), DO2=>Q(2), DO3=>Q(3), DO4=>Q(4), DO5=>Q(5), DO6=>Q(6), DO7=>Q(7), DO8=>Q(8), DO9=>Q(9), DO10=>Q(10), DO11=>Q(11), DO12=>Q(12), DO13=>Q(13), DO14=>Q(14), DO15=>Q(15), DO16=>Q(16), DO17=>Q(17)); end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library ec; use ec.dp8ka; -- pragma translate_on entity EC_RAMB8_S36 is port ( clk, en, we : in std_ulogic; address : in std_logic_vector (7 downto 0); data : in std_logic_vector (35 downto 0); q : out std_logic_vector (35 downto 0)); end; architecture behav of EC_RAMB8_S36 is COMPONENT dp8ka GENERIC( DATA_WIDTH_A : in Integer := 18; DATA_WIDTH_B : in Integer := 18; REGMODE_A : String := "NOREG"; REGMODE_B : String := "NOREG"; RESETMODE : String := "ASYNC"; CSDECODE_A : String := "000"; CSDECODE_B : String := "000"; WRITEMODE_A : String := "NORMAL"; WRITEMODE_B : String := "NORMAL"; GSR : String := "ENABLED"; initval_00 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_01 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_02 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_03 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_04 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_05 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_06 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_07 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_08 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_09 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_0f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_10 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_11 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_12 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_13 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_14 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_15 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_16 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_17 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_18 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_19 : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1a : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1b : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1c : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1d : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1e : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; initval_1f : string := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ); PORT( dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8 : in std_logic := 'X'; dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17 : in std_logic := 'X'; ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8 : in std_logic := 'X'; ada9, ada10, ada11, ada12 : in std_logic := 'X'; cea, clka, wea, csa0, csa1, csa2, rsta : in std_logic := 'X'; dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8 : in std_logic := 'X'; dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17 : in std_logic := 'X'; adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8 : in std_logic := 'X'; adb9, adb10, adb11, adb12 : in std_logic := 'X'; ceb, clkb, web, csb0, csb1, csb2, rstb : in std_logic := 'X'; doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8 : out std_logic := 'X'; doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17 : out std_logic := 'X'; dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8 : out std_logic := 'X'; dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17 : out std_logic := 'X' ); END COMPONENT; signal vcc, gnd : std_ulogic; begin vcc <= '1'; gnd <= '0'; u0: DP8KA generic map (CSDECODE_B=>"000", CSDECODE_A=>"000", WRITEMODE_B=>"NORMAL", WRITEMODE_A=>"NORMAL", GSR=>"DISABLED", RESETMODE=>"ASYNC", REGMODE_B=>"NOREG", REGMODE_A=>"NOREG", DATA_WIDTH_B=> 18, DATA_WIDTH_A=> 18) port map (CEA => en, CLKA => clk, WEA => we, CSA0 => gnd, CSA1=>gnd, CSA2=>gnd, RSTA=> gnd, CEB=> en, CLKB=> clk, WEB=> we, CSB0=>gnd, CSB1=>gnd, CSB2=>gnd, RSTB=>gnd, DIA0=>Data(0), DIA1=>Data(1), DIA2=>Data(2), DIA3=>Data(3), DIA4=>Data(4), DIA5=>Data(5), DIA6=>Data(6), DIA7=>Data(7), DIA8=>Data(8), DIA9=>Data(9), DIA10=>Data(10), DIA11=>Data(11), DIA12=>Data(12), DIA13=>Data(13), DIA14=>Data(14), DIA15=>Data(15), DIA16=>Data(16), DIA17=>Data(17), ADA0=>vcc, ADA1=>vcc, ADA2=>vcc, ADA3=>vcc, ADA4=>Address(0), ADA5=>Address(1), ADA6=>Address(2), ADA7=>Address(3), ADA8=>Address(4), ADA9=>Address(5), ADA10=>Address(6), ADA11=>Address(7), ADA12=>gnd, DIB0=>Data(18), DIB1=>Data(19), DIB2=>Data(20), DIB3=>Data(21), DIB4=>Data(22), DIB5=>Data(23), DIB6=>Data(24), DIB7=>Data(25), DIB8=>Data(26), DIB9=>Data(27), DIB10=>Data(28), DIB11=>Data(29), DIB12=>Data(30), DIB13=>Data(31), DIB14=>Data(32), DIB15=>Data(33), DIB16=>Data(34), DIB17=>Data(35), ADB0=>vcc, ADB1=>vcc, ADB2=>gnd, ADB3=>gnd, ADB4=>Address(0), ADB5=>Address(1), ADB6=>Address(2), ADB7=>Address(3), ADB8=>Address(4), ADB9=>Address(5), ADB10=>Address(6), ADB11=>Address(7), ADB12=>vcc, DOA0=>Q(0), DOA1=>Q(1), DOA2=>Q(2), DOA3=>Q(3), DOA4=>Q(4), DOA5=>Q(5), DOA6=>Q(6), DOA7=>Q(7), DOA8=>Q(8), DOA9=>Q(9), DOA10=>Q(10), DOA11=>Q(11), DOA12=>Q(12), DOA13=>Q(13), DOA14=>Q(14), DOA15=>Q(15), DOA16=>Q(16), DOA17=>Q(17), DOB0=>Q(18), DOB1=>Q(19), DOB2=>Q(20), DOB3=>Q(21), DOB4=>Q(22), DOB5=>Q(23), DOB6=>Q(24), DOB7=>Q(25), DOB8=>Q(26), DOB9=>Q(27), DOB10=>Q(28), DOB11=>Q(29), DOB12=>Q(30), DOB13=>Q(31), DOB14=>Q(32), DOB15=>Q(33), DOB16=>Q(34), DOB17=>Q(35)); end; library ieee; use ieee.std_logic_1164.all; library techmap; entity ec_syncram is generic (abits : integer := 9; dbits : integer := 32); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (dbits -1 downto 0); dataout : out std_logic_vector (dbits -1 downto 0); enable : in std_ulogic; write : in std_ulogic ); end; architecture behav of ec_syncram is component EC_RAMB8_S1 port ( clk, en, we : in std_ulogic; address : in std_logic_vector (12 downto 0); data : in std_logic_vector (0 downto 0); q : out std_logic_vector (0 downto 0)); end component; component EC_RAMB8_S2 port ( clk, en, we : in std_ulogic; address : in std_logic_vector (11 downto 0); data : in std_logic_vector (1 downto 0); q : out std_logic_vector (1 downto 0)); end component; component EC_RAMB8_S4 port ( clk, en, we : in std_ulogic; address : in std_logic_vector (10 downto 0); data : in std_logic_vector (3 downto 0); q : out std_logic_vector (3 downto 0)); end component; component EC_RAMB8_S9 port ( clk, en, we : in std_ulogic; address : in std_logic_vector (9 downto 0); data : in std_logic_vector (8 downto 0); q : out std_logic_vector (8 downto 0)); end component; component EC_RAMB8_S18 port ( clk, en, we : in std_ulogic; address : in std_logic_vector (8 downto 0); data : in std_logic_vector (17 downto 0); q : out std_logic_vector (17 downto 0)); end component; component EC_RAMB8_S36 port ( clk, en, we : in std_ulogic; address : in std_logic_vector (7 downto 0); data : in std_logic_vector (35 downto 0); q : out std_logic_vector (35 downto 0)); end component; constant DMAX : integer := dbits+36; constant AMAX : integer := 13; signal gnd : std_ulogic; signal do, di : std_logic_vector(DMAX downto 0); signal xa, ya : std_logic_vector(AMAX downto 0); begin gnd <= '0'; dataout <= do(dbits-1 downto 0); di(dbits-1 downto 0) <= datain; di(DMAX downto dbits) <= (others => '0'); xa(abits-1 downto 0) <= address; xa(AMAX downto abits) <= (others => '0'); ya(abits-1 downto 0) <= address; ya(AMAX downto abits) <= (others => '1'); a8 : if (abits <= 8) generate x : for i in 0 to ((dbits-1)/36) generate r : EC_RAMB8_S36 port map ( clk, enable, write, xa(7 downto 0), di((i+1)*36-1 downto i*36), do((i+1)*36-1 downto i*36)); end generate; end generate; a9 : if (abits = 9) generate x : for i in 0 to ((dbits-1)/18) generate r : EC_RAMB8_S18 port map ( clk, enable, write, xa(8 downto 0), di((i+1)*18-1 downto i*18), do((i+1)*18-1 downto i*18)); end generate; end generate; a10 : if (abits = 10) generate x : for i in 0 to ((dbits-1)/9) generate r : EC_RAMB8_S9 port map ( clk, enable, write, xa(9 downto 0), di((i+1)*9-1 downto i*9), do((i+1)*9-1 downto i*9)); end generate; end generate; a11 : if (abits = 11) generate x : for i in 0 to ((dbits-1)/4) generate r : EC_RAMB8_S4 port map ( clk, enable, write, xa(10 downto 0), di((i+1)*4-1 downto i*4), do((i+1)*4-1 downto i*4)); end generate; end generate; a12 : if (abits = 12) generate x : for i in 0 to ((dbits-1)/2) generate r : EC_RAMB8_S2 port map ( clk, enable, write, xa(11 downto 0), di((i+1)*2-1 downto i*2), do((i+1)*2-1 downto i*2)); end generate; end generate; a13 : if (abits = 13) generate x : for i in 0 to ((dbits-1)/1) generate r : EC_RAMB8_S1 port map ( clk, enable, write, xa(12 downto 0), di((i+1)*1-1 downto i*1), do((i+1)*1-1 downto i*1)); end generate; end generate; -- pragma translate_off unsup : if (abits > 13) generate x : process begin assert false report "Lattice EC syncram mapper: unsupported memory configuration!" severity failure; wait; end process; end generate; -- pragma translate_on end; library ieee; use ieee.std_logic_1164.all; library techmap; entity ec_syncram_dp is generic ( abits : integer := 4; dbits : integer := 32 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic); end; architecture behav of ec_syncram_dp is component EC_RAMB8_S1_S1 is port ( DataInA, DataInB: in std_logic_vector(0 downto 0); AddressA, AddressB: in std_logic_vector(12 downto 0); ClockA, ClockB: in std_logic; ClockEnA, ClockEnB: in std_logic; WrA, WrB: in std_logic; QA, QB: out std_logic_vector(0 downto 0)); end component; component EC_RAMB8_S2_S2 is port ( DataInA, DataInB: in std_logic_vector(1 downto 0); AddressA, AddressB: in std_logic_vector(11 downto 0); ClockA, ClockB: in std_logic; ClockEnA, ClockEnB: in std_logic; WrA, WrB: in std_logic; QA, QB: out std_logic_vector(1 downto 0)); end component; component EC_RAMB8_S4_S4 is port ( DataInA, DataInB: in std_logic_vector(3 downto 0); AddressA, AddressB: in std_logic_vector(10 downto 0); ClockA, ClockB: in std_logic; ClockEnA, ClockEnB: in std_logic; WrA, WrB: in std_logic; QA, QB: out std_logic_vector(3 downto 0)); end component; component EC_RAMB8_S9_S9 is port ( DataInA, DataInB: in std_logic_vector(8 downto 0); AddressA, AddressB: in std_logic_vector(9 downto 0); ClockA, ClockB: in std_logic; ClockEnA, ClockEnB: in std_logic; WrA, WrB: in std_logic; QA, QB: out std_logic_vector(8 downto 0)); end component; component EC_RAMB8_S18_S18 is port ( DataInA, DataInB: in std_logic_vector(17 downto 0); AddressA, AddressB: in std_logic_vector(8 downto 0); ClockA, ClockB: in std_logic; ClockEnA, ClockEnB: in std_logic; WrA, WrB: in std_logic; QA, QB: out std_logic_vector(17 downto 0)); end component; constant DMAX : integer := dbits+18; constant AMAX : integer := 13; signal gnd, vcc : std_ulogic; signal do1, do2, di1, di2 : std_logic_vector(DMAX downto 0); signal addr1, addr2 : std_logic_vector(AMAX downto 0); begin gnd <= '0'; vcc <= '1'; dataout1 <= do1(dbits-1 downto 0); dataout2 <= do2(dbits-1 downto 0); di1(dbits-1 downto 0) <= datain1; di1(DMAX downto dbits) <= (others => '0'); di2(dbits-1 downto 0) <= datain2; di2(DMAX downto dbits) <= (others => '0'); addr1(abits-1 downto 0) <= address1; addr1(AMAX downto abits) <= (others => '0'); addr2(abits-1 downto 0) <= address2; addr2(AMAX downto abits) <= (others => '0'); a9 : if abits <= 9 generate x : for i in 0 to ((dbits-1)/18) generate r0 : EC_RAMB8_S18_S18 port map ( di1((i+1)*18-1 downto i*18), di2((i+1)*18-1 downto i*18), addr1(8 downto 0), addr2(8 downto 0), clk1, clk2, enable1, enable2, write1, write2, do1((i+1)*18-1 downto i*18), do2((i+1)*18-1 downto i*18)); end generate; end generate; a10 : if abits = 10 generate x : for i in 0 to ((dbits-1)/9) generate r0 : EC_RAMB8_S9_S9 port map ( di1((i+1)*9-1 downto i*9), di2((i+1)*9-1 downto i*9), addr1(9 downto 0), addr2(9 downto 0), clk1, clk2, enable1, enable2, write1, write2, do1((i+1)*9-1 downto i*9), do2((i+1)*9-1 downto i*9)); end generate; end generate; a11 : if abits = 11 generate x : for i in 0 to ((dbits-1)/4) generate r0 : EC_RAMB8_S4_S4 port map ( di1((i+1)*4-1 downto i*4), di2((i+1)*4-1 downto i*4), addr1(10 downto 0), addr2(10 downto 0), clk1, clk2, enable1, enable2, write1, write2, do1((i+1)*4-1 downto i*4), do2((i+1)*4-1 downto i*4)); end generate; end generate; a12 : if abits = 12 generate x : for i in 0 to ((dbits-1)/2) generate r0 : EC_RAMB8_S2_S2 port map ( di1((i+1)*2-1 downto i*2), di2((i+1)*2-1 downto i*2), addr1(11 downto 0), addr2(11 downto 0), clk1, clk2, enable1, enable2, write1, write2, do1((i+1)*2-1 downto i*2), do2((i+1)*2-1 downto i*2)); end generate; end generate; a13 : if abits = 13 generate x : for i in 0 to ((dbits-1)/1) generate r0 : EC_RAMB8_S1_S1 port map ( di1((i+1)*1-1 downto i*1), di2((i+1)*1-1 downto i*1), addr1(12 downto 0), addr2(12 downto 0), clk1, clk2, enable1, enable2, write1, write2, do1((i+1)*1-1 downto i*1), do2((i+1)*1-1 downto i*1)); end generate; end generate; -- pragma translate_off unsup : if (abits > 13) generate x : process begin assert false report "Lattice EC syncram_dp: unsupported memory configuration!" severity failure; wait; end process; end generate; -- pragma translate_on end;
gpl-2.0
b21a1261be0df16fb0e29507b1d41274
0.666227
4.282886
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-avnet-xc2v1500/config.vhd
1
5,629
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := virtex2; constant CFG_MEMTECH : integer := virtex2; constant CFG_PADTECH : integer := virtex2; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := virtex2; constant CFG_CLKMUL : integer := (2); constant CFG_CLKDIV : integer := (2); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 1; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 0 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 0; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (0); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 1; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 1; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1 + 0 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 0; constant CFG_ITLBNUM : integer := 2; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 0; constant CFG_ITBSZ : integer := 0; constant CFG_ATBSZ : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- DDR controller constant CFG_DDRSP : integer := 1; constant CFG_DDRSP_INIT : integer := 1; constant CFG_DDRSP_FREQ : integer := (100); constant CFG_DDRSP_COL : integer := (9); constant CFG_DDRSP_SIZE : integer := (16); constant CFG_DDRSP_RSKEW : integer := (0); -- AHB status register constant CFG_AHBSTAT : integer := 1; constant CFG_AHBSTATN : integer := (1); -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- PCI interface constant CFG_PCI : integer := 1; constant CFG_PCIVID : integer := 16#1AC8#; constant CFG_PCIDID : integer := 16#0054#; constant CFG_PCIDEPTH : integer := 8; constant CFG_PCI_MTF : integer := 1; -- PCI trace buffer constant CFG_PCITBUFEN: integer := 0; constant CFG_PCITBUF : integer := 256; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 8; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 0; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := 1; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
060df9962a08a8beb1b66c86298a8942
0.643631
3.659948
false
false
false
false
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/syn/vhdl/image_filter_CvtColor.vhd
2
35,733
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity image_filter_CvtColor is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; p_src_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_src_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_src_data_stream_0_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_0_V_empty_n : IN STD_LOGIC; p_src_data_stream_0_V_read : OUT STD_LOGIC; p_src_data_stream_1_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_1_V_empty_n : IN STD_LOGIC; p_src_data_stream_1_V_read : OUT STD_LOGIC; p_src_data_stream_2_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_2_V_empty_n : IN STD_LOGIC; p_src_data_stream_2_V_read : OUT STD_LOGIC; p_dst_data_stream_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); p_dst_data_stream_V_full_n : IN STD_LOGIC; p_dst_data_stream_V_write : OUT STD_LOGIC ); end; architecture behav of image_filter_CvtColor is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (3 downto 0) := "0001"; constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (3 downto 0) := "0010"; constant ap_ST_pp0_stg0_fsm_2 : STD_LOGIC_VECTOR (3 downto 0) := "0100"; constant ap_ST_st10_fsm_3 : STD_LOGIC_VECTOR (3 downto 0) := "1000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv11_0 : STD_LOGIC_VECTOR (10 downto 0) := "00000000000"; constant ap_const_lv11_1 : STD_LOGIC_VECTOR (10 downto 0) := "00000000001"; constant ap_const_lv31_4C8B43 : STD_LOGIC_VECTOR (30 downto 0) := "0000000010011001000101101000011"; constant ap_const_lv30_1D2F1A : STD_LOGIC_VECTOR (29 downto 0) := "000000000111010010111100011010"; constant ap_const_lv32_9645A1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000100101100100010110100001"; constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000"; constant ap_const_lv32_1F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011111"; constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111"; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_lv8_FF : STD_LOGIC_VECTOR (7 downto 0) := "11111111"; signal ap_CS_fsm : STD_LOGIC_VECTOR (3 downto 0) := "0001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC; signal ap_sig_bdd_22 : BOOLEAN; signal j_reg_144 : STD_LOGIC_VECTOR (10 downto 0); signal exitcond2_fu_160_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC; signal ap_sig_bdd_61 : BOOLEAN; signal i_1_fu_165_p2 : STD_LOGIC_VECTOR (10 downto 0); signal i_1_reg_308 : STD_LOGIC_VECTOR (10 downto 0); signal exitcond_fu_175_p2 : STD_LOGIC_VECTOR (0 downto 0); signal exitcond_reg_313 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_pp0_stg0_fsm_2 : STD_LOGIC; signal ap_sig_bdd_72 : BOOLEAN; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC := '0'; signal ap_sig_bdd_86 : BOOLEAN; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it4 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it5 : STD_LOGIC := '0'; signal ap_reg_ppstg_exitcond_reg_313_pp0_it5 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_bdd_102 : BOOLEAN; signal ap_reg_ppiten_pp0_it6 : STD_LOGIC := '0'; signal ap_reg_ppstg_exitcond_reg_313_pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond_reg_313_pp0_it2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond_reg_313_pp0_it3 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond_reg_313_pp0_it4 : STD_LOGIC_VECTOR (0 downto 0); signal j_1_fu_180_p2 : STD_LOGIC_VECTOR (10 downto 0); signal tmp_10_reg_322 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_11_reg_327 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_tmp_11_reg_327_pp0_it2 : STD_LOGIC_VECTOR (7 downto 0); signal grp_fu_190_p2 : STD_LOGIC_VECTOR (30 downto 0); signal r_V_4_i_reg_347 : STD_LOGIC_VECTOR (30 downto 0); signal tmp_i_cast_fu_223_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_i_cast_reg_352 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_7_reg_357 : STD_LOGIC_VECTOR (0 downto 0); signal p_Val2_4_fu_262_p2 : STD_LOGIC_VECTOR (7 downto 0); signal p_Val2_4_reg_362 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_8_reg_367 : STD_LOGIC_VECTOR (0 downto 0); signal i_reg_133 : STD_LOGIC_VECTOR (10 downto 0); signal ap_sig_cseq_ST_st10_fsm_3 : STD_LOGIC; signal ap_sig_bdd_169 : BOOLEAN; signal i_cast_fu_156_p1 : STD_LOGIC_VECTOR (11 downto 0); signal j_cast_fu_171_p1 : STD_LOGIC_VECTOR (11 downto 0); signal grp_fu_190_p0 : STD_LOGIC_VECTOR (7 downto 0); signal grp_fu_190_p1 : STD_LOGIC_VECTOR (23 downto 0); signal grp_fu_199_p0 : STD_LOGIC_VECTOR (7 downto 0); signal grp_fu_199_p1 : STD_LOGIC_VECTOR (21 downto 0); signal grp_fu_208_p0 : STD_LOGIC_VECTOR (7 downto 0); signal grp_fu_208_p1 : STD_LOGIC_VECTOR (24 downto 0); signal grp_fu_199_p2 : STD_LOGIC_VECTOR (29 downto 0); signal tmp1_i_cast_fu_214_p1 : STD_LOGIC_VECTOR (30 downto 0); signal p_Val2_1_fu_218_p2 : STD_LOGIC_VECTOR (30 downto 0); signal grp_fu_208_p2 : STD_LOGIC_VECTOR (31 downto 0); signal r_V_1_fu_227_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_fu_242_p3 : STD_LOGIC_VECTOR (0 downto 0); signal p_Val2_3_fu_232_p4 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_3_i_i_i_fu_250_p1 : STD_LOGIC_VECTOR (7 downto 0); signal p_Result_2_i_i_i_not_fu_276_p2 : STD_LOGIC_VECTOR (0 downto 0); signal not_carry_fu_281_p2 : STD_LOGIC_VECTOR (0 downto 0); signal grp_fu_190_ce : STD_LOGIC; signal grp_fu_199_ce : STD_LOGIC; signal grp_fu_208_ce : STD_LOGIC; signal ap_NS_fsm : STD_LOGIC_VECTOR (3 downto 0); signal grp_fu_190_p00 : STD_LOGIC_VECTOR (30 downto 0); signal grp_fu_199_p00 : STD_LOGIC_VECTOR (29 downto 0); signal grp_fu_208_p00 : STD_LOGIC_VECTOR (31 downto 0); component image_filter_mul_8ns_24ns_31_3 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (7 downto 0); din1 : IN STD_LOGIC_VECTOR (23 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (30 downto 0) ); end component; component image_filter_mul_8ns_22ns_30_3 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (7 downto 0); din1 : IN STD_LOGIC_VECTOR (21 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (29 downto 0) ); end component; component image_filter_mul_8ns_25ns_32_3 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (7 downto 0); din1 : IN STD_LOGIC_VECTOR (24 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; begin image_filter_mul_8ns_24ns_31_3_U43 : component image_filter_mul_8ns_24ns_31_3 generic map ( ID => 1, NUM_STAGE => 3, din0_WIDTH => 8, din1_WIDTH => 24, dout_WIDTH => 31) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_190_p0, din1 => grp_fu_190_p1, ce => grp_fu_190_ce, dout => grp_fu_190_p2); image_filter_mul_8ns_22ns_30_3_U44 : component image_filter_mul_8ns_22ns_30_3 generic map ( ID => 1, NUM_STAGE => 3, din0_WIDTH => 8, din1_WIDTH => 22, dout_WIDTH => 30) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_199_p0, din1 => grp_fu_199_p1, ce => grp_fu_199_ce, dout => grp_fu_199_p2); image_filter_mul_8ns_25ns_32_3_U45 : component image_filter_mul_8ns_25ns_32_3 generic map ( ID => 1, NUM_STAGE => 3, din0_WIDTH => 8, din1_WIDTH => 25, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_208_p0, din1 => grp_fu_208_p1, ce => grp_fu_208_ce, dout => grp_fu_208_p2); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_st1_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and not((exitcond_fu_175_p2 = ap_const_lv1_0)))) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond2_fu_160_p2 = ap_const_lv1_0))) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and (exitcond_fu_175_p2 = ap_const_lv1_0))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_1; elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond2_fu_160_p2 = ap_const_lv1_0)) or ((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and not((exitcond_fu_175_p2 = ap_const_lv1_0))))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else if (not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6))))) then ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it3 assign process. -- ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; else if (not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6))))) then ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it4 assign process. -- ap_reg_ppiten_pp0_it4_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it4 <= ap_const_logic_0; else if (not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6))))) then ap_reg_ppiten_pp0_it4 <= ap_reg_ppiten_pp0_it3; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it5 assign process. -- ap_reg_ppiten_pp0_it5_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it5 <= ap_const_logic_0; else if (not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6))))) then ap_reg_ppiten_pp0_it5 <= ap_reg_ppiten_pp0_it4; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it6 assign process. -- ap_reg_ppiten_pp0_it6_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it6 <= ap_const_logic_0; else if (not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6))))) then ap_reg_ppiten_pp0_it6 <= ap_reg_ppiten_pp0_it5; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond2_fu_160_p2 = ap_const_lv1_0))) then ap_reg_ppiten_pp0_it6 <= ap_const_logic_0; end if; end if; end if; end process; -- i_reg_133 assign process. -- i_reg_133_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)))) then i_reg_133 <= ap_const_lv11_0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st10_fsm_3)) then i_reg_133 <= i_1_reg_308; end if; end if; end process; -- j_reg_144 assign process. -- j_reg_144_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and (exitcond_fu_175_p2 = ap_const_lv1_0))) then j_reg_144 <= j_1_fu_180_p2; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond2_fu_160_p2 = ap_const_lv1_0))) then j_reg_144 <= ap_const_lv11_0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))))) then ap_reg_ppstg_exitcond_reg_313_pp0_it1 <= exitcond_reg_313; exitcond_reg_313 <= exitcond_fu_175_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6))))) then ap_reg_ppstg_exitcond_reg_313_pp0_it2 <= ap_reg_ppstg_exitcond_reg_313_pp0_it1; ap_reg_ppstg_exitcond_reg_313_pp0_it3 <= ap_reg_ppstg_exitcond_reg_313_pp0_it2; ap_reg_ppstg_exitcond_reg_313_pp0_it4 <= ap_reg_ppstg_exitcond_reg_313_pp0_it3; ap_reg_ppstg_exitcond_reg_313_pp0_it5 <= ap_reg_ppstg_exitcond_reg_313_pp0_it4; ap_reg_ppstg_tmp_11_reg_327_pp0_it2 <= tmp_11_reg_327; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then i_1_reg_308 <= i_1_fu_165_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and (ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_313_pp0_it4))) then p_Val2_4_reg_362 <= p_Val2_4_fu_262_p2; tmp_7_reg_357 <= r_V_1_fu_227_p2(31 downto 31); tmp_8_reg_367 <= p_Val2_4_fu_262_p2(7 downto 7); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and (ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_313_pp0_it2))) then r_V_4_i_reg_347 <= grp_fu_190_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond_reg_313 = ap_const_lv1_0) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))))) then tmp_10_reg_322 <= p_src_data_stream_0_V_dout; tmp_11_reg_327 <= p_src_data_stream_1_V_dout; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and (ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_313_pp0_it3))) then tmp_i_cast_reg_352(0) <= tmp_i_cast_fu_223_p1(0); tmp_i_cast_reg_352(1) <= tmp_i_cast_fu_223_p1(1); tmp_i_cast_reg_352(2) <= tmp_i_cast_fu_223_p1(2); tmp_i_cast_reg_352(3) <= tmp_i_cast_fu_223_p1(3); tmp_i_cast_reg_352(4) <= tmp_i_cast_fu_223_p1(4); tmp_i_cast_reg_352(5) <= tmp_i_cast_fu_223_p1(5); tmp_i_cast_reg_352(6) <= tmp_i_cast_fu_223_p1(6); tmp_i_cast_reg_352(7) <= tmp_i_cast_fu_223_p1(7); tmp_i_cast_reg_352(8) <= tmp_i_cast_fu_223_p1(8); tmp_i_cast_reg_352(9) <= tmp_i_cast_fu_223_p1(9); tmp_i_cast_reg_352(10) <= tmp_i_cast_fu_223_p1(10); tmp_i_cast_reg_352(11) <= tmp_i_cast_fu_223_p1(11); tmp_i_cast_reg_352(12) <= tmp_i_cast_fu_223_p1(12); tmp_i_cast_reg_352(13) <= tmp_i_cast_fu_223_p1(13); tmp_i_cast_reg_352(14) <= tmp_i_cast_fu_223_p1(14); tmp_i_cast_reg_352(15) <= tmp_i_cast_fu_223_p1(15); tmp_i_cast_reg_352(16) <= tmp_i_cast_fu_223_p1(16); tmp_i_cast_reg_352(17) <= tmp_i_cast_fu_223_p1(17); tmp_i_cast_reg_352(18) <= tmp_i_cast_fu_223_p1(18); tmp_i_cast_reg_352(19) <= tmp_i_cast_fu_223_p1(19); tmp_i_cast_reg_352(20) <= tmp_i_cast_fu_223_p1(20); tmp_i_cast_reg_352(21) <= tmp_i_cast_fu_223_p1(21); tmp_i_cast_reg_352(22) <= tmp_i_cast_fu_223_p1(22); tmp_i_cast_reg_352(23) <= tmp_i_cast_fu_223_p1(23); tmp_i_cast_reg_352(24) <= tmp_i_cast_fu_223_p1(24); tmp_i_cast_reg_352(25) <= tmp_i_cast_fu_223_p1(25); tmp_i_cast_reg_352(26) <= tmp_i_cast_fu_223_p1(26); tmp_i_cast_reg_352(27) <= tmp_i_cast_fu_223_p1(27); tmp_i_cast_reg_352(28) <= tmp_i_cast_fu_223_p1(28); tmp_i_cast_reg_352(29) <= tmp_i_cast_fu_223_p1(29); tmp_i_cast_reg_352(30) <= tmp_i_cast_fu_223_p1(30); end if; end if; end process; tmp_i_cast_reg_352(31) <= '0'; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, exitcond2_fu_160_p2, exitcond_fu_175_p2, ap_reg_ppiten_pp0_it0, ap_sig_bdd_86, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it5, ap_sig_bdd_102, ap_reg_ppiten_pp0_it6) begin case ap_CS_fsm is when ap_ST_st1_fsm_0 => if (not((ap_start = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st2_fsm_1; else ap_NS_fsm <= ap_ST_st1_fsm_0; end if; when ap_ST_st2_fsm_1 => if (not((exitcond2_fu_160_p2 = ap_const_lv1_0))) then ap_NS_fsm <= ap_ST_st1_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_2; end if; when ap_ST_pp0_stg0_fsm_2 => if ((not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it6) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it5)))) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and not((exitcond_fu_175_p2 = ap_const_lv1_0)) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it1)))))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_2; elsif ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it6) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it5))) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and not((exitcond_fu_175_p2 = ap_const_lv1_0)) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it1))))) then ap_NS_fsm <= ap_ST_st10_fsm_3; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_2; end if; when ap_ST_st10_fsm_3 => ap_NS_fsm <= ap_ST_st2_fsm_1; when others => ap_NS_fsm <= "XXXX"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, exitcond2_fu_160_p2, ap_sig_cseq_ST_st2_fsm_1) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((exitcond2_fu_160_p2 = ap_const_lv1_0))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(exitcond2_fu_160_p2, ap_sig_cseq_ST_st2_fsm_1) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((exitcond2_fu_160_p2 = ap_const_lv1_0)))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_sig_bdd_102 assign process. -- ap_sig_bdd_102_assign_proc : process(p_dst_data_stream_V_full_n, ap_reg_ppstg_exitcond_reg_313_pp0_it5) begin ap_sig_bdd_102 <= ((p_dst_data_stream_V_full_n = ap_const_logic_0) and (ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_313_pp0_it5)); end process; -- ap_sig_bdd_169 assign process. -- ap_sig_bdd_169_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_169 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3)); end process; -- ap_sig_bdd_22 assign process. -- ap_sig_bdd_22_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_22 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1); end process; -- ap_sig_bdd_61 assign process. -- ap_sig_bdd_61_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_61 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1)); end process; -- ap_sig_bdd_72 assign process. -- ap_sig_bdd_72_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_72 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2)); end process; -- ap_sig_bdd_86 assign process. -- ap_sig_bdd_86_assign_proc : process(p_src_data_stream_0_V_empty_n, p_src_data_stream_1_V_empty_n, p_src_data_stream_2_V_empty_n, exitcond_reg_313) begin ap_sig_bdd_86 <= (((p_src_data_stream_0_V_empty_n = ap_const_logic_0) and (exitcond_reg_313 = ap_const_lv1_0)) or ((exitcond_reg_313 = ap_const_lv1_0) and (p_src_data_stream_1_V_empty_n = ap_const_logic_0)) or ((exitcond_reg_313 = ap_const_lv1_0) and (p_src_data_stream_2_V_empty_n = ap_const_logic_0))); end process; -- ap_sig_cseq_ST_pp0_stg0_fsm_2 assign process. -- ap_sig_cseq_ST_pp0_stg0_fsm_2_assign_proc : process(ap_sig_bdd_72) begin if (ap_sig_bdd_72) then ap_sig_cseq_ST_pp0_stg0_fsm_2 <= ap_const_logic_1; else ap_sig_cseq_ST_pp0_stg0_fsm_2 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st10_fsm_3 assign process. -- ap_sig_cseq_ST_st10_fsm_3_assign_proc : process(ap_sig_bdd_169) begin if (ap_sig_bdd_169) then ap_sig_cseq_ST_st10_fsm_3 <= ap_const_logic_1; else ap_sig_cseq_ST_st10_fsm_3 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st1_fsm_0 assign process. -- ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_22) begin if (ap_sig_bdd_22) then ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1; else ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st2_fsm_1 assign process. -- ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_61) begin if (ap_sig_bdd_61) then ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1; else ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0; end if; end process; exitcond2_fu_160_p2 <= "1" when (i_cast_fu_156_p1 = p_src_rows_V_read) else "0"; exitcond_fu_175_p2 <= "1" when (j_cast_fu_171_p1 = p_src_cols_V_read) else "0"; -- grp_fu_190_ce assign process. -- grp_fu_190_ce_assign_proc : process(exitcond_reg_313, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_86, ap_reg_ppiten_pp0_it1, ap_sig_bdd_102, ap_reg_ppiten_pp0_it6, ap_reg_ppstg_exitcond_reg_313_pp0_it1, ap_reg_ppstg_exitcond_reg_313_pp0_it2) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and ((exitcond_reg_313 = ap_const_lv1_0) or (ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_313_pp0_it1) or (ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_313_pp0_it2)))) then grp_fu_190_ce <= ap_const_logic_1; else grp_fu_190_ce <= ap_const_logic_0; end if; end process; grp_fu_190_p0 <= grp_fu_190_p00(8 - 1 downto 0); grp_fu_190_p00 <= std_logic_vector(resize(unsigned(p_src_data_stream_2_V_dout),31)); grp_fu_190_p1 <= ap_const_lv31_4C8B43(24 - 1 downto 0); -- grp_fu_199_ce assign process. -- grp_fu_199_ce_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_86, ap_reg_ppiten_pp0_it1, ap_sig_bdd_102, ap_reg_ppiten_pp0_it6, ap_reg_ppstg_exitcond_reg_313_pp0_it1, ap_reg_ppstg_exitcond_reg_313_pp0_it2, ap_reg_ppstg_exitcond_reg_313_pp0_it3) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and ((ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_313_pp0_it1) or (ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_313_pp0_it2) or (ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_313_pp0_it3)))) then grp_fu_199_ce <= ap_const_logic_1; else grp_fu_199_ce <= ap_const_logic_0; end if; end process; grp_fu_199_p0 <= grp_fu_199_p00(8 - 1 downto 0); grp_fu_199_p00 <= std_logic_vector(resize(unsigned(tmp_10_reg_322),30)); grp_fu_199_p1 <= ap_const_lv30_1D2F1A(22 - 1 downto 0); -- grp_fu_208_ce assign process. -- grp_fu_208_ce_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_86, ap_reg_ppiten_pp0_it1, ap_sig_bdd_102, ap_reg_ppiten_pp0_it6, ap_reg_ppstg_exitcond_reg_313_pp0_it2, ap_reg_ppstg_exitcond_reg_313_pp0_it3, ap_reg_ppstg_exitcond_reg_313_pp0_it4) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and ((ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_313_pp0_it2) or (ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_313_pp0_it3) or (ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_313_pp0_it4)))) then grp_fu_208_ce <= ap_const_logic_1; else grp_fu_208_ce <= ap_const_logic_0; end if; end process; grp_fu_208_p0 <= grp_fu_208_p00(8 - 1 downto 0); grp_fu_208_p00 <= std_logic_vector(resize(unsigned(ap_reg_ppstg_tmp_11_reg_327_pp0_it2),32)); grp_fu_208_p1 <= ap_const_lv32_9645A1(25 - 1 downto 0); i_1_fu_165_p2 <= std_logic_vector(unsigned(i_reg_133) + unsigned(ap_const_lv11_1)); i_cast_fu_156_p1 <= std_logic_vector(resize(unsigned(i_reg_133),12)); j_1_fu_180_p2 <= std_logic_vector(unsigned(j_reg_144) + unsigned(ap_const_lv11_1)); j_cast_fu_171_p1 <= std_logic_vector(resize(unsigned(j_reg_144),12)); not_carry_fu_281_p2 <= (tmp_8_reg_367 or p_Result_2_i_i_i_not_fu_276_p2); p_Result_2_i_i_i_not_fu_276_p2 <= (tmp_7_reg_357 xor ap_const_lv1_1); p_Val2_1_fu_218_p2 <= std_logic_vector(unsigned(r_V_4_i_reg_347) + unsigned(tmp1_i_cast_fu_214_p1)); p_Val2_3_fu_232_p4 <= r_V_1_fu_227_p2(31 downto 24); p_Val2_4_fu_262_p2 <= std_logic_vector(unsigned(p_Val2_3_fu_232_p4) + unsigned(tmp_3_i_i_i_fu_250_p1)); p_dst_data_stream_V_din <= p_Val2_4_reg_362 when (not_carry_fu_281_p2(0) = '1') else ap_const_lv8_FF; -- p_dst_data_stream_V_write assign process. -- p_dst_data_stream_V_write_assign_proc : process(ap_sig_bdd_86, ap_reg_ppiten_pp0_it1, ap_reg_ppstg_exitcond_reg_313_pp0_it5, ap_sig_bdd_102, ap_reg_ppiten_pp0_it6) begin if (((ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_313_pp0_it5) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))))) then p_dst_data_stream_V_write <= ap_const_logic_1; else p_dst_data_stream_V_write <= ap_const_logic_0; end if; end process; -- p_src_data_stream_0_V_read assign process. -- p_src_data_stream_0_V_read_assign_proc : process(exitcond_reg_313, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_86, ap_reg_ppiten_pp0_it1, ap_sig_bdd_102, ap_reg_ppiten_pp0_it6) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond_reg_313 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))))) then p_src_data_stream_0_V_read <= ap_const_logic_1; else p_src_data_stream_0_V_read <= ap_const_logic_0; end if; end process; -- p_src_data_stream_1_V_read assign process. -- p_src_data_stream_1_V_read_assign_proc : process(exitcond_reg_313, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_86, ap_reg_ppiten_pp0_it1, ap_sig_bdd_102, ap_reg_ppiten_pp0_it6) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond_reg_313 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))))) then p_src_data_stream_1_V_read <= ap_const_logic_1; else p_src_data_stream_1_V_read <= ap_const_logic_0; end if; end process; -- p_src_data_stream_2_V_read assign process. -- p_src_data_stream_2_V_read_assign_proc : process(exitcond_reg_313, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_86, ap_reg_ppiten_pp0_it1, ap_sig_bdd_102, ap_reg_ppiten_pp0_it6) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond_reg_313 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_86 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_102 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))))) then p_src_data_stream_2_V_read <= ap_const_logic_1; else p_src_data_stream_2_V_read <= ap_const_logic_0; end if; end process; r_V_1_fu_227_p2 <= std_logic_vector(unsigned(grp_fu_208_p2) + unsigned(tmp_i_cast_reg_352)); tmp1_i_cast_fu_214_p1 <= std_logic_vector(resize(unsigned(grp_fu_199_p2),31)); tmp_3_i_i_i_fu_250_p1 <= std_logic_vector(resize(unsigned(tmp_fu_242_p3),8)); tmp_fu_242_p3 <= r_V_1_fu_227_p2(23 downto 23); tmp_i_cast_fu_223_p1 <= std_logic_vector(resize(unsigned(p_Val2_1_fu_218_p2),32)); end behav;
gpl-3.0
119c5b9265a0c8acf842aa1740bf99f1
0.587636
2.640825
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/techmap/maps/allclkgen.vhd
1
20,538
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: allclkgen -- File: allclkgen.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Clock generator interface package ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; package allclkgen is component clkgen_virtex2 generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; noclkfb : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; clk2xen : integer := 0; clksel : integer := 0); -- enable clock select port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock clk2x : out std_logic; -- double clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk1xu : out std_ulogic; -- unscaled clock clk2xu : out std_ulogic); end component; component clkgen_spartan3 generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; noclkfb : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; clk2xen : integer := 0; clksel : integer := 0); -- enable clock select port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock clk2x : out std_logic; -- double clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk1xu : out std_ulogic; -- unscaled clock clk2xu : out std_ulogic); end component; component clkgen_virtex5 generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; noclkfb : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; clk2xen : integer := 0; clksel : integer := 0); -- enable clock select port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock clk2x : out std_logic; -- double clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk1xu : out std_ulogic; -- unscaled clock clk2xu : out std_ulogic); end component; component clkgen_virtex7 generic ( clk_mul : integer := 1; clk_div : integer := 1; freq : integer := 25000); port ( clkin : in std_logic; clk : out std_logic; -- main clock clk90 : out std_ulogic; -- main clock 90deg clkio : out std_ulogic; -- IO ref clock cgi : in clkgen_in_type; cgo : out clkgen_out_type); end component; component clkgen_axcelerator generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; sdinvclk : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000); port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type); end component; component clkgen_altera_mf generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; sdinvclk : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; clk2xen : integer := 0); port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock clk2x : out std_logic; -- double clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type); end component; component clkgen_stratixii generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; sdinvclk : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; clk2xen : integer := 0); port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock clk2x : out std_logic; -- double clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type); end component; component clkgen_cycloneiii generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; sdinvclk : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; clk2xen : integer := 0); port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock clk2x : out std_logic; -- double clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type); end component; component clkgen_stratixiii generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; sdinvclk : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; clk2xen : integer := 0); port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock clk2x : out std_logic; -- double clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type); end component; component clkgen_rh_lib18t generic ( clk_mul : integer := 1; clk_div : integer := 1); port ( rst : in std_logic; clkin : in std_logic; clk : out std_logic; sdclk : out std_logic; -- SDRAM clock clk2x : out std_logic; clk4x : out std_logic ); end component; component clkmul_virtex2 generic ( clk_mul : integer := 2 ; clk_div : integer := 2); port ( resetin : in std_logic; clkin : in std_logic; clk : out std_logic; resetout: out std_logic ); end component; component clkand_unisim port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic ); end component; component clkand_ut025crh port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic ); end component; component clkand_ut130hbd port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic ); end component; component clkand_ut90nhbd port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic ); end component; component clkrand_ut130hbd port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic ); end component; component clkand_rh_lib18t port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic ); end component; component clkmux_unisim port( i0, i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic ); end component; component clkmux_ut130hbd port( i0, i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic ); end component; component clkmux_ut90nhbd port( i0, i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic ); end component; component clkmux_fusion port( i0, i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic ); end component; component altera_pll generic ( clk_mul : integer := 1; clk_div : integer := 1; clk_freq : integer := 25000; clk2xen : integer := 0; sdramen : integer := 0 ); port ( inclk0 : in std_ulogic; c0 : out std_ulogic; c0_2x : out std_ulogic; e0 : out std_ulogic; locked : out std_ulogic); end component; component clkgen_proasic3 generic ( clk_mul : integer := 1; clk_div : integer := 1; clk_odiv : integer := 1; -- output divider pcien : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; -- clock frequency in KHz clkb_odiv: integer := 0; clkc_odiv: integer := 0); port ( clkin : in std_ulogic; pciclkin: in std_ulogic; clk : out std_ulogic; -- main clock sdclk : out std_ulogic; -- SDRAM clock pciclk : out std_ulogic; cgi : in clkgen_in_type; cgo : out clkgen_out_type; clkb : out std_logic; clkc : out std_logic); end component; component clkgen_fusion generic ( clk_mul : integer := 1; clk_div : integer := 1; clk_odiv : integer := 1; -- output divider pcien : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; -- clock frequency in KHz clkb_odiv: integer := 0; clkc_odiv: integer := 0); port ( clkin : in std_ulogic; pciclkin: in std_ulogic; clk : out std_ulogic; -- main clock sdclk : out std_ulogic; -- SDRAM clock pciclk : out std_ulogic; cgi : in clkgen_in_type; cgo : out clkgen_out_type; clkb : out std_logic; clkc : out std_logic); end component; component clkgen_proasic3e generic ( clk_mul : integer := 1; clk_div : integer := 1; clk_odiv : integer := 1; -- output divider pcien : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; -- clock frequency in KHz clkb_odiv: integer := 0; clkc_odiv: integer := 0); port ( clkin : in std_ulogic; pciclkin: in std_ulogic; clk : out std_ulogic; -- main clock sdclk : out std_ulogic; -- SDRAM clock pciclk : out std_ulogic; cgi : in clkgen_in_type; cgo : out clkgen_out_type; clkb : out std_logic; clkc : out std_logic); end component; component clkgen_proasic3l generic ( clk_mul : integer := 1; clk_div : integer := 1; clk_odiv : integer := 1; -- output divider pcien : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; -- clock frequency in KHz clkb_odiv: integer := 0; clkc_odiv: integer := 0); port ( clkin : in std_ulogic; pciclkin: in std_ulogic; clk : out std_ulogic; -- main clock sdclk : out std_ulogic; -- SDRAM clock pciclk : out std_ulogic; cgi : in clkgen_in_type; cgo : out clkgen_out_type; clkb : out std_logic; clkc : out std_logic); end component; component cyclone3_pll is generic ( clk_mul : integer := 1; clk_div : integer := 1; clk_freq : integer := 25000; clk2xen : integer := 0; sdramen : integer := 0 ); port ( inclk0 : in std_ulogic; c0 : out std_ulogic; c0_2x : out std_ulogic; e0 : out std_ulogic; locked : out std_ulogic); end component; component stratix3_pll generic ( clk_mul : integer := 1; clk_div : integer := 1; clk_freq : integer := 25000; clk2xen : integer := 0; sdramen : integer := 0 ); port ( inclk0 : in std_ulogic; c0 : out std_ulogic; c0_2x : out std_ulogic; e0 : out std_ulogic; locked : out std_ulogic); end component; component clkgen_rhumc port ( clkin : in std_logic; clk : out std_logic; -- main clock clk2x : out std_logic; -- 2x clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk4x : out std_logic; -- 4x clock clk1xu : out std_logic; -- unscaled 1X clock clk2xu : out std_logic -- unscaled 2X clock ); end component; component clkinv_saed32 port( i : in std_ulogic; o : out std_ulogic); end component; component clkand_saed32 port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic := '0' ); end component; component clkmux_saed32 port ( i0, i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic); end component; component clkgen_saed32 port ( clkin : in std_logic; clk : out std_logic; -- main clock clk2x : out std_logic; -- 2x clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk4x : out std_logic; -- 4x clock clk1xu : out std_logic; -- unscaled 1X clock clk2xu : out std_logic -- unscaled 2X clock ); end component; component clkinv_dare port( i : in std_ulogic; o : out std_ulogic); end component; component clkand_dare port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic := '0' ); end component; component clkmux_dare port ( i0, i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic); end component; component clkmux_rhumc port ( i0, i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic); end component; component clkgen_dare generic ( noclkfb : integer := 1 ); port ( clkin : in std_logic; clk : out std_logic; -- main clock clk2x : out std_logic; -- 2x clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk4x : out std_logic; -- 4x clock clk1xu : out std_logic; -- unscaled 1X clock clk2xu : out std_logic; -- unscaled 2X clock clk8x : out std_logic ); end component; component clkgen_easic90 generic ( clk_mul : integer; clk_div : integer; freq : integer; pcisysclk : integer; pcien : integer); port ( clkin : in std_ulogic; pciclkin : in std_ulogic; clk : out std_ulogic; clk2x : out std_ulogic; clk4x : out std_ulogic; clkn : out std_ulogic; lock : out std_ulogic); end component; component clkmux_rhlib18t port( i0 : in std_ulogic; i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic); end component; component clkand_n2x port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic := '0' ); end component; component clkmux_n2x port ( i0, i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic); end component; component clkgen_n2x generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; noclkfb : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; -- clock frequency in KHz clk2xen : integer := 0; clksel : integer := 0; -- enable clock select clk270en : integer := 0); port ( clkin : in std_ulogic; pciclkin: in std_ulogic; clk : out std_ulogic; -- main clock clkn : out std_ulogic; -- inverted main clock clk2x : out std_ulogic; -- double clock sdclk : out std_ulogic; -- SDRAM clock pciclk : out std_ulogic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk1xu : out std_ulogic; -- unscaled clock clk2xu : out std_ulogic; -- unscaled 2X clock clk270 : out std_ulogic -- clk shifted 270 degrees ); end component; component clkgen_ut130hbd generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; noclkfb : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; -- clock frequency in KHz clk2xen : integer := 0; clksel : integer := 0); -- enable clock select port ( clkin : in std_ulogic; pciclkin: in std_ulogic; clk : out std_ulogic; -- main clock clkn : out std_ulogic; -- inverted main clock clk2x : out std_ulogic; -- double clock sdclk : out std_ulogic; -- SDRAM clock pciclk : out std_ulogic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk1xu : out std_ulogic; -- unscaled clock clk2xu : out std_ulogic -- unscaled 2X clock ); end component; component clkgen_ut90nhbd is generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; noclkfb : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; -- clock frequency in KHz clk2xen : integer := 0; clksel : integer := 0); -- enable clock select port ( clkin : in std_ulogic; pciclkin: in std_ulogic; clk : out std_ulogic; -- main clock clkn : out std_ulogic; -- inverted main clock clk2x : out std_ulogic; -- double clock sdclk : out std_ulogic; -- SDRAM clock pciclk : out std_ulogic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk1xu : out std_ulogic; -- unscaled clock clk2xu : out std_ulogic -- unscaled 2X clock ); end component; component sim_pll is generic ( clkmul: integer := 1; clkdiv1: integer := 1; clkphase1: integer := 0; clkdiv2: integer := 1; clkphase2: integer := 0; clkdiv3: integer := 1; clkphase3: integer := 0; clkdiv4: integer := 1; clkphase4: integer := 0; -- Frequency limits in kHz, for checking only minfreq: integer := 0; maxfreq: integer := 10000000 ); port ( i: in std_logic; o1: out std_logic; o2: out std_logic; o3: out std_logic; o4: out std_logic; lock: out std_logic; rst: in std_logic ); end component; end;
gpl-2.0
1b7f3440d0ed997dd167fbcab04396fa
0.550248
3.366885
false
false
false
false
mistryalok/Zedboard
learning/training/Microsystem/axi_interface_part2/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_rst_processing_system7_0_100M_0/synth/design_1_rst_processing_system7_0_100M_0.vhd
2
6,831
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0; USE proc_sys_reset_v5_0.proc_sys_reset; ENTITY design_1_rst_processing_system7_0_100M_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END design_1_rst_processing_system7_0_100M_0; ARCHITECTURE design_1_rst_processing_system7_0_100M_0_arch OF design_1_rst_processing_system7_0_100M_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2014.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_rst_processing_system7_0_100M_0_arch : ARCHITECTURE IS "design_1_rst_processing_system7_0_100M_0,proc_sys_reset,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "design_1_rst_processing_system7_0_100M_0,proc_sys_reset,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END design_1_rst_processing_system7_0_100M_0_arch;
gpl-3.0
5f2c98ad1949b3895a1352a5f0fc2008
0.717465
3.437846
false
false
false
false
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/syn/vhdl/FIFO_image_filter_p_dst_rows_V.vhd
2
4,564
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_p_dst_rows_V_shiftReg is generic ( DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_p_dst_rows_V_shiftReg; architecture rtl of FIFO_image_filter_p_dst_rows_V_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_p_dst_rows_V is generic ( MEM_STYLE : string := "shiftreg"; DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_p_dst_rows_V is component FIFO_image_filter_p_dst_rows_V_shiftReg is generic ( DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_p_dst_rows_V_shiftReg : FIFO_image_filter_p_dst_rows_V_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
gpl-3.0
16df17f7a6d89d371f780151d166f63e
0.534619
3.483969
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-altera-c5ekit/leon3mp.vhd
1
28,025
------------------------------------------------------------------------------ -- LEON3 Demonstration design -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.memctrl.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.jtag.all; use gaisler.i2c.all; use gaisler.net.all; --pragma translate_off use gaisler.sim.all; --pragma translate_on library esa; use esa.memoryctrl.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( -- Clock and reset diff_clkin_top_125_p: in std_ulogic; diff_clkin_bot_125_p: in std_ulogic; clkin_50_fpga_right: in std_ulogic; clkin_50_fpga_top: in std_ulogic; clkout_sma: out std_ulogic; cpu_resetn: in std_ulogic; -- DDR3 ddr3_ck_p: out std_ulogic; ddr3_ck_n: out std_ulogic; ddr3_cke: out std_ulogic; ddr3_rstn: out std_ulogic; ddr3_csn: out std_ulogic; ddr3_rasn: out std_ulogic; ddr3_casn: out std_ulogic; ddr3_wen: out std_ulogic; ddr3_ba: out std_logic_vector(2 downto 0); ddr3_a : out std_logic_vector(13 downto 0); ddr3_dqs_p: inout std_logic_vector(3 downto 0); ddr3_dqs_n: inout std_logic_vector(3 downto 0); ddr3_dq: inout std_logic_vector(31 downto 0); ddr3_dm: out std_logic_vector(3 downto 0); ddr3_odt: out std_ulogic; ddr3_oct_rzq: in std_ulogic; -- LPDDR2 lpddr2_ck_p: out std_ulogic; lpddr2_ck_n: out std_ulogic; lpddr2_cke: out std_ulogic; lpddr2_a: out std_logic_vector(9 downto 0); lpddr2_dqs_p: inout std_logic_vector(1 downto 0); lpddr2_dqs_n: inout std_logic_vector(1 downto 0); lpddr2_dq: inout std_logic_vector(15 downto 0); lpddr2_dm: out std_logic_vector(1 downto 0); lpddr2_csn: out std_ulogic; lpddr2_oct_rzq: in std_ulogic; -- Flash and SSRAM interface fm_a: out std_logic_vector(26 downto 1); fm_d: in std_logic_vector(15 downto 0); flash_clk: out std_ulogic; flash_resetn: out std_ulogic; flash_cen: out std_ulogic; -- Driven const low by MAXV CPLD? flash_advn: out std_ulogic; flash_wen: out std_ulogic; flash_oen: out std_ulogic; flash_rdybsyn: in std_ulogic; ssram_clk: out std_ulogic; ssram_oen: out std_ulogic; sram_cen: out std_ulogic; ssram_bwen: out std_ulogic; ssram_bwan: out std_ulogic; ssram_bwbn: out std_ulogic; ssram_adscn: out std_ulogic; ssram_adspn: out std_ulogic; ssram_zzn: out std_ulogic; -- Name incorrect, this is active high ssram_advn: out std_ulogic; -- EEPROM eeprom_scl : inout std_ulogic; eeprom_sda : inout std_ulogic; -- UART uart_rxd : in std_ulogic; uart_rts : in std_ulogic; -- Note CTS and RTS mixed up on PCB uart_txd : out std_ulogic; uart_cts : out std_ulogic; -- USB UART Interface usb_uart_rstn : in std_ulogic; -- inout usb_uart_ri : in std_ulogic; usb_uart_dcd : in std_ulogic; usb_uart_dtr : out std_ulogic; usb_uart_dsr : in std_ulogic; usb_uart_txd : out std_ulogic; usb_uart_rxd : in std_ulogic; usb_uart_rts : in std_ulogic; usb_uart_cts : out std_ulogic; usb_uart_gpio2 : in std_ulogic; usb_uart_suspend : in std_ulogic; usb_uart_suspendn : in std_ulogic; -- Ethernet port A eneta_rx_clk: in std_ulogic; eneta_tx_clk: in std_ulogic; eneta_intn: in std_ulogic; eneta_resetn: out std_ulogic; eneta_mdio: inout std_ulogic; eneta_mdc: out std_ulogic; eneta_rx_er: in std_ulogic; eneta_tx_er: out std_ulogic; eneta_rx_col: in std_ulogic; eneta_rx_crs: in std_ulogic; eneta_tx_d: out std_logic_vector(3 downto 0); eneta_rx_d: in std_logic_vector(3 downto 0); eneta_gtx_clk: out std_ulogic; eneta_tx_en: out std_ulogic; eneta_rx_dv: in std_ulogic; -- Ethernet port B enetb_rx_clk: in std_ulogic; enetb_tx_clk: in std_ulogic; enetb_intn: in std_ulogic; enetb_resetn: out std_ulogic; enetb_mdio: inout std_ulogic; enetb_mdc: out std_ulogic; enetb_rx_er: in std_ulogic; enetb_tx_er: out std_ulogic; enetb_rx_col: in std_ulogic; enetb_rx_crs: in std_ulogic; enetb_tx_d: out std_logic_vector(3 downto 0); enetb_rx_d: in std_logic_vector(3 downto 0); enetb_gtx_clk: out std_ulogic; enetb_tx_en: out std_ulogic; enetb_rx_dv: in std_ulogic; -- LEDs, switches, GPIO user_led : out std_logic_vector(3 downto 0); user_dipsw : in std_logic_vector(3 downto 0); dip_3p3V : in std_ulogic; user_pb : in std_logic_vector(3 downto 0); overtemp_fpga : out std_ulogic; header_p : in std_logic_vector(5 downto 0); -- inout header_n : in std_logic_vector(5 downto 0); -- inout header_d : in std_logic_vector(7 downto 0); -- inout -- LCD lcd_data : in std_logic_vector(7 downto 0); -- inout lcd_wen : out std_ulogic; lcd_csn : out std_ulogic; lcd_d_cn : out std_ulogic; -- HIGH-SPEED-MEZZANINE-CARD Interface -- This has been commented out as some pins have been placed in -- violation with the Altera diff pad keep-out rules. -- hsmc_clk_in0: in std_ulogic; -- hsmc_clk_out0: out std_ulogic; -- changed due to placement rule -- hsmc_clk_in_p: in std_logic_vector(2 downto 1); -- hsmc_clk_out_p: out std_logic_vector(2 downto 1); -- hsmc_d: in std_logic_vector(3 downto 0); -- inout -- hsmc_tx_d_p: out std_logic_vector(16 downto 0); -- hsmc_rx_d_p: in std_logic_vector(16 downto 0); -- hsmc_rx_led: out std_ulogic; -- hsmc_tx_led: out std_ulogic; -- hsmc_scl: out std_ulogic; -- in due to placement rule -- hsmc_sda: in std_ulogic; -- inout -- hsmc_prsntn: in std_ulogic; -- MAX V CPLD interface max5_csn: out std_ulogic; max5_wen: out std_ulogic; max5_oen: out std_ulogic; max5_ben: out std_logic_vector(3 downto 0); max5_clk: out std_ulogic; -- USB Blaster II usb_clk : in std_ulogic; usb_data : in std_logic_vector(7 downto 0); -- inout usb_addr : in std_logic_vector(1 downto 0); -- inout usb_scl : in std_ulogic; -- inout usb_sda : in std_ulogic; -- inout usb_resetn : in std_ulogic; usb_oen : in std_ulogic; usb_rdn : in std_ulogic; usb_wrn : in std_ulogic; usb_full : out std_ulogic; usb_empty : out std_ulogic; fx2_resetn : in std_ulogic ); end; architecture rtl of leon3mp is constant USE_AHBREP: integer := 0 --pragma translate_off +1 --pragma translate_on ; -- Bus indexes constant hmi_cpu : integer := 0; constant hmi_greth1 : integer := hmi_cpu + CFG_NCPU; constant hmi_greth2 : integer := hmi_greth1 + CFG_GRETH; constant hmi_ahbuart : integer := hmi_greth2 + CFG_GRETH2; constant hmi_ahbjtag : integer := hmi_ahbuart + CFG_AHB_UART; constant nahbm : integer := hmi_ahbjtag + CFG_AHB_JTAG; constant hsi_ssrctrl : integer := 0; constant hsi_apbctrl : integer := hsi_ssrctrl + (CFG_SSCTRL + CFG_AHBROMEN + 1)/2; constant hsi_dsu : integer := hsi_apbctrl + 1; constant hsi_ddr3 : integer := hsi_dsu + CFG_DSU; constant hsi_lpddr2 : integer := hsi_ddr3 + 1; constant hsi_ahbrep : integer := hsi_lpddr2 + 1; constant nahbs : integer := hsi_ahbrep + USE_AHBREP; constant pi_irqmp : integer := 0; constant pi_apbuart : integer := pi_irqmp + CFG_IRQ3_ENABLE; constant pi_gpt : integer := pi_apbuart + CFG_UART1_ENABLE; constant pi_ahbuart : integer := pi_gpt + CFG_GPT_ENABLE; constant pi_ssrctrl : integer := pi_ahbuart + CFG_AHB_UART; constant pi_greth1 : integer := pi_ssrctrl + CFG_SSCTRL; constant pi_greth2 : integer := pi_greth1 + CFG_GRETH; constant pi_i2cmst : integer := pi_greth2 + CFG_GRETH2; constant napbs : integer := pi_i2cmst + CFG_I2C_ENABLE; constant CPU_FREQ : integer := 75000; signal clklock: std_ulogic; signal clkm: std_ulogic; signal ssclk: std_ulogic; signal rstn: std_ulogic; signal ahbmi: ahb_mst_in_type; signal ahbmo: ahb_mst_out_vector; signal ahbsi: ahb_slv_in_type; signal ahbso: ahb_slv_out_vector; signal apbi: apb_slv_in_type; signal apbo: apb_slv_out_vector; signal irqi: irq_in_vector(CFG_NCPU-1 downto 0); signal irqo: irq_out_vector(CFG_NCPU-1 downto 0); signal dbgi: l3_debug_in_vector(0 to CFG_NCPU-1); signal dbgo: l3_debug_out_vector(0 to CFG_NCPU-1); signal dsui: dsu_in_type; signal dsuo: dsu_out_type; signal gpti: gptimer_in_type; signal sri: memory_in_type; signal sro: memory_out_type; signal del_addr: std_logic_vector(26 downto 1); signal del_ce: std_logic; signal del_bwe, del_bwa, del_bwb: std_logic_vector(1 downto 0); signal ui_serial, ui_usb, ui, dui: uart_in_type; signal uo_serial, uo_usb, uo, duo: uart_out_type; signal ethi1,ethi2: eth_in_type; signal etho1,etho2: eth_out_type; signal i2ci: i2c_in_type; signal i2co: i2c_out_type; signal vcc, gnd: std_ulogic; -- signal logsig: std_logic_vector(31 downto 0); begin vcc <= '1'; gnd <= '0'; ----------------------------------------------------------------------------- -- Clocking and reset ----------------------------------------------------------------------------- user_led(0) <= not clklock; clkgen0: entity work.clkgen_c5ekit port map (clkin_50_fpga_right, clkm, open, clklock); rstgen0: rstgen generic map (syncrst => CFG_NOASYNC) port map (cpu_resetn, clkm, clklock, rstn); ----------------------------------------------------------------------------- -- AMBA bus fabric ----------------------------------------------------------------------------- ahbctrl0: ahbctrl generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN,ioaddr => CFG_AHBIO, fpnpen => CFG_FPNPEN, enbusmon => CFG_AHB_MON, assertwarn => CFG_AHB_MONWAR, asserterr => CFG_AHB_MONERR, ahbtrace => CFG_AHB_DTRACE, nahbm => nahbm, nahbs => nahbs) port map (rstn,clkm,ahbmi,ahbmo,ahbsi,ahbso); apbctrl0: apbctrl generic map (hindex => hsi_apbctrl, haddr => CFG_APBADDR, nslaves => napbs) port map (rstn,clkm,ahbsi,ahbso(hsi_apbctrl),apbi,apbo); ahbmo(ahbmo'high downto nahbm) <= (others => ahbm_none); ahbso(ahbso'high downto nahbs) <= (others => ahbs_none); apbo(napbs to apbo'high) <= (others => apb_none); ----------------------------------------------------------------------------- -- LEON3 Processor(s), DSU, timer and IRQ controller ----------------------------------------------------------------------------- errorn_pad : outpad generic map (tech => padtech) port map (user_led(3), dbgo(0).error); dsubre_pad : inpad generic map (tech => padtech) port map (user_pb(3), dsui.break); user_led(2) <= not dsuo.active; dsui.enable <= '1'; l3 : if CFG_LEON3 = 1 generate cpu : for i in 0 to CFG_NCPU-1 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => hsi_dsu, haddr => 16#900#, hmask => 16#F00#, ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(hsi_dsu), dbgo, dbgi, dsui, dsuo); end generate; end generate; noleon: if CFG_LEON3 = 0 generate irqo <= (others => ('0',"0000",'0','0','0')); dbgo <= (others => dbgo_none); end generate; nodsu : if CFG_DSU = 0 or CFG_LEON3 = 0 generate dsuo.tstop <= '0'; dsuo.active <= '0'; dsuo.pwd <= (others => '0'); end generate; irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => pi_irqmp, paddr => 2, ncpu => CFG_NCPU) port map (rstn, clkm, apbi, apbo(pi_irqmp), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to CFG_NCPU-1 generate irqi(i).irl <= "0000"; irqi(i).rst <= '1'; irqi(i).run <= '1'; irqi(i).rstvec <= (others => '0'); irqi(i).iact <= '0'; irqi(i).index <= (others => '0'); irqi(i).hrdrst <= '1'; end generate; end generate; gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => pi_gpt, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(pi_gpt), gpti, open); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; gpti.wdogen <= '0'; end generate; ----------------------------------------------------------------------------- -- Debug links ----------------------------------------------------------------------------- dcomgen : if CFG_AHB_UART = 1 generate dcom0 : ahbuart -- Debug UART generic map (hindex => hmi_ahbuart, pindex => pi_ahbuart, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(pi_ahbuart), ahbmi, ahbmo(hmi_ahbuart)); end generate; nouah : if CFG_AHB_UART = 0 generate duo.rtsn <= '0'; duo.txd <= '0'; duo.scaler <= (others => '0'); duo.txen <= '0'; duo.flow <= '0'; duo.rxen <= '0'; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => hmi_ahbjtag, nsync => 2) port map(rstn, clkm, gnd, gnd, gnd, open, ahbmi, ahbmo(hmi_ahbjtag), open, open, open, open, open, open, open, gnd); end generate; -- EDCL included in Ethernet below ----------------------------------------------------------------------------- -- Memory controllers ----------------------------------------------------------------------------- fm_a <= del_addr; -- sro.address(26 downto 1); -- fm_d_pad: iopadvv -- generic map (tech => padtech, width => 16) -- port map (pad => fm_d, i => sro.data(31 downto 16), -- en => sro.vbdrive(31 downto 16), o => sri.data(31 downto 16)); sri.data(31 downto 16) <= fm_d; flash_clk <= '0'; flash_resetn <= '1'; flash_cen <= '0'; -- sro.romsn(0); flash_advn <= '0'; flash_wen <= sro.writen or sro.romsn(0); flash_oen <= sro.oen or sro.romsn(0); ssram_clk <= clkm; ssram_oen <= sro.oen; sram_cen <= del_ce; -- sro.ramsn(0); ssram_bwen <= del_bwe(1); -- sro.writen; ssram_bwan <= del_bwa(1); -- sro.wrn(0); ssram_bwbn <= del_bwb(1); -- sro.wrn(1); ssram_adscn <= '1'; ssram_adspn <= '0'; ssram_zzn <= '0'; ssram_advn <= '1'; sri.data(15 downto 0) <= sri.data(31 downto 16); sri.brdyn <= '1'; sri.bexcn <= '1'; sri.writen <= '1'; sri.wrn <= (others => '1'); sri.bwidth <= "01"; sri.sd <= (others => '0'); sri.cb <= (others => '0'); sri.scb <= (others => '0'); sri.edac <= '0'; delproc: process(clkm) begin if rising_edge(clkm) then del_addr <= sro.address(26 downto 1); del_ce <= sro.ramsn(0); del_bwe <= del_bwe(0) & sro.writen; del_bwa <= del_bwa(0) & sro.wrn(0); del_bwb <= del_bwb(0) & sro.wrn(1); end if; end process; ssrctrl: if CFG_SSCTRL = 1 generate ssrctrl0: gaisler.memctrl.ssrctrl generic map (hindex => hsi_ssrctrl, pindex => pi_ssrctrl, romaddr => 16#000#, rommask => 16#fc0#, ioaddr => 0, iomask => 0, ramaddr => 0, rammask => 0, bus16 => CFG_SSCTRLP16 ) port map (rstn, clkm, ahbsi, ahbso(hsi_ssrctrl), apbi, apbo(pi_ssrctrl), sri, sro); end generate; nossrctrl: if CFG_SSCTRL = 0 generate sro <= memory_out_none; end generate; bpromgen : if CFG_AHBROMEN /= 0 and CFG_SSCTRL = 0 generate brom : entity work.ahbrom generic map (hindex => hsi_ssrctrl, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP) port map ( rstn, clkm, ahbsi, ahbso(hsi_ssrctrl)); end generate; ddr3if0: entity work.ddr3if generic map ( hindex => hsi_ddr3, haddr => 16#400#, hmask => 16#E00# ) port map ( pll_ref_clk => diff_clkin_top_125_p, global_reset_n => cpu_resetn, mem_a => ddr3_a, mem_ba => ddr3_ba, mem_ck => ddr3_ck_p, mem_ck_n => ddr3_ck_n, mem_cke => ddr3_cke, mem_reset_n => ddr3_rstn, mem_cs_n => ddr3_csn, mem_dm => ddr3_dm, mem_ras_n => ddr3_rasn, mem_cas_n => ddr3_casn, mem_we_n => ddr3_wen, mem_dq => ddr3_dq, mem_dqs => ddr3_dqs_p, mem_dqs_n => ddr3_dqs_n, mem_odt => ddr3_odt, oct_rzqin => ddr3_oct_rzq, ahb_clk => clkm, ahb_rst => rstn, ahbsi => ahbsi, ahbso => ahbso(hsi_ddr3) ); lpddr2if0: entity work.lpddr2if generic map ( hindex => hsi_lpddr2, haddr => 16#600#, hmask => 16#F00# ) port map ( pll_ref_clk => diff_clkin_bot_125_p, global_reset_n => cpu_resetn, mem_ca => lpddr2_a, mem_ck => lpddr2_ck_p, mem_ck_n => lpddr2_ck_n, mem_cke => lpddr2_cke, mem_cs_n => lpddr2_csn, mem_dm => lpddr2_dm, mem_dq => lpddr2_dq, mem_dqs => lpddr2_dqs_p, mem_dqs_n => lpddr2_dqs_n, oct_rzqin => lpddr2_oct_rzq, ahb_clk => clkm, ahb_rst => rstn, ahbsi => ahbsi, ahbso => ahbso(hsi_lpddr2) ); ----------------------------------------------------------------------------- -- UART ----------------------------------------------------------------------------- srx_pad : inpad generic map (tech => padtech) port map (uart_rxd, ui_serial.rxd); srts_pad : inpad generic map (tech => padtech) port map (uart_rts, ui_serial.ctsn); stx_pad : outpad generic map (tech => padtech) port map (uart_txd, uo_serial.txd); scts_pad : outpad generic map (tech => padtech) port map (uart_cts, uo_serial.rtsn); urx_pad : inpad generic map (tech => padtech) port map (usb_uart_rxd, ui_usb.rxd); urts_pad : inpad generic map (tech => padtech) port map (usb_uart_rts, ui_usb.ctsn); utx_pad : outpad generic map (tech => padtech) port map (usb_uart_txd, uo_usb.txd); ucts_pad : outpad generic map (tech => padtech) port map (usb_uart_cts, uo_usb.rtsn); usb_uart_dtr <= '0'; ui_serial.extclk <= '0'; ui_usb.extclk <= '0'; -- UART switch ui <= ui_serial when user_dipsw(0)='0' else ui_usb; dui <= ui_usb when user_dipsw(0)='0' else ui_serial; uo_serial <= uo when user_dipsw(0)='0' else duo; uo_usb <= duo when user_dipsw(0)='0' else uo; ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => pi_apbuart, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(pi_apbuart), ui, uo); end generate; noua0 : if CFG_UART1_ENABLE = 0 generate uo.rtsn <= '0'; uo.txd <= '0'; uo.scaler <= (others => '0'); uo.txen <= '0'; uo.flow <= '0'; uo.rxen <= '0'; end generate; -- AHBUART, see under Debug links above ----------------------------------------------------------------------------- -- Ethernet ----------------------------------------------------------------------------- emdio_pad : iopad generic map (tech => padtech) port map (eneta_mdio, etho1.mdio_o, etho1.mdio_oe, ethi1.mdio_i); etxc_pad : clkpad generic map (tech => padtech, arch => 2) port map (eneta_tx_clk, ethi1.tx_clk); erxc_pad : clkpad generic map (tech => padtech, arch => 2) port map (eneta_rx_clk, ethi1.rx_clk); erxd_pad : inpadv generic map (tech => padtech, width => 4) port map (eneta_rx_d, ethi1.rxd(3 downto 0)); erxdv_pad : inpad generic map (tech => padtech) port map (eneta_rx_dv, ethi1.rx_dv); erxer_pad : inpad generic map (tech => padtech) port map (eneta_rx_er, ethi1.rx_er); erxco_pad : inpad generic map (tech => padtech) port map (eneta_rx_col, ethi1.rx_col); erxcr_pad : inpad generic map (tech => padtech) port map (eneta_rx_crs, ethi1.rx_crs); emdint_pad : inpad generic map (tech => padtech) port map (eneta_intn, ethi1.mdint); etxd_pad : outpadv generic map (tech => padtech, width => 4) port map (eneta_tx_d, etho1.txd(3 downto 0)); etxen_pad : outpad generic map (tech => padtech) port map (eneta_tx_en, etho1.tx_en); etxer_pad : outpad generic map (tech => padtech) port map (eneta_tx_er, etho1.tx_er); emdc_pad : outpad generic map (tech => padtech) port map (eneta_mdc, etho1.mdc); erst_pad : outpad generic map (tech => padtech) port map (eneta_resetn, rstn); ethi1.rxd(ethi1.rxd'high downto 4) <= (others => '0'); ethi1.gtx_clk <= '0'; ethi1.rmii_clk <= '0'; emdio_pad2 : iopad generic map (tech => padtech) port map (enetb_mdio, etho2.mdio_o, etho2.mdio_oe, ethi2.mdio_i); etxc_pad2 : clkpad generic map (tech => padtech, arch => 2) port map (enetb_tx_clk, ethi2.tx_clk); erxc_pad2 : clkpad generic map (tech => padtech, arch => 2) port map (enetb_rx_clk, ethi2.rx_clk); erxd_pad2 : inpadv generic map (tech => padtech, width => 4) port map (enetb_rx_d, ethi2.rxd(3 downto 0)); erxdv_pad2 : inpad generic map (tech => padtech) port map (enetb_rx_dv, ethi2.rx_dv); erxer_pad2 : inpad generic map (tech => padtech) port map (enetb_rx_er, ethi2.rx_er); erxco_pad2 : inpad generic map (tech => padtech) port map (enetb_rx_col, ethi2.rx_col); erxcr_pad2 : inpad generic map (tech => padtech) port map (enetb_rx_crs, ethi2.rx_crs); emdint_pad2 : inpad generic map (tech => padtech) port map (enetb_intn, ethi2.mdint); etxd_pad2 : outpadv generic map (tech => padtech, width => 4) port map (enetb_tx_d, etho2.txd(3 downto 0)); etxen_pad2 : outpad generic map (tech => padtech) port map (enetb_tx_en, etho2.tx_en); etxer_pad2 : outpad generic map (tech => padtech) port map (enetb_tx_er, etho2.tx_er); emdc_pad2 : outpad generic map (tech => padtech) port map (enetb_mdc, etho2.mdc); erst_pad2 : outpad generic map (tech => padtech) port map (enetb_resetn, rstn); ethi2.rxd(ethi1.rxd'high downto 4) <= (others => '0'); ethi2.gtx_clk <= '0'; ethi2.rmii_clk <= '0'; eth1 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC e1 : grethm generic map(hindex => hmi_greth1, pindex => pi_greth1, paddr => 11, pirq => 12, memtech => memtech, mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO, nsync => 2, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, phyrstadr => 0, ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G) port map( rst => rstn, clk => clkm, ahbmi => ahbmi, ahbmo => ahbmo(hmi_greth1), apbi => apbi, apbo => apbo(pi_greth1), ethi => ethi1, etho => etho1); end generate; noeth1 : if CFG_GRETH = 0 generate etho1 <= eth_out_none; end generate; eth2 : if CFG_GRETH2 = 1 generate -- Secondary ethernet MAC e2 : grethm generic map(hindex => hmi_greth2, pindex => pi_greth2, paddr => 12, pirq => 13, memtech => memtech, mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH2_FIFO, nsync => 2, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, phyrstadr => 1, ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH21G) port map( rst => rstn, clk => clkm, ahbmi => ahbmi, ahbmo => ahbmo(hmi_greth2), apbi => apbi, apbo => apbo(pi_greth2), ethi => ethi2, etho => etho2); end generate; noeth2 : if CFG_GRETH2 = 0 generate etho2 <= eth_out_none; end generate; ----------------------------------------------------------------------------- -- GPIO ----------------------------------------------------------------------------- -- TO DO ----------------------------------------------------------------------------- -- Other ----------------------------------------------------------------------------- max5_csn <= '1'; sclpad: iopad generic map (tech => padtech) port map (eeprom_scl, i2co.scl, i2co.scloen, i2ci.scl); sdapad: iopad generic map (tech => padtech) port map (eeprom_sda, i2co.sda, i2co.sdaoen, i2ci.sda); i2c: if CFG_I2C_ENABLE=1 generate i2cmst0: i2cmst generic map (pindex => pi_i2cmst, paddr => 4, pmask => 16#FFF#, pirq => 4) port map (rstn,clkm,apbi,apbo(pi_i2cmst),i2ci,i2co); end generate; noi2c: if CFG_I2C_ENABLE=0 generate i2co <= (others => '1'); end generate; -- logan0: logan -- generic map (pindex => napbs-1, paddr => 16#100#, memtech => memtech) -- port map (rstn, clkm, clkm, apbi, apbo(napbs-1), logsig); -- -- logsig(31 downto 6) <= (others => '0'); -- logsig(5 downto 0) <= i2co.scl & i2co.scloen & i2ci.scl & i2co.sda & i2co.sdaoen & i2ci.sda; -- pragma translate_off rep: if USE_AHBREP/=0 generate ahbrep0: ahbrep generic map (hindex => hsi_ahbrep, haddr => 16#200#) port map (rstn,clkm,ahbsi,ahbso(hsi_ahbrep)); end generate; x : report_version generic map ( msg1 => "LEON3 Altera CycloneV E Demonstration design", msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100) & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD), msg3 => "Target technology: " & tech_table(fabtech) & ", memory library: " & tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
gpl-2.0
3ef97c9e9cc3d6914ef643eb0bbb596c
0.571882
3.329571
false
false
false
false
mistryalok/Zedboard
learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/axi_timer.vhd
7
17,227
------------------------------------------------------------------------------- -- xps_timer - entity/architecture pair ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2003, 2004, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename :axi_timer.vhd -- Company :Xilinx -- Version :v2.0 -- Description :Timer/Counter for AXI -- Standard :VHDL-93 ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_timer. -- -- axi_timer.vhd -- --axi_lite_ipif.vhd -- --slave_attachment.vhd -- --address_decoder.vhd -- --tc_types.vhd -- --tc_core.vhd -- --mux_onehot_f.vhd -- --family_support.vhd -- --timer_control.vhd -- --count_module.vhd -- --counter_f.vhd -- --family_support.vhd -- -- ------------------------------------------------------------------------------- -- ^^^^^^ -- Author: BSB -- History: -- BSB 03/18/2010 -- Ceated the version v1.00.a -- ^^^^^^ -- Author: BSB -- History: -- BSB 09/18/2010 -- Ceated the version v1.01.a -- -- axi lite ipif v1.01.a used -- ^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics ------------------------------------------------------------------------------- -- C_S_AXI_DATA_WIDTH -- AXI data bus width -- C_S_AXI_ADDR_WIDTH -- AXI address bus width -- C_FAMILY -- Target FPGA family ------------------------------------------------------------------------------- -- C_COUNT_WIDTH -- Width in the bits of the counter -- C_ONE_TIMER_ONLY -- Number of the Timer -- C_TRIG0_ASSERT -- Assertion Level of captureTrig0 -- C_TRIG1_ASSERT -- Assertion Level of captureTrig1 -- C_GEN0_ASSERT -- Assertion Level for GenerateOut0 -- C_GEN1_ASSERT -- Assertion Level for GenerateOut1 ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- s_axi_aclk -- AXI Clock -- s_axi_aresetn -- AXI Reset -- s_axi_awaddr -- AXI Write address -- s_axi_awvalid -- Write address valid -- s_axi_awready -- Write address ready -- s_axi_wdata -- Write data -- s_axi_wstrb -- Write strobes -- s_axi_wvalid -- Write valid -- s_axi_wready -- Write ready -- s_axi_bresp -- Write response -- s_axi_bvalid -- Write response valid -- s_axi_bready -- Response ready -- s_axi_araddr -- Read address -- s_axi_arvalid -- Read address valid -- s_axi_arready -- Read address ready -- s_axi_rdata -- Read data -- s_axi_rresp -- Read response -- s_axi_rvalid -- Read valid -- s_axi_rready -- Read ready ------------------------------------------------------------------------------- -- timer/counter signals ------------------------------------------------------------------------------- -- capturetrig0 -- Capture Trigger 0 -- capturetrig1 -- Capture Trigger 1 -- generateout0 -- Generate Output 0 -- generateout1 -- Generate Output 1 -- pwm0 -- Pulse Width Modulation Ouput 0 -- interrupt -- Interrupt -- freeze -- Freeze count value ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library axi_timer_v2_0; library axi_lite_ipif_v3_0; library axi_lite_ipif_v3_0; use axi_lite_ipif_v3_0.ipif_pkg.calc_num_ce; use axi_lite_ipif_v3_0.ipif_pkg.SLV64_ARRAY_TYPE; use axi_lite_ipif_v3_0.ipif_pkg.INTEGER_ARRAY_TYPE; ------------------------------------------------------------------------------- -- Entity declarations ------------------------------------------------------------------------------- entity axi_timer is generic ( C_FAMILY : string := "virtex7"; C_COUNT_WIDTH : integer := 32; C_ONE_TIMER_ONLY : integer := 0; C_TRIG0_ASSERT : std_logic := '1'; C_TRIG1_ASSERT : std_logic := '1'; C_GEN0_ASSERT : std_logic := '1'; C_GEN1_ASSERT : std_logic := '1'; -- axi lite ipif block generics C_S_AXI_DATA_WIDTH: integer := 32; C_S_AXI_ADDR_WIDTH: integer := 5 --5 ); port ( --Timer/Counter signals capturetrig0 : in std_logic; capturetrig1 : in std_logic; generateout0 : out std_logic; generateout1 : out std_logic; pwm0 : out std_logic; interrupt : out std_logic; freeze : in std_logic; --system signals s_axi_aclk : in std_logic; s_axi_aresetn : in std_logic := '1'; s_axi_awaddr : in std_logic_vector(4 downto 0); --(c_s_axi_addr_width-1 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector(31 downto 0); -- (c_s_axi_data_width-1 downto 0); s_axi_wstrb : in std_logic_vector(3 downto 0); -- ((c_s_axi_data_width/8)-1 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; s_axi_araddr : in std_logic_vector(4 downto 0); --(c_s_axi_addr_width-1 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; s_axi_rdata : out std_logic_vector(31 downto 0); --(c_s_axi_data_width-1 downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rvalid : out std_logic; s_axi_rready : in std_logic ); -- Fan-out attributes for XST attribute MAX_FANOUT : string; attribute MAX_FANOUT of S_AXI_ACLK : signal is "10000"; attribute MAX_FANOUT of S_AXI_ARESETN: signal is "10000"; end entity axi_timer; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture imp of axi_timer is -- Pragma Added to supress synth warnings attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ------------------------------------------------------------------------------- -- constant added for webtalk information ------------------------------------------------------------------------------- --function chr(sl: std_logic) return character is -- variable c: character; -- begin -- case sl is -- when '0' => c:= '0'; -- when '1' => c:= '1'; -- when 'Z' => c:= 'Z'; -- when 'U' => c:= 'U'; -- when 'X' => c:= 'X'; -- when 'W' => c:= 'W'; -- when 'L' => c:= 'L'; -- when 'H' => c:= 'H'; -- when '-' => c:= '-'; -- end case; -- return c; -- end chr; -- --function str(slv: std_logic_vector) return string is -- variable result : string (1 to slv'length); -- variable r : integer; -- begin -- r := 1; -- for i in slv'range loop -- result(r) := chr(slv(i)); -- r := r + 1; -- end loop; -- return result; -- end str; constant ZEROES : std_logic_vector(0 to 31) := X"00000000"; constant C_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( -- Timer registers Base Address ZEROES & X"00000000", ZEROES & X"0000001F" ); constant C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 8 ); constant C_S_AXI_MIN_SIZE :std_logic_vector(31 downto 0):= X"0000001F"; constant C_USE_WSTRB :integer := 0; constant C_DPHASE_TIMEOUT :integer range 0 to 256 := 32; --Signal declaration -------------------------------- signal bus2ip_clk : std_logic; signal bus2ip_resetn : std_logic; signal bus2ip_reset : std_logic; signal ip2bus_data : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1) :=(others => '0'); signal ip2bus_error : std_logic := '0'; signal ip2bus_wrack : std_logic := '0'; signal ip2bus_rdack : std_logic := '0'; ----------------------------------------------------------------------- signal bus2ip_data : std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1); signal bus2ip_addr : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1); signal bus2ip_be : std_logic_vector (0 to C_S_AXI_DATA_WIDTH/8-1 ); signal bus2ip_rdce : std_logic_vector (0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1); signal bus2ip_wrce : std_logic_vector (0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1); ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture imp TC_CORE_I: entity axi_timer_v2_0.tc_core generic map ( C_FAMILY => C_FAMILY, C_COUNT_WIDTH => C_COUNT_WIDTH, C_ONE_TIMER_ONLY => C_ONE_TIMER_ONLY, C_DWIDTH => C_S_AXI_DATA_WIDTH, C_AWIDTH => C_S_AXI_ADDR_WIDTH, C_TRIG0_ASSERT => C_TRIG0_ASSERT, C_TRIG1_ASSERT => C_TRIG1_ASSERT, C_GEN0_ASSERT => C_GEN0_ASSERT, C_GEN1_ASSERT => C_GEN1_ASSERT, C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY ) port map ( -- IPIF signals Clk => bus2ip_clk, --[in] Rst => bus2ip_reset, --[in] Bus2ip_addr => bus2ip_addr, --[in] Bus2ip_be => bus2ip_be, --[in] Bus2ip_data => bus2ip_data, --[in] TC_DBus => ip2bus_data, --[out] bus2ip_rdce => bus2ip_rdce, --[in] bus2ip_wrce => bus2ip_wrce, --[in] ip2bus_rdack => ip2bus_rdack, --[out] ip2bus_wrack => ip2bus_wrack, --[out] TC_errAck => ip2bus_error, --[out] -- Timer/Counter signals CaptureTrig0 => capturetrig0, --[in] CaptureTrig1 => capturetrig1, --[in] GenerateOut0 => generateout0, --[out] GenerateOut1 => generateout1, --[out] PWM0 => pwm0, --[out] Interrupt => interrupt, --[out] Freeze => freeze --[in] ); --------------------------------------------------------------------------- -- INSTANTIATE AXI Lite IPIF --------------------------------------------------------------------------- AXI4_LITE_I : entity axi_lite_ipif_v3_0.axi_lite_ipif generic map ( C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH, C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE, C_USE_WSTRB => C_USE_WSTRB, C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT, C_ARD_ADDR_RANGE_ARRAY=> C_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY, C_FAMILY => C_FAMILY ) port map ( S_AXI_ACLK => s_axi_aclk, S_AXI_ARESETN => s_axi_aresetn, S_AXI_AWADDR => s_axi_awaddr, S_AXI_AWVALID => s_axi_awvalid, S_AXI_AWREADY => s_axi_awready, S_AXI_WDATA => s_axi_wdata, S_AXI_WSTRB => s_axi_wstrb, S_AXI_WVALID => s_axi_wvalid, S_AXI_WREADY => s_axi_wready, S_AXI_BRESP => s_axi_bresp, S_AXI_BVALID => s_axi_bvalid, S_AXI_BREADY => s_axi_bready, S_AXI_ARADDR => s_axi_araddr, S_AXI_ARVALID => s_axi_arvalid, S_AXI_ARREADY => s_axi_arready, S_AXI_RDATA => s_axi_rdata, S_AXI_RRESP => s_axi_rresp, S_AXI_RVALID => s_axi_rvalid, S_AXI_RREADY => s_axi_rready, -- IP Interconnect (IPIC) port signals ------------------------------- Bus2IP_Clk => bus2ip_clk, Bus2IP_Resetn => bus2ip_resetn, IP2Bus_Data => ip2bus_data, IP2Bus_WrAck => ip2bus_wrack, IP2Bus_RdAck => ip2bus_rdack, IP2Bus_Error => ip2bus_error, Bus2IP_Addr => bus2ip_addr, Bus2IP_Data => bus2ip_data, Bus2IP_RNW => open, Bus2IP_BE => bus2ip_be, Bus2IP_CS => open, Bus2IP_RdCE => bus2ip_rdce, Bus2IP_WrCE => bus2ip_wrce ); bus2ip_reset <= not bus2ip_resetn; end architecture imp;
gpl-3.0
72b2668dee3ac4c4a7a623fd4972a107
0.441806
4.224375
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/work/debug/cpu_disas.vhd
1
4,217
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: cpu_disas -- File: cpu_disas.vhd -- Author: Jiri Gaisler, Gaisler Research -- Description: Module for disassembly ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- pragma translate_off library grlib; use grlib.stdlib.all; use grlib.sparc.all; use std.textio.all; use grlib.sparc_disas.all; -- pragma translate_on entity cpu_disas is port ( clk : in std_ulogic; rstn : in std_ulogic; dummy : out std_ulogic; inst : in std_logic_vector(31 downto 0); pc : in std_logic_vector(31 downto 2); result: in std_logic_vector(31 downto 0); index : in std_logic_vector(3 downto 0); wreg : in std_ulogic; annul : in std_ulogic; holdn : in std_ulogic; pv : in std_ulogic; trap : in std_ulogic); end; architecture behav of cpu_disas is begin dummy <= '1'; -- pragma translate_off trc : process(clk) variable valid : boolean; variable op : std_logic_vector(1 downto 0); variable op3 : std_logic_vector(5 downto 0); variable fpins, fpld : boolean; begin op := inst(31 downto 30); op3 := inst(24 downto 19); fpins := (op = FMT3) and ((op3 = FPOP1) or (op3 = FPOP2)); fpld := (op = LDST) and ((op3 = LDF) or (op3 = LDDF) or (op3 = LDFSR)); valid := (((not annul) and pv) = '1') and (not ((fpins or fpld) and (trap = '0'))); valid := valid and (holdn = '1'); if rising_edge(clk) and (rstn = '1') then print_insn (conv_integer(index), pc(31 downto 2) & "00", inst, result, valid, trap = '1', wreg = '1', false); end if; end process; -- pragma translate_on end; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- pragma translate_off library grlib; use grlib.stdlib.all; use grlib.sparc.all; use std.textio.all; use grlib.sparc_disas.all; -- pragma translate_on entity gaisler_cpu_disas is port ( clk : in std_ulogic; rstn : in std_ulogic; dummy : out std_ulogic; inst : in std_logic_vector(31 downto 0); pc : in std_logic_vector(31 downto 2); result: in std_logic_vector(31 downto 0); index : in std_logic_vector(3 downto 0); wreg : in std_ulogic; annul : in std_ulogic; holdn : in std_ulogic; pv : in std_ulogic; trap : in std_ulogic); end; architecture behav of gaisler_cpu_disas is begin dummy <= '1'; -- pragma translate_off trc : process(clk) variable valid : boolean; variable op : std_logic_vector(1 downto 0); variable op3 : std_logic_vector(5 downto 0); variable fpins, fpld : boolean; begin op := inst(31 downto 30); op3 := inst(24 downto 19); fpins := (op = FMT3) and ((op3 = FPOP1) or (op3 = FPOP2)); fpld := (op = LDST) and ((op3 = LDF) or (op3 = LDDF) or (op3 = LDFSR)); valid := (((not annul) and pv) = '1') and (not ((fpins or fpld) and (trap = '0'))); valid := valid and (holdn = '1'); if rising_edge(clk) and (rstn = '1') then print_insn (conv_integer(index), pc(31 downto 2) & "00", inst, result, valid, trap = '1', wreg = '1', false); end if; end process; -- pragma translate_on end;
gpl-2.0
e4ce57daf720687359830d87f1e90483
0.608964
3.456557
false
false
false
false
pdt/ttask
test/fpga-sim/rtl/my_fpga.vhdl
1
2,348
-- -- my_fpga.vhdl -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library my_lib; entity my_fpga is port ( clk : in std_logic; n_rst : in std_logic; up : in std_logic; dn : in std_logic; cnt : out std_logic_vector(31 downto 0); cnt_1k : out std_logic_vector(3 downto 0); in1 : in std_logic; in2 : in std_logic; out1 : out std_logic; out2 : out std_logic ); end entity my_fpga; architecture rtl of my_fpga is signal rst : std_logic; begin u1_or_gate : entity my_lib.or_gate port map ( a => in1, b => in2, c => out1 ); u1_and_gate : entity my_lib.and_gate port map ( a => in1, b => in2, c => out2 ); counter : process(clk, rst) variable count : unsigned(31 downto 0); begin if rst = '1' then count := x"00000000"; cnt <= x"00000000"; elsif rising_edge(clk) then if up = '1' then count := count + 1; elsif dn = '1' then count := count - 1; end if; cnt <= std_logic_vector(count); end if; end process; counter_1k : process(clk, rst) variable div_count : unsigned(16 downto 0); variable count : unsigned(3 downto 0); begin if rst = '1' then div_count := (others => '0'); count := x"0"; cnt_1k <= x"0"; elsif rising_edge(clk) then if div_count = 99999 then div_count := (others => '0'); if up = '1' then count := count + 1; elsif dn = '1' then count := count - 1; end if; else div_count := div_count + 1; end if; cnt_1k <= std_logic_vector(count); end if; end process; sync_reset : process(clk, n_rst) variable rst_sr : std_logic_vector(1 downto 0); begin if n_rst = '0' then rst_sr := "11"; rst <= '1'; elsif rising_edge(clk) then rst <= rst_sr(1); rst_sr(1) := rst_sr(0); rst_sr(0) := not n_rst; end if; end process; end;
mit
f9c8327089d1810a5cc5caf6302fd5fd
0.454429
3.606759
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/techmap/maps/allmem.vhd
1
48,516
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: allmem -- File: allmem.vhd -- Author: Jiri Gaisler Gaisler Research -- Description: All tech specific memories ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; package allmem is -- AX & RTAX family component axcel_syncram generic ( abits : integer := 10; dbits : integer := 8); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic); end component; component axcel_syncram_2p generic ( abits : integer := 8; dbits : integer := 32; sepclk : integer:= 0); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end component; -- Proasic + Proasicplus family component proasic_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic); end component; component proasic_syncram_2p generic ( abits : integer := 8; dbits : integer := 32); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end component; -- Proasic3 family component proasic3_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic); end component; component proasic3_syncram_2p generic ( abits : integer := 8; dbits : integer := 32; sepclk : integer := 0); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end component; component proasic3_syncram_dp is generic ( abits : integer := 6; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component proasic3e_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic); end component; component proasic3e_syncram_2p generic ( abits : integer := 8; dbits : integer := 32; sepclk : integer := 0); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end component; component proasic3e_syncram_dp is generic ( abits : integer := 6; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component proasic3l_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic); end component; component proasic3l_syncram_2p generic ( abits : integer := 8; dbits : integer := 32; sepclk : integer := 0); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end component; component saed32_syncram_2p generic ( abits : integer := 8; dbits : integer := 32; sepclk : integer := 0); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end component; component dare_syncram_2p generic ( abits : integer := 8; dbits : integer := 32; sepclk : integer := 0); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end component; component rhumc_syncram_2p generic ( abits : integer := 8; dbits : integer := 32; sepclk : integer := 0); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end component; component proasic3l_syncram_dp is generic ( abits : integer := 6; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component proasic3_from generic ( TimingChecksOn: boolean := True; InstancePath: string := "*"; Xon: boolean := False; MsgOn: boolean := True; DATA_X: integer := 1; MEMORYFILE: string := ""; ACT_PROGFILE: string := ""); port( CLK : in std_logic := 'U'; DO0 : out std_logic; DO1 : out std_logic; DO2 : out std_logic; DO3 : out std_logic; DO4 : out std_logic; DO5 : out std_logic; DO6 : out std_logic; DO7 : out std_logic; ADDR0 : in std_logic := 'U'; ADDR1 : in std_logic := 'U'; ADDR2 : in std_logic := 'U'; ADDR3 : in std_logic := 'U'; ADDR4 : in std_logic := 'U'; ADDR5 : in std_logic := 'U'; ADDR6 : in std_logic := 'U'); end component; component proasic3e_from generic ( TimingChecksOn: boolean := True; InstancePath: string := "*"; Xon: boolean := False; MsgOn: boolean := True; DATA_X: integer := 1; MEMORYFILE: string := ""; ACT_PROGFILE: string := ""); port( CLK : in std_logic := 'U'; DO0 : out std_logic; DO1 : out std_logic; DO2 : out std_logic; DO3 : out std_logic; DO4 : out std_logic; DO5 : out std_logic; DO6 : out std_logic; DO7 : out std_logic; ADDR0 : in std_logic := 'U'; ADDR1 : in std_logic := 'U'; ADDR2 : in std_logic := 'U'; ADDR3 : in std_logic := 'U'; ADDR4 : in std_logic := 'U'; ADDR5 : in std_logic := 'U'; ADDR6 : in std_logic := 'U'); end component; component proasic3l_from generic ( TimingChecksOn: boolean := True; InstancePath: string := "*"; Xon: boolean := False; MsgOn: boolean := True; DATA_X: integer := 1; MEMORYFILE: string := ""; ACT_PROGFILE: string := ""); port( CLK : in std_logic := 'U'; DO0 : out std_logic; DO1 : out std_logic; DO2 : out std_logic; DO3 : out std_logic; DO4 : out std_logic; DO5 : out std_logic; DO6 : out std_logic; DO7 : out std_logic; ADDR0 : in std_logic := 'U'; ADDR1 : in std_logic := 'U'; ADDR2 : in std_logic := 'U'; ADDR3 : in std_logic := 'U'; ADDR4 : in std_logic := 'U'; ADDR5 : in std_logic := 'U'; ADDR6 : in std_logic := 'U'); end component; component from is generic ( tech: integer := 0; timingcheckson: boolean := True; instancepath: string := "*"; xon: boolean := False; msgon: boolean := True; data_x: integer := 1; memoryfile: string := ""; progfile: string := ""); port ( clk: in std_ulogic; addr: in std_logic_vector(6 downto 0); data: out std_logic_vector(7 downto 0)); end component; -- Fusion family component fusion_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic); end component; component fusion_syncram_2p generic ( abits : integer := 8; dbits : integer := 32); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end component; component fusion_syncram_dp is generic ( abits : integer := 6; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component fusion_from generic ( TimingChecksOn: boolean := True; InstancePath: string := "*"; Xon: boolean := False; MsgOn: boolean := True; DATA_X: integer := 1; MEMORYFILE: string := ""; ACT_PROGFILE: string := ""); port( CLK : in std_logic := 'U'; DO0 : out std_logic; DO1 : out std_logic; DO2 : out std_logic; DO3 : out std_logic; DO4 : out std_logic; DO5 : out std_logic; DO6 : out std_logic; DO7 : out std_logic; ADDR0 : in std_logic := 'U'; ADDR1 : in std_logic := 'U'; ADDR2 : in std_logic := 'U'; ADDR3 : in std_logic := 'U'; ADDR4 : in std_logic := 'U'; ADDR5 : in std_logic := 'U'; ADDR6 : in std_logic := 'U'); end component; component altera_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; component altera_syncram_dp generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component generic_syncram generic (abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); write : in std_ulogic ); end component; component generic_syncram_2p generic (abits : integer := 8; dbits : integer := 32; sepclk : integer := 0); port ( rclk : in std_ulogic; wclk : in std_ulogic; rdaddress: in std_logic_vector (abits -1 downto 0); wraddress: in std_logic_vector (abits -1 downto 0); data: in std_logic_vector (dbits -1 downto 0); wren : in std_ulogic; q: out std_logic_vector (dbits -1 downto 0) ); end component; component generic_syncram_reg generic (abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); write : in std_ulogic ); end component; component generic_syncram_2p_reg generic (abits : integer := 8; dbits : integer := 32; sepclk : integer := 0); port ( rclk : in std_ulogic; wclk : in std_ulogic; rdaddress: in std_logic_vector (abits -1 downto 0); wraddress: in std_logic_vector (abits -1 downto 0); data: in std_logic_vector (dbits -1 downto 0); wren : in std_ulogic; q: out std_logic_vector (dbits -1 downto 0) ); end component; -- synchronous 3-port regfile (2 read, 1 write port) component generic_regfile_3p generic (tech : integer := 0; abits : integer := 6; dbits : integer := 32; wrfst : integer := 0; numregs : integer := 40); port ( wclk : in std_ulogic; waddr : in std_logic_vector((abits -1) downto 0); wdata : in std_logic_vector((dbits -1) downto 0); we : in std_ulogic; rclk : in std_ulogic; raddr1 : in std_logic_vector((abits -1) downto 0); re1 : in std_ulogic; rdata1 : out std_logic_vector((dbits -1) downto 0); raddr2 : in std_logic_vector((abits -1) downto 0); re2 : in std_ulogic; rdata2 : out std_logic_vector((dbits -1) downto 0) ); end component; component ihp25_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_logic; address : in std_logic_vector(abits -1 downto 0); datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_logic; write : in std_logic ); end component; component ec_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; component ec_syncram_dp generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component rh_lib18t_syncram_2p generic (abits : integer := 6; dbits : integer := 8; sepclk : integer := 0); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); diagin : in std_logic_vector(3 downto 0)); end component; component rh_lib18t_syncram is generic (abits : integer := 6; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic; diagin : in std_logic_vector(1 downto 0) := "00"); end component; component umc_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector(abits -1 downto 0); datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_ulogic; write : in std_ulogic); end component; component rhumc_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector(abits -1 downto 0); datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_ulogic; write : in std_ulogic); end component; component saed32_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector(abits -1 downto 0); datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_ulogic; write : in std_ulogic); end component; component saed32_syncram_dp is generic ( abits : integer := 6; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component dare_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector(abits -1 downto 0); datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_ulogic; write : in std_ulogic); end component; component dare_syncram_dp is generic ( abits : integer := 6; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component virage_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector(abits -1 downto 0); datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_ulogic; write : in std_ulogic); end component; component virage_syncram_dp generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic); end component; component virage90_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector(abits -1 downto 0); datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_ulogic; write : in std_ulogic); end component; component virtex_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; component virtex_syncram_dp generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component unisim_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; component unisim_syncram_dp generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component unisim_syncram64 generic ( abits : integer := 9); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (63 downto 0); dataout : out std_logic_vector (63 downto 0); enable : in std_logic_vector (1 downto 0); write : in std_logic_vector (1 downto 0) ); end component; component virage90_syncram_dp generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component ut025crh_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; component ut025crh_syncram_2p generic ( abits : integer := 8; dbits : integer := 32); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end component; component ut130hbd_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; component ut130hbd_syncram_2p generic ( abits : integer := 8; dbits : integer := 32; words : integer := 0); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0)); end component; component peregrine_regfile_3p generic (abits : integer := 6; dbits : integer := 32); port ( wclk : in std_ulogic; waddr : in std_logic_vector((abits -1) downto 0); wdata : in std_logic_vector((dbits -1) downto 0); we : in std_ulogic; raddr1 : in std_logic_vector((abits -1) downto 0); re1 : in std_ulogic; rdata1 : out std_logic_vector((dbits -1) downto 0); raddr2 : in std_logic_vector((abits -1) downto 0); re2 : in std_ulogic; rdata2 : out std_logic_vector((dbits -1) downto 0)); end component; component eclipse_syncram_2p is generic ( abits : integer := 8; dbits : integer := 32); port ( rclk : in std_ulogic; rena : in std_ulogic; raddr : in std_logic_vector (abits -1 downto 0); dout : out std_logic_vector (dbits -1 downto 0); wclk : in std_ulogic; waddr : in std_logic_vector (abits -1 downto 0); din : in std_logic_vector (dbits -1 downto 0); write : in std_ulogic); end component; component nextreme_syncram_2p is generic (abits : integer := 6; dbits : integer := 8); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0)); end component; component custom1_syncram_2p is generic ( abits : integer := 8; dbits : integer := 32); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0)); end component; component artisan_syncram_2p is generic ( abits : integer := 8; dbits : integer := 32); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0)); end component; component smic13_syncram_2p is generic ( abits : integer := 8; dbits : integer := 32); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0)); end component; component ihp25rh_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_logic; address : in std_logic_vector(abits -1 downto 0); datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_logic; write : in std_logic); end component; component peregrine_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; component artisan_syncram generic ( abits : integer := 10; dbits : integer := 32 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; component smic13_syncram generic ( abits : integer := 10; dbits : integer := 32 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; component custom1_syncram generic ( abits : integer := 10; dbits : integer := 32 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; component nextreme_syncram generic (abits : integer := 6; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic); end component; component unisim_syncram_2p is generic (abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0)); end component; component virage_syncram_2p generic (abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0)); end component; component atc18rha_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector(abits -1 downto 0); datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_ulogic; write : in std_ulogic; testin : in std_logic_vector(3 downto 0)); end component; component atc18rha_syncram_dp generic ( abits : integer := 10; dbits : integer := 8); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic; testin : in std_logic_vector(3 downto 0)); end component; component atc18rha_syncram_2p generic ( abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); testin : in std_logic_vector(3 downto 0)); end component; component artisan_syncram_dp generic ( abits : integer := 10; dbits : integer := 32 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component smic13_syncram_dp generic ( abits : integer := 10; dbits : integer := 32 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component tm65gplus_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector(abits -1 downto 0); datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; component tm65gplus_syncram_dp generic ( abits : integer := 10; dbits : integer := 8); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component tm65gplus_syncram_2p generic ( abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0)); end component; component generic_regfile_4p generic (tech : integer := 0; abits : integer := 6; dbits : integer := 32; wrfst : integer := 0; numregs : integer := 40; g0addr: integer := 0); port ( wclk : in std_ulogic; waddr : in std_logic_vector((abits -1) downto 0); wdata : in std_logic_vector((dbits -1) downto 0); we : in std_ulogic; rclk : in std_ulogic; raddr1 : in std_logic_vector((abits -1) downto 0); re1 : in std_ulogic; rdata1 : out std_logic_vector((dbits -1) downto 0); raddr2 : in std_logic_vector((abits -1) downto 0); re2 : in std_ulogic; rdata2 : out std_logic_vector((dbits -1) downto 0); raddr3 : in std_logic_vector((abits -1) downto 0); re3 : in std_ulogic; rdata3 : out std_logic_vector((dbits -1) downto 0) ); end component; component cmos9sf_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector(abits -1 downto 0); datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; component cmos9sf_syncram_2p generic ( abits : integer := 6; dbits : integer := 8); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0)); end component; -- eASIC Nextreme2 component n2x_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic ); end component; component n2x_syncram_dp generic ( abits : integer := 10; dbits : integer := 8; sepclk : integer := 0 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic ); end component; component n2x_syncram_2p is generic (abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0)); end component; component n2x_syncram_we -- syncram with 32-bit write strobes generic ( abits : integer := 6; dbits : integer := 8); port ( clk : in std_ulogic; address : in std_logic_vector((abits-1) downto 0); datain : in std_logic_vector((dbits-1) downto 0); dataout : out std_logic_vector((dbits-1) downto 0); enable : in std_logic_vector((dbits/32)-1 downto 0); write : in std_logic_vector((dbits/32)-1 downto 0)); end component; component n2x_syncram_be -- syncram with 8-bit write strobes generic ( abits : integer := 6; dbits : integer := 8); port ( clk : in std_ulogic; address : in std_logic_vector((abits-1) downto 0); datain : in std_logic_vector((dbits-1) downto 0); dataout : out std_logic_vector((dbits-1) downto 0); enable : in std_logic_vector((dbits/8)-1 downto 0); write : in std_logic_vector((dbits/8)-1 downto 0) ); end component; component n2x_syncram_dp_be generic ( abits : integer := 6; dbits : integer := 8; sepclk : integer := 1 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits-1) downto 0); datain1 : in std_logic_vector((dbits-1) downto 0); dataout1 : out std_logic_vector((dbits-1) downto 0); enable1 : in std_logic_vector((dbits/8-1) downto 0); write1 : in std_logic_vector((dbits/8-1) downto 0); clk2 : in std_ulogic; address2 : in std_logic_vector((abits-1) downto 0); datain2 : in std_logic_vector((dbits-1) downto 0); dataout2 : out std_logic_vector((dbits-1) downto 0); enable2 : in std_logic_vector((dbits/8-1) downto 0); write2 : in std_logic_vector((dbits/8-1) downto 0)); end component; component n2x_syncram_2p_be generic ( abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0); port ( rclk : in std_ulogic; renable : in std_logic_vector((dbits/8-1) downto 0); raddress : in std_logic_vector((abits-1) downto 0); dataout : out std_logic_vector((dbits-1) downto 0); wclk : in std_ulogic; write : in std_logic_vector((dbits/8-1) downto 0); waddress : in std_logic_vector((abits-1) downto 0); datain : in std_logic_vector((dbits-1) downto 0)); end component; component ut90nhbd_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic; tdbn : in std_ulogic ); end component; component ut90nhbd_syncram_2p generic ( abits : integer := 8; dbits : integer := 32); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); tdbn : in std_ulogic); end component; component ut90nhbd_syncram_dp generic ( abits : integer := 10; dbits : integer := 32 ); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic; tdbn : in std_ulogic ); end component; component rh_lib13t_syncram_2p generic (abits : integer := 6; dbits : integer := 8; sepclk : integer := 0); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); diagin : in std_logic_vector(3 downto 0)); end component; component rh_lib13t_syncram is generic (abits : integer := 6; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic; diagin : in std_logic_vector(1 downto 0) := "00"); end component; end;
gpl-2.0
c61ec08a47e2cb63944e2340aabdd29d
0.588301
3.391067
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/ddr/ddr1spax_ddr.vhd
1
40,484
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ddr1spax_ddr -- File: ddr1spax_ddr.vhd -- Author: Magnus Hjorth - Aeroflex Gaisler -- Description: Merged 16/32/64-bit DDR/mobile-DDR backend -- Based on ddrsp*a and ddr2spax_ddr -------------------------------------------------------------------------------- -- Added features from the original ddrspa: -- * Separated AHB,DDR parts of controller like for DDR2SPA -- * 64/32/16 bit interfaces in the same entity -- * Checkbit support for use with ft_ddr2spax_ahb front-end. -- * Extended timing fields plus tRAS setting to meet DDR400 timing. -- * Configurable burst length -- * Support for PHY:s with read data valid signaling and extra latency -- Incompatibility/differences to the original ddrspa: -- * The mobile DDR had an undocumented feature that tRFC was extended with 8 -- cycles if the TRP bit was set. This is replaced by the extended -- timing fields. -- * ddrsp16a used a separate read-clock supplied only from the Spartan PHY. -- * Reads/writes are made as multiple length-2 burst commands. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library grlib; use grlib.stdlib.all; use grlib.amba.all; use grlib.devices.all; library gaisler; use gaisler.ddrpkg.all; use gaisler.ddrintpkg.all; entity ddr1spax_ddr is generic ( ddrbits : integer := 32; burstlen : integer := 8; MHz : integer := 100; col : integer := 9; Mbyte : integer := 8; pwron : integer := 0; oepol : integer := 0; mobile : integer := 0; confapi : integer := 0; conf0 : integer := 0; conf1 : integer := 0; nosync : integer := 0; ddr_syncrst: integer range 0 to 1 := 0; chkbits : integer := 0; hasdqvalid : integer := 0; readdly : integer := 0; regoutput : integer := 1; ddr400 : integer := 1; rstdel : integer := 200; phyptctrl : integer := 0; scantest : integer := 0 ); port ( ddr_rst : in std_ulogic; clk_ddr : in std_ulogic; request : in ddr_request_type; start_tog: in std_logic; response : out ddr_response_type; sdi : in ddrctrl_in_type; sdo : out ddrctrl_out_type; wbraddr : out std_logic_vector(log2((16*burstlen)/ddrbits) downto 0); wbrdata : in std_logic_vector(2*(ddrbits+chkbits)-1 downto 0); rbwaddr : out std_logic_vector(log2((16*burstlen)/ddrbits)-1 downto 0); rbwdata : out std_logic_vector(2*(ddrbits+chkbits)-1 downto 0); rbwrite : out std_logic; reqsel : in std_ulogic; frequest : in ddr_request_type; response2: out ddr_response_type; testen : in std_ulogic; testrst : in std_ulogic; testoen : in std_ulogic ); end ddr1spax_ddr; architecture rtl of ddr1spax_ddr is constant l2blen: integer := log2(burstlen)+log2(32); constant l2ddrw: integer := log2(ddrbits*2); constant l2ddr_burstlen: integer := l2blen-l2ddrw; -- constant oepols: std_logic := tosl(oepol); -- Write buffer dimensions -- Write buffer is addressable down to 32-bit level on write (AHB) side. constant wbuf_rabits: integer := 1+l2blen-l2ddrw; -- log2((burstlen*32)/(2*ddrbits)); constant wbuf_rdbits: integer := 2*ddrbits; -- Read buffer dimensions constant rbuf_wabits: integer := l2blen-l2ddrw; -- log2((burstlen*32)/(2*ddrbits)); constant rbuf_wdbits: integer := 2*(ddrbits+chkbits); type ddrstate is (dsidle,dsact1,dsact2,dsact3,dswr1,dswr2,dswr3,dswr4,dswr5,dswr6, dsrd1,dsrd2,dsrd3,dsrd4,dsreg1,dsreg2,dscmd1,dscmd2,dspdown1,dspdown2,dsref1, dssrr1,dssrr2); type ddrinitstate is (disrstdel,disidle,disrun,disfinished); type sdram_cfg_type is record command : std_logic_vector(2 downto 0); csize : std_logic_vector(1 downto 0); bsize : std_logic_vector(2 downto 0); trcd : std_ulogic; -- tCD : 2/3 clock cycles trfc : std_logic_vector(4 downto 0); trp : std_logic_vector(1 downto 0); -- precharge to activate: 2/3 clock cycles refresh : std_logic_vector(11 downto 0); renable : std_ulogic; dllrst : std_ulogic; refon : std_ulogic; cke : std_ulogic; pasr : std_logic_vector(5 downto 0); -- pasr(2:0) (pasr(5:3) used to detect update) tcsr : std_logic_vector(3 downto 0); -- tcrs(1:0) (tcrs(3:2) used to detect update) ds : std_logic_vector(5 downto 0); -- ds(1:0) (ds(3:2) used to detect update) pmode : std_logic_vector(2 downto 0); -- Power-Saving mode mobileen : std_logic; -- Mobile SD support, Mobile SD enabled txsr : std_logic_vector(5 downto 0); -- Exit Self Refresh timing txp : std_logic_vector(1 downto 0); -- Exit Power-Down timing tcke : std_logic; -- Clock enable timing cl : std_logic; -- CAS latency 2/3 (0/1) conf : std_logic_vector(63 downto 0); -- PHY control tras : std_logic_vector(1 downto 0); -- tRAS minimum (6-9 cycles) twr : std_logic; -- tWR write recovery, 2/3 cycles end record; type ddr_reg_type is record s : ddrstate; initstate : ddrinitstate; cfg : sdram_cfg_type; resp,resp2 : ddr_response_type; req1,req2 : ddr_request_type; start1,start2 : std_logic; start3 : std_logic; ramaddr : std_logic_vector(rbuf_wabits-1 downto 0); readpipe : std_logic_vector(4+readdly downto 0); initpos : std_logic_vector(2 downto 0); cmdctr : std_logic_vector(7 downto 0); readdone : std_logic; refctr : std_logic_vector(17 downto 0); refpend : std_logic; idlectr : std_logic_vector(3 downto 0); pdowns : std_logic_vector(1 downto 0); sdo_casn : std_logic; sdo_rasn : std_logic; sdo_wen : std_logic; sdo_csn : std_logic_vector(1 downto 0); sdo_ba : std_logic_vector(1 downto 0); sdo_address : std_logic_vector(14 downto 0); sdo_data : std_logic_vector(2*ddrbits-1 downto 0); sdo_dqm : std_logic_vector(ddrbits/4-1 downto 0); sdo_cb : std_logic_vector(2*chkbits downto 0); sdo_ck : std_logic_vector(2 downto 0); sdo_bdrive : std_logic; sdo_qdrive : std_logic; end record; signal dr,ndr: ddr_reg_type; constant onev: std_logic_vector(15 downto 0) := x"FFFF"; constant zerov: std_logic_vector(15 downto 0) := x"0000"; signal arst : std_ulogic; begin arst <= testrst when (scantest/=0 and ddr_syncrst=0) and testen='1' else ddr_rst; ddrcomb: process(ddr_rst,sdi,request,frequest,start_tog,dr,wbrdata,testen,testoen) variable dv: ddr_reg_type; variable o: ddrctrl_out_type; variable rbw: std_logic; variable rbwd: std_logic_vector(2*(ddrbits+chkbits)-1 downto 0); variable vstart, vstartd, vdone, incdone: std_logic; variable vrctr: std_logic_vector(3 downto 0); variable vreq,vreqf: ddr_request_type; variable regsd1 : std_logic_vector(31 downto 0); variable regsd2 : std_logic_vector(31 downto 0); variable regsd3 : std_logic_vector(31 downto 0); variable lastreadcmd: std_logic; variable lastwrite : std_logic; variable vmaskfirst, vmasklast: std_logic_vector(ddrbits/4-1 downto 0); variable ea: std_logic_vector(3 downto 2); variable inc_sdoaddr, inc_ramaddr: std_logic; variable datavalid: std_logic; variable vcsf: std_logic_vector(1 downto 0); variable vrowf: std_logic_vector(14 downto 0); variable vbankf: std_logic_vector(1 downto 0); variable vcol,vcoladdr: std_logic_vector(14 downto 1); variable seqin,seqout: std_logic_vector(3 downto 0); variable regrdata: std_logic_vector(2*ddrbits-1 downto 0); variable regad: std_logic_vector(2 downto 0); variable wrdreg1,wrdreg2,wrdreg3: std_logic_vector(31 downto 0); variable reqselv: std_logic_vector(3 downto 0); begin --------------------------------------------------------------------------- -- Init vars --------------------------------------------------------------------------- dv := dr; o := ddrctrl_out_none; o.bdrive := '1'; o.qdrive := '1'; vdone := dr.resp.done_tog or dr.resp2.done_tog; vrctr := dr.resp.rctr_gray or dr.resp2.rctr_gray; incdone := '0'; lastreadcmd := '0'; lastwrite := '0'; reqselv := reqsel & reqsel & reqsel & reqsel; -- Config registers regsd1 := (others => '0'); regsd1(31 downto 15) := dr.cfg.refon & dr.cfg.trp(0) & dr.cfg.trfc(2 downto 0) & dr.cfg.trcd & dr.cfg.bsize & dr.cfg.csize & dr.cfg.command & dr.cfg.dllrst & dr.cfg.renable & dr.cfg.cke; regsd1(11 downto 0) := dr.cfg.refresh; regsd2 := (others => '0'); regsd2(8 downto 0) := conv_std_logic_vector(MHz, 9); regsd2(14 downto 12) := conv_std_logic_vector(log2(ddrbits/8),3); if mobile/=0 then regsd2(15):='1'; end if;-- Mobile DDR support regsd2(19 downto 16) := conv_std_logic_vector(confapi, 4); regsd3 := (others => '0'); regsd3(31) := dr.cfg.mobileen; -- Mobile DDR enable regsd3(30) := dr.cfg.cl; regsd3(24 downto 19) := dr.cfg.tcke & dr.cfg.txsr(3 downto 0) & dr.cfg.txp(0); regsd3(18 downto 16) := dr.cfg.pmode; regsd3( 7 downto 0) := dr.cfg.ds(2 downto 0) & dr.cfg.tcsr(1 downto 0) & dr.cfg.pasr(2 downto 0); -- Extended timing fields for DDR400 if ddr400 /= 0 then regsd2(20) := '1'; -- Ext. fields available regsd3(29 downto 28) := dr.cfg.tras; regsd3(27 downto 26) := dr.cfg.txsr(5 downto 4); regsd3(25) := dr.cfg.txp(1); regsd3(11) := dr.cfg.twr; regsd3(10) := dr.cfg.trp(1); regsd3(9 downto 8) := dr.cfg.trfc(4 downto 3); end if; -- Data path rbw := '0'; rbwd := (others => '0'); rbwd(ddrbits-1 downto 0) := sdi.data(ddrbits-1 downto 0); rbwd(2*ddrbits+chkbits-1 downto ddrbits+chkbits) := sdi.data(2*ddrbits-1 downto ddrbits); if chkbits > 0 then rbwd(ddrbits+chkbits-1 downto ddrbits) := sdi.cb(chkbits-1 downto 0); rbwd(2*(ddrbits+chkbits)-1 downto 2*ddrbits+chkbits) := sdi.cb(2*chkbits-1 downto chkbits); end if; dv.sdo_data(ddrbits-1 downto 0) := wbrdata(ddrbits-1 downto 0); dv.sdo_data(2*ddrbits-1 downto ddrbits) := wbrdata(2*ddrbits+chkbits-1 downto ddrbits+chkbits); dv.sdo_cb(chkbits) := '0'; -- dummy bit just to ensure length>0 if chkbits > 0 then dv.sdo_cb(chkbits-1 downto 0) := wbrdata(ddrbits+chkbits-1 downto ddrbits); dv.sdo_cb(2*chkbits-1 downto chkbits) := wbrdata(2*(ddrbits+chkbits)-1 downto 2*ddrbits+chkbits); end if; --------------------------------------------------------------------------- -- Request handling logic --------------------------------------------------------------------------- -- Sync request inputs dv.req1 := request; dv.req2 := dr.req1; dv.start1 := start_tog; dv.start2 := dr.start1; dv.start3 := dr.start2; vstart := dr.start2; vstartd := dr.start3; vreq := dr.req2; vreqf := dr.req1; if nosync/=0 then vstart:=start_tog; vstartd:=start_tog; vreq:=request; vreqf:=request; end if; if nosync > 1 then vreqf := frequest; end if; -- Address muxing vcsf(0) := genmux(dr.cfg.bsize, vreqf.startaddr(30 downto 23)); vcsf(1) := not vcsf(0); vbankf := genmux(dr.cfg.bsize, vreqf.startaddr(29 downto 22)) & genmux(dr.cfg.bsize, vreqf.startaddr(28 downto 21)); case dr.cfg.csize is when "00" => vrowf := vreqf.startaddr(19+l2ddrw downto 5+l2ddrw); when "01" => vrowf := vreqf.startaddr(20+l2ddrw downto 6+l2ddrw); when "10" => vrowf := vreqf.startaddr(21+l2ddrw downto 7+l2ddrw); when others => vrowf := vreqf.startaddr(22+l2ddrw downto 8+l2ddrw); end case; vcol := vreq.startaddr(l2ddrw+10 downto l2ddrw-3); -- vcoladdr==vcol when dr.ramaddr==lsb of vcol vcoladdr := vcol(14 downto rbuf_wabits+1) & dr.ramaddr; -- Generate data mask -- Mask for 32-bit and larger bursts and single access vmaskfirst := (others => '0'); vmasklast := (others => '0'); ea := vreq.endaddr(3 downto 2); if vreq.hsize(1 downto 0)="11" then ea(2):='1'; end if; if vreq.hsize(2)='1' then ea(3 downto 2):="11"; end if; case ddrbits is when 64 => -- 64-bit DDR width case vreq.startaddr(3 downto 2) is when "11" => vmaskfirst := "1111111111110000"; when "10" => vmaskfirst := "1111111100000000"; when "01" => vmaskfirst := "1111000000000000"; when others => vmaskfirst := "0000000000000000"; end case; case ea(3 downto 2) is when "11" => vmasklast := "0000000000000000"; when "10" => vmasklast := "0000000000001111"; when "01" => vmasklast := "0000000011111111"; when others => vmasklast := "0000111111111111"; end case; if vreq.hsize(2 downto 1)="00" then if vreq.startaddr(1)='1' then vmaskfirst := vmaskfirst or "1100110011001100"; else vmaskfirst := vmaskfirst or "0011001100110011"; end if; end if; if vreq.hsize="000" then if vreq.startaddr(0)='1' then vmaskfirst := vmaskfirst or "1010101010101010"; else vmaskfirst := vmaskfirst or "0101010101010101"; end if; end if; when 32 => -- 32-bit DDR width case vreq.startaddr(2) is when '1' => vmaskfirst := "11110000"; when others => vmaskfirst := "00000000"; end case; case ea(2) is when '1' => vmasklast := "00000000"; when others => vmasklast := "00001111"; end case; if vreq.hsize(2 downto 1)="00" then if vreq.startaddr(1)='1' then vmaskfirst := vmaskfirst or "11001100"; else vmaskfirst := vmaskfirst or "00110011"; end if; end if; if vreq.hsize="000" then if vreq.startaddr(0)='1' then vmaskfirst := vmaskfirst or "10101010"; else vmaskfirst := vmaskfirst or "01010101"; end if; end if; when others => -- 16-bit DDR width if vreq.hsize(2 downto 1)="00" then if vreq.startaddr(1)='1' then vmaskfirst := vmaskfirst or "1100"; else vmaskfirst := vmaskfirst or "0011"; end if; end if; if vreq.hsize="000" then if vreq.startaddr(0)='1' then vmaskfirst := vmaskfirst or "1010"; else vmaskfirst := vmaskfirst or "0101"; end if; end if; end case; -- Register read/write data muxing regrdata := (others => '0'); case ddrbits is when 64 => regad := vreq.startaddr(4 downto 2); regrdata := regsd1 & regsd2 & regsd3 & x"00000000"; if confapi /= 0 and regad(2)='1' then regrdata(95 downto 32) := dr.cfg.conf(31 downto 0) & dr.cfg.conf(63 downto 32); end if; wrdreg1 := wbrdata(128+chkbits-1 downto 96+chkbits); wrdreg2 := wbrdata(96+chkbits-1 downto 64+chkbits); wrdreg3 := wbrdata(63 downto 32); when 32 => regad := dr.ramaddr(1 downto 0) & vreq.startaddr(2); if regad(1)='0' then regrdata := regsd1 & regsd2; if confapi /= 0 and regad(2)='1' then regrdata := regsd1 & dr.cfg.conf(31 downto 0); end if; else regrdata := regsd3 & regsd2; if confapi /= 0 and regad(2)='1' then regrdata := dr.cfg.conf(63 downto 0); end if; end if; wrdreg1 := wbrdata(64+chkbits-1 downto 32+chkbits); wrdreg2 := wbrdata(31 downto 0); wrdreg3 := wbrdata(64+chkbits-1 downto 32+chkbits); when others => regad := dr.ramaddr(2 downto 0); case regad is when "000"|"100" => regrdata := regsd1; when "001" => regrdata := regsd2; when "010" => regrdata := regsd3; when "101" => if confapi /= 0 then regrdata := dr.cfg.conf(31 downto 0); else regrdata := regsd2; end if; when "110" => if confapi /= 0 then regrdata := dr.cfg.conf(63 downto 32); else regrdata := regsd3; end if; when others => regrdata := regsd3; end case; wrdreg1 := wbrdata(31+chkbits downto 16+chkbits) & wbrdata(15 downto 0); wrdreg2 := wbrdata(31+chkbits downto 16+chkbits) & wbrdata(15 downto 0); wrdreg3 := wbrdata(31+chkbits downto 16+chkbits) & wbrdata(15 downto 0); end case; --------------------------------------------------------------------------- -- Main DDR-SDRAM access FSM --------------------------------------------------------------------------- dv.sdo_ck := "111"; dv.sdo_rasn := '1'; dv.sdo_casn := '1'; dv.sdo_wen := '1'; dv.sdo_dqm := (others => '1'); dv.sdo_bdrive := '1'; dv.sdo_qdrive := '1'; inc_sdoaddr := '0'; inc_ramaddr := '0'; dv.readpipe := dr.readpipe(3+readdly downto 0) & '0'; datavalid := '0'; if hasdqvalid/=0 then datavalid := sdi.datavalid; if dr.s/=dsrd1 and dr.s/=dsrd2 and dr.s/=dsrd3 and dr.s/=dsrd4 and dr.s/=dssrr2 then datavalid := '0'; end if; end if; if hasdqvalid=0 then if dr.cfg.cl='0' then datavalid := dr.readpipe(3+readdly); else datavalid := dr.readpipe(4+readdly); end if; end if; if datavalid='1' and dr.s/=dsidle then inc_ramaddr := '1'; rbw := '1'; vrctr(l2ddr_burstlen-1 downto 0) := nextgray(vrctr(l2ddr_burstlen-1 downto 0)); if dr.ramaddr=onev(dr.ramaddr'length-1 downto 0) then dv.readdone := '1'; incdone:='1'; vrctr := "0000"; end if; end if; if dr.sdo_address((l2blen-l2ddrw) downto 1)=onev((l2blen-l2ddrw) downto 1) then lastreadcmd := '1'; end if; if dr.ramaddr=vreq.endaddr((l2blen-3)-1 downto (l2ddrw-3)) then lastwrite := '1'; end if; -- Update EMR when ds, tcsr or pasr change if dr.cfg.command="000" and ( dr.cfg.ds(2 downto 0) /= dr.cfg.ds(5 downto 3) or dr.cfg.tcsr(1 downto 0) /= dr.cfg.tcsr(3 downto 2) or dr.cfg.pasr(2 downto 0) /= dr.cfg.pasr(5 downto 3) ) then dv.cfg.command := "111"; end if; -- Auto-refresh counter dv.refctr := std_logic_vector(unsigned(dr.refctr)+1); if (dr.refctr(11 downto 0)=dr.cfg.refresh and dr.cfg.refon='1') then dv.refpend := '1'; dv.refctr := (others => '0'); end if; if dr.initstate/=disrstdel and (dr.cfg.refon='0' or dr.cfg.pmode(1)='1') then dv.refpend := '0'; dv.refctr := (others => '0'); end if; dv.idlectr := "0000"; dv.pdowns(0) := '0'; if not (dr.cmdctr=(dr.cmdctr'range => '0')) and dr.pdowns(0)='0' then dv.cmdctr := std_logic_vector(unsigned(dr.cmdctr)-1); end if; case dr.s is when dsidle => vrctr := "0000"; dv.sdo_ck := "111"; if dr.cfg.pmode /= "000" then dv.idlectr := std_logic_vector(unsigned(dr.idlectr)+1); end if; dv.sdo_csn := "11"; if dr.refpend='1' then dv.sdo_csn := "00"; dv.sdo_rasn := '0'; dv.sdo_casn := '0'; dv.s := dsref1; dv.refpend := '0'; elsif vstart /= vdone and dr.cfg.renable='0' then -- Transfer dv.sdo_csn := vcsf; dv.sdo_address := vrowf; dv.sdo_ba := vbankf; dv.sdo_rasn := '0' or vreqf.hio; dv.s := dsact1; elsif dr.cfg.command /= "000" then dv.s := dscmd1; elsif dr.idlectr="1111" then dv.s := dspdown1; end if; when dsact1 => dv.ramaddr := vcol(rbuf_wabits downto 1); if ddr400 /= 0 then dv.cmdctr(2 downto 0) := "1" & dr.cfg.tras; -- t(RAS)-2t(CK) = TRAS+6-2 = TRAS+4 else dv.cmdctr(2 downto 0) := "10" & dr.cfg.trcd; end if; dv.readdone := '0'; if dr.cfg.trcd='1' then dv.s := dsact2; else dv.s := dsact3; end if; if vreq.hio='1' then dv.s := dsreg1; end if; when dsact2 => dv.s := dsact3; when dsact3 => dv.sdo_casn := '0'; dv.sdo_wen := not vreq.hwrite; dv.sdo_qdrive := not vreq.hwrite; -- dv.sdo_address := vcol(12 downto 10) & '0' & vcol(9 downto 1) & '0'; -- Since part of column is stored in ramaddr in dsact1, use that to -- reduce fanout on vreq.startaddr dv.sdo_address := vcoladdr(13 downto 10) & '0' & vcoladdr(9 downto 1) & '0'; if vreq.hwrite='1' then dv.s := dswr1; else dv.s := dsrd1; dv.readpipe(0) := '1'; end if; when dswr1 => -- NOP,NOP,[WR]: issue either WR+D or NOP+D dv.sdo_bdrive := '0'; dv.sdo_qdrive := '0'; inc_sdoaddr := '1'; inc_ramaddr := '1'; if lastwrite='1' then dv.sdo_dqm := vmaskfirst or vmasklast; dv.s := dswr3; else dv.sdo_casn := '0'; dv.sdo_wen := '0'; dv.sdo_dqm := vmaskfirst; dv.s := dswr2; end if; when dswr2 => dv.sdo_dqm := (others => '0'); dv.sdo_bdrive := '0'; dv.sdo_qdrive := '0'; inc_sdoaddr := '1'; inc_ramaddr := '1'; if lastwrite='0' then dv.sdo_casn := '0'; dv.sdo_wen := '0'; else dv.s := dswr3; dv.sdo_dqm := vmasklast; end if; when dswr3 => -- ...,WR+D,WR+D,[NOP+D]: issue NOP dv.sdo_qdrive := '0'; dv.sdo_dqm := (others => '1'); dv.s := dswr4; incdone := '1'; when dswr4 => -- Issue more NOP:s to meet tWR dv.idlectr := std_logic_vector(unsigned(dr.idlectr)+1); if dr.idlectr(0)=dr.cfg.twr then dv.s := dswr5; end if; when dswr5 => -- Issue NOP:s until tRAS met. if dr.cmdctr(2 downto 0)="000" then dv.sdo_rasn := '0'; dv.sdo_wen := '0'; dv.s := dswr6; end if; when dswr6 => -- PRE: issue one or two NOP:s depending on trp setting if dr.idlectr(1 downto 0)=dr.cfg.trp then dv.s := dsidle; else dv.idlectr := std_logic_vector(unsigned(dr.idlectr)+1); end if; when dsrd1 => inc_sdoaddr := '1'; if lastreadcmd='0' then dv.sdo_casn := '0'; dv.readpipe(0):='1'; elsif dr.cmdctr(2 downto 0)="000" then dv.sdo_rasn := '0'; dv.sdo_wen := '0'; dv.s := dsrd3; else dv.s := dsrd2; end if; when dsrd2 => if dr.cmdctr(2 downto 0)="000" then dv.sdo_rasn := '0'; dv.sdo_wen := '0'; dv.s := dsrd3; end if; when dsrd3 => if dr.idlectr(1 downto 0)=dr.cfg.trp then if dv.readdone='1' then dv.s := dsidle; else dv.s := dsrd4; end if; else dv.idlectr := std_logic_vector(unsigned(dr.idlectr)+1); end if; when dsrd4 => if dv.readdone='1' then dv.s := dsidle; end if; when dsreg1 => rbw := '1'; rbwd(2*ddrbits+chkbits-1 downto ddrbits+chkbits) := regrdata(2*ddrbits-1 downto ddrbits); rbwd(ddrbits-1 downto 0) := regrdata(ddrbits-1 downto 0); if vreq.hwrite='1' then dv.s := dsreg2; elsif regad="100" and dr.cfg.mobileen='1' then dv.sdo_address := (others => '0'); dv.sdo_ba := "01"; dv.sdo_csn := "10"; dv.sdo_rasn := '0'; dv.sdo_casn := '0'; dv.sdo_wen := '0'; dv.s := dssrr1; dv.cmdctr(0) := '1'; null; else incdone := '1'; dv.s := dsidle; end if; when dsreg2 => case regad is when "000" => dv.cfg.refon := wrdreg1(31); dv.cfg.trp(0) := wrdreg1(30); dv.cfg.trfc(2 downto 0) := wrdreg1(29 downto 27); dv.cfg.trcd := wrdreg1(26); dv.cfg.bsize := wrdreg1(25 downto 23); dv.cfg.csize := wrdreg1(22 downto 21); dv.cfg.command := wrdreg1(20 downto 18); dv.cfg.dllrst := wrdreg1(17); dv.cfg.renable := wrdreg1(16); dv.cfg.cke := wrdreg1(15); dv.cfg.refresh := wrdreg1(11 downto 0); when "010" => dv.cfg.mobileen := wrdreg3(31); dv.cfg.cl := wrdreg3(30); dv.cfg.tcke := wrdreg3(24); dv.cfg.txsr(3 downto 0) := wrdreg3(23 downto 20); dv.cfg.txp(0) := wrdreg3(19); dv.cfg.pmode := wrdreg3(18 downto 16); dv.cfg.ds (5 downto 3) := wrdreg3(7 downto 5); dv.cfg.tcsr(3 downto 2) := wrdreg3(4 downto 3); dv.cfg.pasr(5 downto 3) := wrdreg3(2 downto 0); -- Extended DDR400 fields dv.cfg.tras := wrdreg3(29 downto 28); dv.cfg.txsr(5 downto 4) := wrdreg3(27 downto 26); dv.cfg.txp(1) := wrdreg3(25); dv.cfg.twr := wrdreg3(11); dv.cfg.trp(1) := wrdreg3(10); dv.cfg.trfc(4 downto 3) := wrdreg3(9 downto 8); when "101" => if confapi /= 0 then dv.cfg.conf(31 downto 0) := wrdreg2; end if; when "110" => if confapi /= 0 then dv.cfg.conf(63 downto 32) := wrdreg3; end if; when others => null; end case; incdone := '1'; dv.s := dsidle; when dscmd1 => dv.sdo_csn := (others => '0'); dv.sdo_address(10) := '1'; dv.cfg.command := "000"; dv.s := dscmd2; case dr.cfg.command is when "010" => -- PRECHARGE ALL dv.sdo_rasn := '0'; dv.sdo_wen := '0'; dv.cmdctr(1 downto 0) := "11"; when "100" => -- AUTO-REFRESH dv.sdo_rasn := '0'; dv.sdo_casn := '0'; dv.cmdctr(4 downto 0) := dr.cfg.trfc; when "110" => -- MODE REGISTER dv.sdo_rasn := '0'; dv.sdo_casn := '0'; dv.sdo_wen := '0'; dv.sdo_ba := "00"; dv.sdo_address := "00000000" & "01" & dr.cfg.cl & "0001"; if dr.cfg.mobileen='0' then dv.sdo_address(8) := dr.cfg.dllrst; end if; if dr.cfg.dllrst='1' then dv.cmdctr := std_logic_vector(to_unsigned(200,dr.cmdctr'length)); end if; when "111" => -- EXT. MODE REGISTER dv.sdo_rasn := '0'; dv.sdo_casn := '0'; dv.sdo_wen := '0'; if dr.cfg.mobileen='1' then dv.sdo_ba := "10"; dv.sdo_address := "0000000" & dr.cfg.ds(5 downto 3) & dr.cfg.tcsr(3 downto 2) & dr.cfg.pasr(5 downto 3); else dv.sdo_ba := "01"; dv.sdo_address := "000000000000000"; -- bit0=0 -> DLL enable end if; dv.cfg.pasr(2 downto 0) := dr.cfg.pasr(5 downto 3); dv.cfg.ds(2 downto 0) := dr.cfg.ds(5 downto 3); dv.cfg.tcsr(1 downto 0) := dr.cfg.tcsr(3 downto 2); when others => null; end case; when dscmd2 => if dr.cmdctr=(dr.cmdctr'range => '0') then dv.s := dsidle; end if; when dspdown1 => dv.sdo_csn := "00"; if dr.cfg.pmode(0)='1' or dr.cfg.pmode(1)='1' then dv.cfg.cke := '0'; end if; if dr.cfg.pmode(1)='1' then dv.sdo_rasn := '0'; dv.sdo_casn := '0'; end if; if dr.cfg.pmode(2)='1' and dr.cfg.pmode(0)='1' then dv.sdo_wen := '0'; end if; if dr.cfg.pmode(0)='1' then dv.cmdctr(1 downto 0) := dr.cfg.txp; end if; if dr.cfg.pmode(1)='1' then if dr.cfg.mobileen='1' then dv.cmdctr(5 downto 0) := dr.cfg.txsr; else dv.cmdctr(7 downto 0) := std_logic_vector(to_unsigned(200,8)); end if; end if; dv.pdowns(1) := '0'; dv.s := dspdown2; when dspdown2 => dv.pdowns(0) := '1'; if dr.pdowns(0)='0' and dr.cmdctr=(dr.cmdctr'range => '0') then dv.pdowns(1):='1'; end if; if dr.cfg.pmode(2)='1' and dr.cfg.pmode(0)='0' then dv.sdo_ck := "000"; end if; if dr.cfg.pmode(1)='1' then dv.refpend := '1'; end if; if (dr.refpend='1' and dr.cfg.pmode(1)='0') or vstart /= vdone then if (dr.pdowns(0) or not dr.cfg.tcke)='1' then dv.cfg.cke := '1'; if dr.pdowns(1)='1' then dv.s := dsidle; else dv.s := dscmd2; dv.pdowns(0) := '0'; end if; end if; end if; when dsref1 => dv.s := dscmd2; dv.cmdctr(4 downto 0) := dr.cfg.trfc; when dssrr1 => if dr.cmdctr(0)='0' then dv.sdo_casn := '0'; dv.readpipe(0):='1'; dv.s := dssrr2; end if; when dssrr2 => if datavalid='1' then incdone := '1'; dv.s := dsidle; end if; end case; if inc_sdoaddr='1' then dv.sdo_address(l2blen-l2ddrw downto 1) := std_logic_vector(unsigned(dr.sdo_address(l2blen-l2ddrw downto 1))+1); end if; if inc_ramaddr='1' then dv.ramaddr := std_logic_vector(unsigned(dr.ramaddr)+1); end if; -- Update the done flags dv.resp.done_tog := (dr.resp.done_tog xor incdone) and (not reqsel); dv.resp.rctr_gray := vrctr and (not reqselv); dv.resp2.done_tog := (dr.resp2.done_tog xor incdone) and reqsel; dv.resp2.rctr_gray := vrctr and reqselv; --------------------------------------------------------------------------- -- DDR Init Sequence FSM --------------------------------------------------------------------------- -- Command sequence lookup table seqin := dr.cfg.mobileen & dr.initpos; case seqin is -- Mobile DDR when "1100" => seqout := "0010"; -- PRECHARGE ALL when "1011" => seqout := "0100"; -- AUTO REFRESH #1 when "1010" => seqout := "0100"; -- AUTO REFRESH #2 when "1001" => seqout := "0110"; -- MODE REG when "1000" => seqout := "0111"; -- EXT MODE REG -- Normal DDR when "0110" => seqout := "0010"; -- PRECHARGE ALL when "0101" => seqout := "0111"; -- EXT MODE REG En DLL when "0100" => seqout := "1110"; -- MODE REG Rst DLL when "0011" => seqout := "0010"; -- PRECHARGE ALL when "0010" => seqout := "0100"; -- AUTO REFRESH #1 when "0001" => seqout := "0100"; -- AUTO REFRESH #2 when "0000" => seqout := "0110"; -- MODE REG NoRst DLL when others => seqout := "0000"; end case; case dr.initstate is when disrstdel => if dr.refctr=std_logic_vector(to_unsigned(MHz*rstdel,dr.refctr'length)) then dv.initstate := disidle; if pwron=0 then dv.cfg.renable:='0'; end if; end if; -- Bypass reset delay by writing anything to regsd2 if vstartd='1' and (vreq.hio='1' and vreq.hwrite='1' and vreq.endaddr(4 downto 2)="001") then dv.initstate := disidle; if pwron=0 then dv.cfg.renable:='0'; end if; end if; when disidle => if dr.cfg.renable='1' then dv.cfg.cke := '1'; if dr.cfg.cke='1' then dv.initpos := "111"; dv.initstate := disrun; end if; end if; when disrun => if dr.cfg.command="000" then dv.cfg.dllrst := seqout(3); dv.cfg.command := seqout(2 downto 0); dv.initpos := std_logic_vector(unsigned(dr.initpos)-1); if dr.initpos="000" then dv.initstate := disfinished; end if; end if; when disfinished => if dr.cfg.command="000" then dv.cfg.renable := '0'; dv.cfg.refon := '1'; dv.initstate := disidle; end if; end case; --------------------------------------------------------------------------- -- Reset --------------------------------------------------------------------------- if ddr_rst='0' then dv.s := dsidle; dv.cmdctr := (others => '0'); dv.refctr := (others => '0'); dv.resp := ddr_response_none; dv.resp2 := ddr_response_none; dv.initstate := disrstdel; dv.refpend := '0'; -- Reset cfg record dv.cfg.command := "000"; dv.cfg.csize := conv_std_logic_vector(col-9, 2); dv.cfg.bsize := conv_std_logic_vector(log2(Mbyte/8), 3); dv.cfg.refon := '0'; dv.cfg.refresh := conv_std_logic_vector(7800*MHz/1000, 12); dv.cfg.dllrst := '0'; dv.cfg.pasr := (others => '0'); dv.cfg.tcsr := (others => '0'); dv.cfg.ds := (others => '0'); dv.cfg.pmode := (others => '0'); dv.cfg.txsr := conv_std_logic_vector(120*MHz/1000, 6); dv.cfg.txp := "01"; dv.cfg.cl := '0'; -- CL = 3/2 -- **** dv.cfg.tcke := '1'; if MHz > 100 then dv.cfg.trcd := '1'; else dv.cfg.trcd := '0'; end if; if MHz > 100 then dv.cfg.trp := "01"; else dv.cfg.trp := "00"; end if; dv.cfg.renable := '1'; -- Updated in disrstdel state if mobile >= 2 then dv.cfg.mobileen := '1'; -- Default: Mobile DDR else dv.cfg.mobileen := '0'; end if; if mobile >= 2 then dv.cfg.trfc := conv_std_logic_vector(98*MHz/1000-2, 5); else dv.cfg.trfc := conv_std_logic_vector(75*MHz/1000-2, 5); end if; if ddr_syncrst /= 0 then dv.sdo_ck := "000"; if mobile >= 2 then dv.cfg.cke := '1'; else dv.cfg.cke := '0'; end if; end if; if confapi /= 0 then dv.cfg.conf(31 downto 0) := conv_std_logic_vector(conf0, 32); --x"0000A0A0"; dv.cfg.conf(63 downto 32) := conv_std_logic_vector(conf1, 32); --x"00060606"; else dv.cfg.conf := (others => '0'); end if; if MHz > 175 then dv.cfg.tras := "10"; elsif MHz > 150 then dv.cfg.tras := "01"; else dv.cfg.tras := "00"; end if; if MHz > 133 then dv.cfg.twr := '1'; else dv.cfg.twr := '0'; end if; dv.sdo_csn := "11"; dv.sdo_dqm := (others => '1'); dv.sdo_wen := '1'; dv.sdo_rasn := '1'; dv.sdo_casn := '1'; -- Extra reset for X-sensitive techs dv.ramaddr := (others => '0'); end if; --------------------------------------------------------------------------- -- Static logic/forced regs, etc --------------------------------------------------------------------------- -- Force mobile disable/enabled if mobile=0 then dv.cfg.mobileen := '0'; end if; if mobile=3 then dv.cfg.mobileen := '1'; end if; if mobile=0 then dv.cfg.pasr := (others => '0'); dv.cfg.tcsr := (others => '0'); dv.cfg.ds := (others => '0'); dv.cfg.pmode := (others => '0'); dv.cfg.txp := "00"; dv.cfg.txsr := (others => '0'); dv.cfg.tcke := '0'; end if; if ddr400=0 then dv.cfg.tras := "00"; dv.cfg.txsr(5 downto 4) := "00"; dv.cfg.txp(1) := '0'; dv.cfg.trp(1) := '0'; dv.cfg.trfc(4 downto 3) := "00"; dv.cfg.twr := '0'; end if; -- Assign sdo o.bdrive := '1'; o.qdrive := '1'; --Temp. o.sdck := dr.sdo_ck; if ddr_syncrst/=0 and phyptctrl/=0 then o.sdck := o.sdck and (o.sdck'range => ddr_rst); end if; if regoutput /= 0 then o.casn := dr.sdo_casn; o.rasn := dr.sdo_rasn; o.sdwen := dr.sdo_wen; o.sdcsn := dr.sdo_csn; o.ba := '0' & dr.sdo_ba; o.address := dr.sdo_address; o.sdcke := (others => dr.cfg.cke); if ddr_syncrst /= 0 and phyptctrl /= 0 then if ddr_rst='0' then if mobile >= 2 then o.sdcke := (others => '1'); else o.sdcke := (others => '0'); end if; end if; end if; o.data(2*ddrbits-1 downto 0) := dr.sdo_data; o.dqm(ddrbits/4-1 downto 0) := dr.sdo_dqm; if chkbits > 0 then o.cb(2*chkbits-1 downto 0) := dr.sdo_cb(2*chkbits-1 downto 0); end if; o.bdrive := dr.sdo_bdrive; o.qdrive := dr.sdo_qdrive; else o.casn := dv.sdo_casn; o.rasn := dv.sdo_rasn; o.sdwen := dv.sdo_wen; o.sdcsn := dv.sdo_csn; o.ba := '0' & dv.sdo_ba; o.address := dv.sdo_address; o.sdcke := (others => dv.cfg.cke); o.data(2*ddrbits-1 downto 0) := dv.sdo_data; o.dqm(ddrbits/4-1 downto 0) := dv.sdo_dqm; if chkbits > 0 then o.cb(2*chkbits-1 downto 0) := dv.sdo_cb(2*chkbits-1 downto 0); end if; o.bdrive := dv.sdo_bdrive; o.qdrive := dv.sdo_qdrive; end if; for x in 7 downto 0 loop o.cbdqm(x) := o.dqm(2*x); end loop; -- Diag access if vreq.maskcb='1' then o.cbdqm := (others => '1'); end if; if vreq.maskdata='1' then o.dqm := (others => '1'); end if; if scantest/=0 and phyptctrl/=0 then if testen='1' then o.bdrive := testoen; o.qdrive := testoen; end if; end if; --------------------------------------------------------------------------- -- Drive outputs --------------------------------------------------------------------------- ndr <= dv; sdo <= o; response <= dr.resp; response2 <= dr.resp2; rbwrite <= rbw; rbwaddr <= dr.ramaddr; rbwdata <= rbwd; wbraddr <= vdone & dv.ramaddr; end process; ddrregs: process(clk_ddr,arst) begin if rising_edge(clk_ddr) then dr <= ndr; end if; if ddr_syncrst=0 and arst='0' then dr.sdo_ck <= "000"; if mobile >= 2 then dr.cfg.cke <= '1'; else dr.cfg.cke <= '0'; end if; end if; end process; end;
gpl-2.0
6656a949293fe57efc537178e0597486
0.50867
3.521266
false
false
false
false
Fairyland0902/BlockyRoads
src/BlockyRoads/ipcore_dir/blk_mem_gen_v7_3/simulation/blk_mem_gen_v7_3_synth.vhd
1
6,880
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: blk_mem_gen_v7_3_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY blk_mem_gen_v7_3_synth IS GENERIC ( C_ROM_SYNTH : INTEGER := 1 ); PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE blk_mem_gen_v7_3_synth_ARCH OF blk_mem_gen_v7_3_synth IS COMPONENT blk_mem_gen_v7_3_exdes PORT ( --Inputs - Port A ADDRA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL ADDRA: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(11 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN GENERIC MAP( C_ROM_SYNTH => C_ROM_SYNTH ) PORT MAP( CLK => clk_in_i, RST => RSTA, ADDRA => ADDRA, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(ADDRA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ELSE END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: blk_mem_gen_v7_3_exdes PORT MAP ( --Port A ADDRA => ADDRA_R, DOUTA => DOUTA, CLKA => CLKA ); END ARCHITECTURE;
mit
41e8c94e5199f7b5d45c8c7939c8da61
0.580233
3.735071
false
false
false
false
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_rd_status_cntl.vhd
13
18,971
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_rd_status_cntl.vhd -- -- Description: -- This file implements the DataMover Master Read Status Controller. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_sg_rd_status_cntl is generic ( C_STS_WIDTH : Integer := 8; -- sets the width of the Status ports C_TAG_WIDTH : Integer range 1 to 8 := 4 -- Sets the width of the Tag field in the Status reply ); port ( -- Clock and Reset input -------------------------------------- -- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- --------------------------------------------------------------- -- Command Calculator Status Interface --------------------------- -- calc2rsc_calc_error : in std_logic ; -- -- Indication from the Command Calculator that a calculation -- -- error has occured. -- ------------------------------------------------------------------- -- Address Controller Status Interface ---------------------------- -- addr2rsc_calc_error : In std_logic ; -- -- Indication from the Data Channel Controller FIFO that it -- -- is empty (no commands pending) -- -- addr2rsc_fifo_empty : In std_logic ; -- -- Indication from the Address Controller FIFO that it -- -- is empty (no commands pending) -- ------------------------------------------------------------------- -- Data Controller Status Interface --------------------------------------------- -- data2rsc_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The command tag -- -- data2rsc_calc_error : In std_logic ; -- -- Indication from the Data Channel Controller FIFO that it -- -- is empty (no commands pending) -- -- data2rsc_okay : In std_logic ; -- -- Indication that the AXI Read transfer completed with OK status -- -- data2rsc_decerr : In std_logic ; -- -- Indication that the AXI Read transfer completed with decode error status -- -- data2rsc_slverr : In std_logic ; -- -- Indication that the AXI Read transfer completed with slave error status -- -- data2rsc_cmd_cmplt : In std_logic ; -- -- Indication by the Data Channel Controller that the -- -- corresponding status is the last status for a parent command -- -- pulled from the command FIFO -- -- rsc2data_ready : Out std_logic; -- -- Handshake bit from the Read Status Controller Module indicating -- -- that the it is ready to accept a new Read status transfer -- -- data2rsc_valid : in std_logic ; -- -- Handshake bit output to the Read Status Controller Module -- -- indicating that the Data Controller has valid tag and status -- -- indicators to transfer -- ---------------------------------------------------------------------------------- -- Command/Status Module Interface ---------------------------------------------- -- rsc2stat_status : Out std_logic_vector(C_STS_WIDTH-1 downto 0); -- -- Read Status value collected during a Read Data transfer -- -- Output to the Command/Status Module -- -- stat2rsc_status_ready : In std_logic; -- -- Input from the Command/Status Module indicating that the -- -- Status Reg/FIFO is ready to accept a transfer -- -- rsc2stat_status_valid : Out std_logic ; -- -- Control Signal to the Status Reg/FIFO indicating a new status -- -- output value is valid and ready for transfer -- --------------------------------------------------------------------------------- -- Address and Data Controller Pipe halt ---------------------------------- -- rsc2mstr_halt_pipe : Out std_logic -- -- Indication to Halt the Data and Address Command pipeline due -- -- to the Status FIFO going full or an internal error being logged -- --------------------------------------------------------------------------- ); end entity axi_sg_rd_status_cntl; architecture implementation of axi_sg_rd_status_cntl is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Constant Declarations -------------------------------------------- Constant OKAY : std_logic_vector(1 downto 0) := "00"; Constant EXOKAY : std_logic_vector(1 downto 0) := "01"; Constant SLVERR : std_logic_vector(1 downto 0) := "10"; Constant DECERR : std_logic_vector(1 downto 0) := "11"; Constant STAT_RSVD : std_logic_vector(3 downto 0) := "0000"; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant STAT_REG_TAG_WIDTH : integer := 4; -- Signal Declarations -------------------------------------------- signal sig_tag2status : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_rsc2status_valid : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_rd_sts_okay_reg : std_logic := '0'; signal sig_rd_sts_interr_reg : std_logic := '0'; signal sig_rd_sts_decerr_reg : std_logic := '0'; signal sig_rd_sts_slverr_reg : std_logic := '0'; signal sig_rd_sts_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_pop_rd_sts_reg : std_logic := '0'; signal sig_push_rd_sts_reg : std_logic := '0'; Signal sig_rd_sts_push_ok : std_logic := '0'; signal sig_rd_sts_reg_empty : std_logic := '0'; signal sig_rd_sts_reg_full : std_logic := '0'; begin --(architecture implementation) -- Assign the status write output control rsc2stat_status_valid <= sig_rsc2status_valid ; sig_rsc2status_valid <= sig_rd_sts_reg_full; -- Formulate the status outout value (assumes an 8-bit status width) rsc2stat_status <= sig_rd_sts_okay_reg & sig_rd_sts_slverr_reg & sig_rd_sts_decerr_reg & sig_rd_sts_interr_reg & sig_tag2status; -- Detect that a push of a new status word is completing sig_rd_sts_push_ok <= sig_rsc2status_valid and stat2rsc_status_ready; -- Signal a halt to the execution pipe if new status -- is valid but the Status FIFO is not accepting it rsc2mstr_halt_pipe <= sig_rsc2status_valid and (not(stat2rsc_status_ready) ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_TAG_LE_STAT -- -- If Generate Description: -- Populates the TAG bits into the availble Status bits when -- the TAG width is less than or equal to the available number -- of bits in the Status word. -- ------------------------------------------------------------ GEN_TAG_LE_STAT : if (TAG_WIDTH <= STAT_REG_TAG_WIDTH) generate -- local signals signal lsig_temp_tag_small : std_logic_vector(STAT_REG_TAG_WIDTH-1 downto 0) := (others => '0'); begin sig_tag2status <= lsig_temp_tag_small; ------------------------------------------------------------- -- Combinational Process -- -- Label: POPULATE_SMALL_TAG -- -- Process Description: -- -- ------------------------------------------------------------- POPULATE_SMALL_TAG : process (sig_rd_sts_tag_reg) begin -- Set default value lsig_temp_tag_small <= (others => '0'); -- Now overload actual TAG bits lsig_temp_tag_small(TAG_WIDTH-1 downto 0) <= sig_rd_sts_tag_reg; end process POPULATE_SMALL_TAG; end generate GEN_TAG_LE_STAT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_TAG_GT_STAT -- -- If Generate Description: -- Populates the TAG bits into the availble Status bits when -- the TAG width is greater than the available number of -- bits in the Status word. The upper bits of the TAG are -- clipped off (discarded). -- ------------------------------------------------------------ GEN_TAG_GT_STAT : if (TAG_WIDTH > STAT_REG_TAG_WIDTH) generate -- local signals signal lsig_temp_tag_big : std_logic_vector(STAT_REG_TAG_WIDTH-1 downto 0); begin sig_tag2status <= lsig_temp_tag_big; ------------------------------------------------------------- -- Combinational Process -- -- Label: POPULATE_BIG_TAG -- -- Process Description: -- -- ------------------------------------------------------------- POPULATE_SMALL_TAG : process (sig_rd_sts_tag_reg) begin -- Set default value lsig_temp_tag_big <= (others => '0'); -- Now overload actual TAG bits lsig_temp_tag_big <= sig_rd_sts_tag_reg(STAT_REG_TAG_WIDTH-1 downto 0); end process POPULATE_SMALL_TAG; end generate GEN_TAG_GT_STAT; ------- Read Status Collection Logic -------------------------------- rsc2data_ready <= sig_rsc2data_ready ; sig_rsc2data_ready <= sig_rd_sts_reg_empty; sig_push_rd_sts_reg <= data2rsc_valid and sig_rsc2data_ready; sig_pop_rd_sts_reg <= sig_rd_sts_push_ok; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: RD_STATUS_FIFO_REG -- -- Process Description: -- Implement Read status FIFO register. -- This register holds the Read status from the Data Controller -- until it is transfered to the Status FIFO. -- ------------------------------------------------------------- RD_STATUS_FIFO_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_pop_rd_sts_reg = '1') then sig_rd_sts_tag_reg <= (others => '0'); sig_rd_sts_interr_reg <= '0'; sig_rd_sts_decerr_reg <= '0'; sig_rd_sts_slverr_reg <= '0'; sig_rd_sts_okay_reg <= '1'; -- set back to default of "OKAY" sig_rd_sts_reg_full <= '0'; sig_rd_sts_reg_empty <= '1'; Elsif (sig_push_rd_sts_reg = '1') Then sig_rd_sts_tag_reg <= data2rsc_tag; sig_rd_sts_interr_reg <= data2rsc_calc_error or sig_rd_sts_interr_reg; sig_rd_sts_decerr_reg <= data2rsc_decerr or sig_rd_sts_decerr_reg; sig_rd_sts_slverr_reg <= data2rsc_slverr or sig_rd_sts_slverr_reg; sig_rd_sts_okay_reg <= data2rsc_okay and not(data2rsc_decerr or sig_rd_sts_decerr_reg or data2rsc_slverr or sig_rd_sts_slverr_reg or data2rsc_calc_error or sig_rd_sts_interr_reg ); sig_rd_sts_reg_full <= data2rsc_cmd_cmplt or data2rsc_calc_error; sig_rd_sts_reg_empty <= not(data2rsc_cmd_cmplt or data2rsc_calc_error); else null; -- hold current state end if; end if; end process RD_STATUS_FIFO_REG; end implementation;
gpl-3.0
257688fe152fd6220095397eeb755c34
0.407833
5.594515
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/techmap/maps/inpad_ds.vhd
1
3,695
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: inpad_ds -- File: inpad_ds.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: input pad with technology wrapper ------------------------------------------------------------------------------ library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; use techmap.allpads.all; entity inpad_ds is generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; term : integer := 0); port (padp, padn : in std_ulogic; o : out std_ulogic); end; architecture rtl of inpad_ds is signal gnd : std_ulogic; begin gnd <= '0'; gen0 : if has_ds_pads(tech) = 0 generate o <= to_X01(padp) -- pragma translate_off after 1 ns -- pragma translate_on ; end generate; xcv : if (tech = virtex2) or (tech = spartan3) generate u0 : unisim_inpad_ds generic map (level, voltage, term) port map (padp, padn, o); end generate; xc4v : if (tech = virtex4) or (tech = spartan3e) or (tech = virtex5) or (tech = spartan6) or (tech = virtex6) or (tech = virtex7) or (tech = kintex7) or (tech =artix7) or (tech =zynq7000) generate u0 : virtex4_inpad_ds generic map (level, voltage) port map (padp, padn, o); end generate; axc : if (tech = axcel) or (tech = axdsp) generate u0 : axcel_inpad_ds generic map (level, voltage) port map (padp, padn, o); end generate; pa3 : if (tech = apa3) generate u0 : apa3_inpad_ds generic map (level) port map (padp, padn, o); end generate; pa3e : if (tech = apa3e) generate u0 : apa3e_inpad_ds generic map (level) port map (padp, padn, o); end generate; pa3l : if (tech = apa3l) generate u0 : apa3l_inpad_ds generic map (level) port map (padp, padn, o); end generate; fus : if (tech = actfus) generate u0 : fusion_inpad_ds generic map (level) port map (padp, padn, o); end generate; rht : if (tech = rhlib18t) generate u0 : rh_lib18t_inpad_ds port map (padp, padn, o, gnd); end generate; n2x : if (tech = easic45) generate u0 : n2x_inpad_ds generic map (level, voltage) port map (padp, padn, o); end generate; end; library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; entity inpad_dsv is generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; width : integer := 1); port ( padp : in std_logic_vector(width-1 downto 0); padn : in std_logic_vector(width-1 downto 0); o : out std_logic_vector(width-1 downto 0)); end; architecture rtl of inpad_dsv is begin v : for i in width-1 downto 0 generate u0 : inpad_ds generic map (tech, level, voltage) port map (padp(i), padn(i), o(i)); end generate; end;
gpl-2.0
dc04222f3e3954b8ef86f863d361baeb
0.634912
3.479284
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/techmap/maps/grgates.vhd
1
6,569
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: Various -- File: grgates.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Various gates with tech mapping ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use work.allclkgen.all; entity grmux2 is generic( tech : integer := inferred; imp : integer := 0); port( ip0, ip1, sel : in std_logic; op : out std_ulogic); end; architecture rtl of grmux2 is component ut130hbd_mux2 port( i0 : in std_ulogic; i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic); end component; component mux2_ut90nhbd port( i0 : in std_ulogic; i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic); end component; constant has_mux2 : tech_ability_type := ( rhlib18t => 1, ut130 => 1, ut90 => 1, others => 0); begin y0 : if has_mux2(tech) = 1 generate rhlib : if tech = rhlib18t generate x0 : clkmux_rhlib18t port map (i0 => ip0, i1 => ip1, sel => sel, o => op); end generate; ut13 : if tech = ut130 generate x0 : ut130hbd_mux2 port map (i0 => ip0, i1 => ip1, sel => sel, o => op); end generate; ut90n : if tech = ut90 generate x0 : mux2_ut90nhbd port map (i0 => ip0, i1 => ip1, sel => sel, o => op); end generate; end generate; y1 : if has_mux2(tech) = 0 generate op <= ip0 when sel = '0' else ip1; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity grmux2v is generic( tech : integer := inferred; bits : integer := 2; imp : integer := 0); port( ip0, ip1 : in std_logic_vector(bits-1 downto 0); sel : in std_logic; op : out std_logic_vector(bits-1 downto 0)); end; architecture rtl of grmux2v is begin x0 : for i in bits-1 downto 0 generate y0 : grmux2 generic map (tech, imp) port map (ip0(i), ip1(i), sel, op(i)); end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity grdff is generic( tech : integer := inferred; imp : integer := 0); port( clk, d : in std_ulogic; q : out std_ulogic); end; architecture rtl of grdff is component ut130hbd_dff port( clk : in std_ulogic; d : in std_ulogic; q : out std_ulogic); end component; component dff_ut90nhbd port( clk : in std_ulogic; d : in std_ulogic; q : out std_ulogic); end component; constant has_dff : tech_ability_type := ( ut130 => 1, ut90 => 1, others => 0); begin y0 : if has_dff(tech) = 1 generate ut13 : if tech = ut130 generate x0 : ut130hbd_dff port map (clk => clk, d => d, q => q); end generate; ut90n : if tech = ut90 generate x0 : dff_ut90nhbd port map (clk => clk, d => d, q => q); end generate; end generate; y1 : if has_dff(tech) = 0 generate x0 : process(clk) begin if rising_edge(clk) then q <= d; end if; end process; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity gror2 is generic( tech : integer := inferred; imp : integer := 0); port( i0, i1 : in std_ulogic; q : out std_ulogic); end; architecture rtl of gror2 is component ut130hbd_or2 port( i0 : in std_ulogic; i1 : in std_ulogic; q : out std_ulogic); end component; component or2_ut90nhbd port( i0 : in std_ulogic; i1 : in std_ulogic; o : out std_ulogic); end component; constant has_or2 : tech_ability_type := ( ut130 => 1, ut90 => 1, others => 0); begin y0 : if has_or2(tech) = 1 generate ut13 : if tech = ut130 generate x0 : ut130hbd_or2 port map (i0 => i0, i1 => i1, q => q); end generate; ut90n : if tech = ut90 generate x0 : or2_ut90nhbd port map (i0 => i0, i1 => i1, o => q); end generate; end generate; y1 : if has_or2(tech) = 0 generate q <= i0 or i1; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity grand12 is generic( tech : integer := inferred; imp : integer := 0); port( i0, i1 : in std_ulogic; q : out std_ulogic); end; architecture rtl of grand12 is component ut130hbd_and12 port( i0 : in std_ulogic; i1 : in std_ulogic; q : out std_ulogic); end component; component and12_ut90nhbd port( i0 : in std_ulogic; i1 : in std_ulogic; o : out std_ulogic); end component; constant has_and12 : tech_ability_type := ( ut130 => 1, ut90 => 1, others => 0); begin y0 : if has_and12(tech) = 1 generate ut13 : if tech = ut130 generate x0 : ut130hbd_and12 port map (i0 => i0, i1 => i1, q => q); end generate; ut90n : if tech = ut90 generate x0 : and12_ut90nhbd port map (i0 => i0, i1 => i1, o => q); end generate; end generate; y1 : if has_and12(tech) = 0 generate q <= i0 and not i1; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity grnand2 is generic ( tech: integer := 0; imp: integer := 0 ); port ( i0: in std_ulogic; i1: in std_ulogic; q : out std_ulogic ); end; architecture rtl of grnand2 is constant has_nand2: tech_ability_type := (others => 0); begin y0: if has_nand2(tech)=1 generate end generate; y1: if has_nand2(tech)=0 generate q <= not (i0 and i1); end generate; end;
gpl-2.0
24bf2e6cdb699fd41a96b17ef14d7353
0.604658
3.117703
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/techmap/maps/iopad.vhd
1
7,302
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: iopad -- File: iopad.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: io pad with technology wrapper ------------------------------------------------------------------------------ library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; use techmap.allpads.all; entity iopad is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0; filter : integer := 0); port (pad : inout std_ulogic; i, en : in std_ulogic; o : out std_ulogic; cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end; architecture rtl of iopad is signal oen : std_ulogic; begin oen <= not en when oepol /= padoen_polarity(tech) else en; gen0 : if has_pads(tech) = 0 generate pad <= transport i -- pragma translate_off after 2 ns -- pragma translate_on when oen = '0' and slew = 0 else i when oen = '0' -- pragma translate_off else 'X' after 2 ns when is_x(oen) and slew = 0 else 'X' when is_x(oen) -- pragma translate_on else 'Z' -- pragma translate_off after 2 ns -- pragma translate_on when slew = 0 else 'Z'; o <= transport to_X01(pad) -- pragma translate_off after 1 ns -- pragma translate_on ; end generate; xcv : if (is_unisim(tech) = 1) generate x0 : unisim_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o); end generate; axc : if (tech = axcel) or (tech = axdsp) generate x0 : axcel_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o); end generate; pa3 : if (tech = proasic) or (tech = apa3) generate x0 : apa3_iopad generic map (level, slew, voltage, strength, filter) port map (pad, i, oen, o); end generate; pa3e : if (tech = apa3e) generate x0 : apa3e_iopad generic map (level, slew, voltage, strength, filter) port map (pad, i, oen, o); end generate; pa3l : if (tech = apa3l) generate x0 : apa3l_iopad generic map (level, slew, voltage, strength, filter) port map (pad, i, oen, o); end generate; fus : if (tech = actfus) generate x0 : fusion_iopad generic map (level, slew, voltage, strength, filter) port map (pad, i, oen, o); end generate; atc : if (tech = atc18s) generate x0 : atc18_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o); end generate; atcrh : if (tech = atc18rha) generate x0 : atc18rha_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o); end generate; um : if (tech = umc) generate x0 : umc_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o); end generate; rhu : if (tech = rhumc) generate x0 : rhumc_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o); end generate; saed : if (tech = saed32) generate x0 : saed32_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o); end generate; dar : if (tech = dare) generate x0 : dare_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o); end generate; ihp : if (tech = ihp25) generate x0 : ihp25_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o); end generate; ihprh : if (tech = ihp25rh) generate x0 : ihp25rh_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o); end generate; rh18t : if (tech = rhlib18t) generate x0 : rh_lib18t_iopad generic map (strength) port map (pad, i, oen, o); end generate; ut025 : if (tech = ut25) generate x0 : ut025crh_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o); end generate; ut13 : if (tech = ut130) generate x0 : ut130hbd_iopad generic map (level, slew, voltage, strength, filter) port map (pad, i, oen, o); end generate; pere : if (tech = peregrine) generate x0 : peregrine_iopad generic map (level, slew, voltage, strength) port map(pad, i, oen, o); end generate; nex : if (tech = easic90) generate x0 : nextreme_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o); end generate; n2x : if (tech = easic45) generate x0 : n2x_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o, cfgi(0), cfgi(1), cfgi(19 downto 15), cfgi(14 downto 10), cfgi(9 downto 6), cfgi(5 downto 2)); end generate; ut90nhbd : if (tech = ut90) generate x0 : ut90nhbd_iopad generic map (level, slew, voltage, strength) port map (pad, i, oen, o, cfgi(0)); end generate; end; library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; entity iopadv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0; filter : integer := 0); port ( pad : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_ulogic; o : out std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end; architecture rtl of iopadv is begin v : for j in width-1 downto 0 generate x0 : iopad generic map (tech, level, slew, voltage, strength, oepol, filter) port map (pad(j), i(j), en, o(j), cfgi); end generate; end; library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; entity iopadvv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0; filter : integer := 0); port ( pad : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0); o : out std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end; architecture rtl of iopadvv is begin v : for j in width-1 downto 0 generate x0 : iopad generic map (tech, level, slew, voltage, strength, oepol, filter) port map (pad(j), i(j), en(j), o(j), cfgi); end generate; end;
gpl-2.0
3c249e047b826a34c7062a500f0711e0
0.635442
3.396279
false
false
false
false
Fairyland0902/BlockyRoads
src/BlockyRoads/ipcore_dir/car/example_design/car_prod.vhd
1
9,880
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: car_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : artix7 -- C_XDEVICEFAMILY : artix7 -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 3 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 1 -- C_INIT_FILE_NAME : car.mif -- C_USE_DEFAULT_DATA : 0 -- C_DEFAULT_DATA : 0 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 12 -- C_READ_WIDTH_A : 12 -- C_WRITE_DEPTH_A : 6000 -- C_READ_DEPTH_A : 6000 -- C_ADDRA_WIDTH : 13 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 12 -- C_READ_WIDTH_B : 12 -- C_WRITE_DEPTH_B : 6000 -- C_READ_DEPTH_B : 6000 -- C_ADDRB_WIDTH : 13 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY car_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END car_prod; ARCHITECTURE xilinx OF car_prod IS COMPONENT car_exdes IS PORT ( --Port A ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : car_exdes PORT MAP ( --Port A ADDRA => ADDRA, DOUTA => DOUTA, CLKA => CLKA ); END xilinx;
mit
74636a4757915e7f737742051bc99948
0.493421
3.830942
false
false
false
false
Yuriu5/MiniBlaze
src/hw1/ALU_pkg.vhd
1
5,786
-- ********************************************************************************** -- Project : MiniBlaze -- Author : Benjamin Lemoine -- Module : ALU_pkg -- Date : 07/07/2016 -- -- Description : Arithmetic Logic Unit Package -- -- -------------------------------------------------------------------------------- -- Modifications -- -------------------------------------------------------------------------------- -- Date : Ver. : Author : Modification comments -- -------------------------------------------------------------------------------- -- : : : -- 07/07/2016 : 1.0 : B.Lemoine : First draft -- : : : -- ********************************************************************************** -- MIT License -- -- Copyright (c) 07/07/2016, Benjamin Lemoine -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in all -- copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. -- ********************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package ALU_pkg is type t_status_alu_out is record carry : std_logic; -- Carry resulting from an addition or a subtraction operation zero : std_logic; -- All bits of the result (operandC) are logic zero negative : std_logic; -- The result of the arithmetic operation if negative overflow : std_logic; -- parity : std_logic; -- Indicates whether an even or odd number of bits on the Y bus are logic one end record; constant c_status_alu_out_null : t_status_alu_out := ('0','0','0','0','0'); type t_opcode_alu is ( OP_PTA, -- Pass through reg A OP_PTB, -- Pass through reg B OP_ADD, -- Add OP_AND, -- And OP_OR, -- Or OP_SHIFT, -- Right shift OP_XOR, -- Xor OP_SEXT8, -- Sign extend Byte OP_SEXT16, -- Sign extend Word OP_MULT, -- Multiplication OP_BS -- Barrel Shift ); type t_type_carry is ( CARRY_INPUT, CARRY_ONE, CARRY_ZERO, CARRY_ARITH ); type t_type_shift is ( LEFT_SHIFT, RIGHT_SHIFT_ARITH, RIGHT_SHIFT_LOGIC ); type t_type_mult is ( LSW, HSW ); type t_ctrl_op_alu is record keepCarry : std_logic; negOperandB : std_logic; negOperandA : std_logic; whichCarry : t_type_carry; ctrlShift : t_type_shift; multType : t_type_mult; end record; constant c_ctrl_op_alu_null : t_ctrl_op_alu := ('0', '0', '0', CARRY_INPUT, LEFT_SHIFT, LSW); type t_param_alu is record operation : t_opcode_alu; ctrl_op : t_ctrl_op_alu; end record; constant c_param_alu_null : t_param_alu := (OP_PTA, c_ctrl_op_alu_null ); function is_zero(a : std_logic_vector) return std_logic; function is_negative(a : std_logic_vector) return std_logic; function add(a,b : std_logic_vector; c : std_logic) return std_logic_vector; function multiply(a, b : std_logic_vector) return std_logic_vector; end ALU_pkg; package body ALU_pkg is function is_zero(a : std_logic_vector) return std_logic is variable tmp : std_logic_vector(a'range); begin tmp := (others => '0'); if tmp = a then return '1'; else return '0'; end if; end function; function is_negative(a : std_logic_vector) return std_logic is begin if a(a'left) = '1' then return '1'; else return '0'; end if; end function; function add(a,b : std_logic_vector; c : std_logic) return std_logic_vector is variable CBIT : std_logic := c; variable RESULT : std_logic_vector(a'left + 1 downto a'right) := (others => '0'); alias XA : std_logic_vector(a'range) is a; alias XB : std_logic_vector(a'range) is b; begin for i in 0 to a'left loop RESULT(i) := CBIT xor XA(i) xor XB(i); CBIT := (CBIT and XA(i)) or (CBIT and XB(i)) or (XA(i) and XB(i)); end loop; RESULT(a'left + 1) := CBIT; return RESULT; end add; -- a & b same size function multiply(a, b : std_logic_vector) return std_logic_vector is variable A_LEFT : integer := a'length-1; variable result : std_logic_vector(2*A_LEFT+1 downto 0); begin result := std_logic_vector(signed(a)*signed(b)); return result; end multiply; end;
mit
a450f582788ea390cf31ef5db2456bc4
0.526789
3.954887
false
false
false
false
makestuff/mem-pipe
vhdl/mem_pipe_rtl.vhdl
1
7,332
-- -- Copyright (C) 2012 Chris McClelland -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU Lesser General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mem_ctrl_pkg.all; architecture rtl of mem_pipe is type StateType is ( S_IDLE, S_SET_PTR, S_BEGIN_READ, S_EXEC_READ, S_INC_READ, S_WAIT_RDV_READ, S_WAIT_RDY_READ, S_BEGIN_WRITE, S_EXEC_WRITE, S_LOOP_WRITE ); constant CMD_PTR : std_logic_vector(1 downto 0) := "00"; constant CMD_RD : std_logic_vector(1 downto 0) := "01"; constant CMD_WR : std_logic_vector(1 downto 0) := "10"; constant CMD_ILL : std_logic_vector(1 downto 0) := "11"; signal state : StateType := S_IDLE; signal state_next : StateType; signal memPtr : std_logic_vector(22 downto 0) := (others => '0'); signal memPtr_next : std_logic_vector(22 downto 0); signal wordCount : std_logic_vector(29 downto 0) := (others => '0'); signal wordCount_next : std_logic_vector(29 downto 0); signal tmpRdData : std_logic_vector(15 downto 0) := (others => '0'); signal tmpRdData_next : std_logic_vector(15 downto 0); begin -- Infer registers process(clk_in) begin if ( rising_edge(clk_in) ) then if ( reset_in = '1' ) then state <= S_IDLE; memPtr <= (others => '0'); wordCount <= (others => '0'); tmpRdData <= (others => '0'); else state <= state_next; memPtr <= memPtr_next; wordCount <= wordCount_next; tmpRdData <= tmpRdData_next; end if; end if; end process; -- Next state logic process(state, memPtr, wordCount, cmdData_in, cmdValid_in, rspReady_in, tmpRdData, mcData_in, mcRDV_in, mcReady_in) begin -- Internal registers keep their values by default state_next <= state; memPtr_next <= memPtr; wordCount_next <= wordCount; tmpRdData_next <= tmpRdData; -- Memory controller signal defaults mcCmd_out <= MC_NOP; mcAddr_out <= (others => '0'); mcData_out <= (others => '0'); -- Read/write pipe signal defaults cmdReady_out <= '0'; rspData_out <= (others => '0'); rspValid_out <= '0'; case state is -- Register the low-order word of the memory pointer and return to the IDLE state when S_SET_PTR => cmdReady_out <= '1'; if ( cmdValid_in = '1' ) then memPtr_next(15 downto 0) <= cmdData_in; state_next <= S_IDLE; end if; ------------------------------------------------------------------------------------------- -- Read states ------------------------------------------------------------------------------------------- -- Register the low-order word of the read count, then if the memory controller is ready, -- issue the read command, else switch to EXEC_READ which will wait until it IS ready. when S_BEGIN_READ => cmdReady_out <= '1'; if ( cmdValid_in = '1' ) then wordCount_next(15 downto 0) <= cmdData_in; if ( mcReady_in = '1' ) then mcCmd_out <= MC_RD; mcAddr_out <= memPtr; state_next <= S_INC_READ; else state_next <= S_EXEC_READ; end if; end if; -- Wait until the memory controller is ready, then issue a read. when S_EXEC_READ => if ( mcReady_in = '1' ) then mcCmd_out <= MC_RD; mcAddr_out <= memPtr; state_next <= S_INC_READ; end if; -- Increment the memory pointer, decrement the read count. when S_INC_READ => memPtr_next <= std_logic_vector(unsigned(memPtr) + 1); wordCount_next <= std_logic_vector(unsigned(wordCount) - 1); state_next <= S_WAIT_RDV_READ; -- Wait until this memory read completes, then either loop back to EXEC_READ to issue -- another read command, or return to IDLE. when S_WAIT_RDV_READ => if ( mcRDV_in = '1' ) then if ( rspReady_in = '1' ) then rspData_out <= mcData_in; rspValid_out <= '1'; if ( unsigned(wordCount) = 0 ) then state_next <= S_IDLE; else state_next <= S_EXEC_READ; end if; else tmpRdData_next <= mcData_in; state_next <= S_WAIT_RDY_READ; end if; end if; -- Wait until there is room in the response FIFO, then either loop back to EXEC_READ to -- issue another read command, or return to IDLE. when S_WAIT_RDY_READ => if ( rspReady_in = '1' ) then rspData_out <= tmpRdData; rspValid_out <= '1'; if ( unsigned(wordCount) = 0 ) then state_next <= S_IDLE; else state_next <= S_EXEC_READ; end if; end if; ------------------------------------------------------------------------------------------- -- Write states ------------------------------------------------------------------------------------------- -- Register the low-order word of the read count, then if the memory controller is ready, -- issue the read command, else switch to EXEC_READ which will wait until it IS ready. when S_BEGIN_WRITE => cmdReady_out <= '1'; if ( cmdValid_in = '1' ) then wordCount_next(15 downto 0) <= cmdData_in; state_next <= S_EXEC_WRITE; end if; -- Wait for memory controller to become ready, then tell command pipe we're ready for the -- first word. When it arrives, kick off a memory controller write operation. when S_EXEC_WRITE => if ( mcReady_in = '1' ) then cmdReady_out <= '1'; if ( cmdValid_in = '1' ) then mcCmd_out <= MC_WR; mcAddr_out <= memPtr; mcData_out <= cmdData_in; memPtr_next <= std_logic_vector(unsigned(memPtr) + 1); wordCount_next <= std_logic_vector(unsigned(wordCount) - 1); state_next <= S_LOOP_WRITE; end if; end if; -- Either loop back to EXEC_WRITE to issue another write command, or return to IDLE. when S_LOOP_WRITE => if ( unsigned(wordCount) = 0 ) then state_next <= S_IDLE; else state_next <= S_EXEC_WRITE; end if; ------------------------------------------------------------------------------------------- -- S_IDLE, etc ------------------------------------------------------------------------------------------- when others => cmdReady_out <= '1'; if ( cmdValid_in = '1' ) then case cmdData_in(15 downto 14) is when CMD_PTR => -- Update pointer memPtr_next(22 downto 16) <= cmdData_in(6 downto 0); state_next <= S_SET_PTR; when CMD_RD => -- Read some data wordCount_next(29 downto 16) <= cmdData_in(13 downto 0); state_next <= S_BEGIN_READ; when CMD_WR => -- Write some data wordCount_next(29 downto 16) <= cmdData_in(13 downto 0); state_next <= S_BEGIN_WRITE; when others => null; end case; end if; null; end case; end process; end architecture;
gpl-3.0
03863334b58c3df115867d1002c3bd04
0.572013
3.364846
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/leon3v3/mmu_icache.vhd
1
28,774
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: mmu_icache -- File: mmu_icache.vhd -- Author: Jiri Gaisler - Gaisler Research -- Modified: Edvin Catovic - Gaisler Research -- Description: This unit implements the instruction cache controller. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.config_types.all; use grlib.config.all; use grlib.stdlib.all; library gaisler; use gaisler.libiu.all; use gaisler.libcache.all; use gaisler.mmuconfig.all; use gaisler.mmuiface.all; use gaisler.leon3.all; entity mmu_icache is generic ( icen : integer range 0 to 1 := 0; irepl : integer range 0 to 3 := 0; isets : integer range 1 to 4 := 1; ilinesize : integer range 4 to 8 := 4; isetsize : integer range 1 to 256 := 1; isetlock : integer range 0 to 1 := 0; lram : integer range 0 to 1 := 0; lramsize : integer range 1 to 512 := 1; lramstart : integer range 0 to 255 := 16#8e#; mmuen : integer range 0 to 1 := 0); port ( rst : in std_ulogic; clk : in std_ulogic; ici : in icache_in_type; ico : out icache_out_type; dci : in dcache_in_type; dco : in dcache_out_type; mcii : out memory_ic_in_type; mcio : in memory_ic_out_type; icrami : out icram_in_type; icramo : in icram_out_type; fpuholdn : in std_ulogic; mmudci : in mmudc_in_type; mmuici : out mmuic_in_type; mmuico : in mmuic_out_type ); end; architecture rtl of mmu_icache is constant M_EN : boolean := (mmuen = 1); constant ILINE_BITS : integer := log2(ilinesize); constant IOFFSET_BITS : integer := 8 +log2(isetsize) - ILINE_BITS; constant TAG_LOW : integer := IOFFSET_BITS + ILINE_BITS + 2; constant OFFSET_HIGH : integer := TAG_LOW - 1; constant OFFSET_LOW : integer := ILINE_BITS + 2; constant LINE_HIGH : integer := OFFSET_LOW - 1; constant LINE_LOW : integer := 2; constant LRR_BIT : integer := TAG_HIGH + 1; constant lline : std_logic_vector((ILINE_BITS -1) downto 0) := (others => '1'); constant fline : std_logic_vector((ILINE_BITS -1) downto 0) := (others => '0'); constant SETBITS : integer := log2x(ISETS); constant ILRUBITS : integer := lru_table(ISETS); constant LRAM_START : std_logic_vector(7 downto 0) := conv_std_logic_vector(lramstart, 8); constant LRAM_BITS : integer := log2(lramsize) + 10; constant LRAMCS_EN : boolean := false; subtype lru_type is std_logic_vector(ILRUBITS-1 downto 0); type lru_array is array (0 to 2**IOFFSET_BITS-1) of lru_type; -- lru registers type rdatatype is (itag, idata, memory); -- sources during cache read type lru_table_vector_type is array(0 to 3) of std_logic_vector(4 downto 0); type lru_table_type is array (0 to 2**IOFFSET_BITS-1) of lru_table_vector_type; type valid_type is array (0 to ISETS-1) of std_logic_vector(ilinesize - 1 downto 0); subtype lock_type is std_logic_vector(0 to ISETS-1); function lru_set (lru : lru_type; lock : lock_type) return std_logic_vector is variable xlru : std_logic_vector(4 downto 0); variable set : std_logic_vector(SETBITS-1 downto 0); variable xset : std_logic_vector(1 downto 0); variable unlocked : integer range 0 to ISETS-1; begin set := (others => '0'); xlru := (others => '0'); xset := (others => '0'); xlru(ILRUBITS-1 downto 0) := lru; if isetlock = 1 then unlocked := ISETS-1; for i in ISETS-1 downto 0 loop if lock(i) = '0' then unlocked := i; end if; end loop; end if; case ISETS is when 2 => if isetlock = 1 then if lock(0) = '1' then xset(0) := '1'; else xset(0) := xlru(0); end if; else xset(0) := xlru(0); end if; when 3 => if isetlock = 1 then xset := conv_std_logic_vector(lru3_repl_table(conv_integer(xlru)) (unlocked), 2); else -- xset := conv_std_logic_vector(lru3_repl_table(conv_integer(xlru)) (0), 2); xset := xlru(2) & (xlru(1) and not xlru(2)); end if; when 4 => if isetlock = 1 then xset := conv_std_logic_vector(lru4_repl_table(conv_integer(xlru)) (unlocked), 2); else -- xset := conv_std_logic_vector(lru4_repl_table(conv_integer(xlru)) (0), 2); xset := xlru(4 downto 3); end if; when others => end case; set := xset(SETBITS-1 downto 0); return(set); end; function lru_calc (lru : lru_type; xset : std_logic_vector) return lru_type is variable new_lru : lru_type; variable xnew_lru: std_logic_vector(4 downto 0); variable xlru : std_logic_vector(4 downto 0); variable vset : std_logic_vector(SETBITS-1 downto 0); variable set: integer; begin vset := xset; set := conv_integer(vset); new_lru := (others => '0'); xnew_lru := (others => '0'); xlru := (others => '0'); xlru(ILRUBITS-1 downto 0) := lru; case ISETS is when 2 => if set = 0 then xnew_lru(0) := '1'; else xnew_lru(0) := '0'; end if; when 3 => xnew_lru(2 downto 0) := lru_3set_table(conv_integer(lru))(set); when 4 => xnew_lru(4 downto 0) := lru_4set_table(conv_integer(lru))(set); xnew_lru(SETBITS-1 downto 0) := vset; when others => end case; new_lru := xnew_lru(ILRUBITS-1 downto 0); return(new_lru); end; type istatetype is (idle, trans, streaming, stop); type icache_control_type is record -- all registers req, burst, holdn : std_ulogic; overrun : std_ulogic; underrun : std_ulogic; istate : istatetype; -- FSM vector waddress : std_logic_vector(31 downto 2); -- write address buffer vaddress : std_logic_vector(31 downto 2); -- virtual address buffer valid : valid_type; --std_logic_vector(ilinesize-1 downto 0); -- valid bits hit : std_ulogic; su : std_ulogic; flush : std_ulogic; -- flush in progress flush2 : std_ulogic; -- flush in progress faddr : std_logic_vector(IOFFSET_BITS - 1 downto 0); -- flush address diagrdy : std_ulogic; rndcnt : std_logic_vector(log2x(ISETS)-1 downto 0); -- replace counter lrr : std_ulogic; setrepl : std_logic_vector(log2x(ISETS)-1 downto 0); -- set to replace diagset : std_logic_vector(log2x(ISETS)-1 downto 0); lock : std_ulogic; pflush : std_logic; pflushr : std_logic; pflushaddr : std_logic_vector(VA_I_U downto VA_I_D); pflushtyp : std_logic; cache : std_logic; trans_op : std_logic; end record; type lru_reg_type is record write : std_ulogic; waddr : std_logic_vector(IOFFSET_BITS-1 downto 0); set : std_logic_vector(SETBITS-1 downto 0); --integer range 0 to ISETS-1; lru : lru_array; end record; constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; constant RRES : icache_control_type := ( req => '0', burst => '0', holdn => '1', overrun => '0', underrun => '0', istate => idle, waddress => (others => '0'), -- has special handling vaddress => (others => '0'), -- has special handling valid => (others => (others => '0')), hit => '0', su => '0', flush => '0', flush2 => '0', faddr => (others => '0'), diagrdy => '0', rndcnt => (others => '0'), lrr => '0', setrepl => (others => '0'), diagset => (others => '0'), lock => '0', pflush => '0', pflushr => '0', pflushaddr => (others => '0'), pflushtyp => '0', cache => '0', trans_op => '0' ); constant LRES : lru_reg_type := ( write => '0', waddr => (others => '0'), set => (others => '0'), lru => (others => (others => '0')) ); signal r, c : icache_control_type; -- r is registers, c is combinational signal rl, cl : lru_reg_type; -- rl is registers, cl is combinational constant icfg : std_logic_vector(31 downto 0) := cache_cfg(irepl, isets, ilinesize, isetsize, isetlock, 0, lram, lramsize, lramstart, mmuen); begin ictrl : process(rst, r, rl, mcio, ici, dci, dco, icramo, fpuholdn, mmuico, mmudci) variable rdatasel : rdatatype; variable twrite, diagen, dwrite : std_ulogic; variable taddr : std_logic_vector(TAG_HIGH downto LINE_LOW); -- tag address variable wtag : std_logic_vector(TAG_HIGH downto TAG_LOW); -- write tag value variable ddatain : std_logic_vector(31 downto 0); variable rdata : cdatatype; variable diagdata : std_logic_vector(31 downto 0); variable vmaskraw : std_logic_vector((ilinesize -1) downto 0); variable vmask : valid_type; variable xaddr_inc : std_logic_vector((ILINE_BITS -1) downto 0); variable lastline, nlastline, nnlastline : std_ulogic; variable enable : std_ulogic; variable error : std_ulogic; variable whit, hit, valid : std_ulogic; variable cacheon : std_ulogic; variable v : icache_control_type; variable branch : std_ulogic; variable eholdn : std_ulogic; variable mds, write : std_ulogic; variable memaddr : std_logic_vector(31 downto 2); variable set : integer range 0 to MAXSETS-1; variable setrepl : std_logic_vector(log2x(ISETS)-1 downto 0); -- set to replace variable ctwrite, cdwrite, validv : std_logic_vector(0 to MAXSETS-1); variable wlrr : std_ulogic; variable vl : lru_reg_type; variable vdiagset, rdiagset : integer range 0 to ISETS-1; variable lock : std_logic_vector(0 to ISETS-1); variable wlock : std_ulogic; variable tag : cdatatype; variable lramacc, ilramwr, lramcs : std_ulogic; variable pftag : std_logic_vector(31 downto 2); variable mmuici_trans_op : std_logic; variable mmuici_su : std_logic; begin -- init local variables v := r; vl := rl; vl.write := '0'; vl.set := r.setrepl; vl.waddr := r.waddress(OFFSET_HIGH downto OFFSET_LOW); mds := '1'; dwrite := '0'; twrite := '0'; diagen := '0'; error := '0'; write := mcio.ready; v.diagrdy := '0'; v.holdn := '1'; if icen /= 0 then cacheon := dco.icdiag.cctrl.ics(0) and not (r.flush ); else cacheon := '0'; end if; enable := '1'; branch := '0'; eholdn := dco.hold and fpuholdn; rdatasel := idata; -- read data from cache as default ddatain := mcio.data; -- load full word from memory wtag(TAG_HIGH downto TAG_LOW) := r.vaddress(TAG_HIGH downto TAG_LOW); wlrr := r.lrr; wlock := r.lock; set := 0; ctwrite := (others => '0'); cdwrite := (others => '0'); vdiagset := 0; rdiagset := 0; lock := (others => '0'); ilramwr := '0'; lramacc := '0'; lramcs := '0'; vdiagset := 0; rdiagset := 0; lock := (others => '0'); pftag := (others => '0'); validv := (others => '0'); v.trans_op := r.trans_op and (not mmuico.grant); mmuici_trans_op := r.trans_op; mmuici_su := ici.su; -- random replacement counter if ISETS > 1 then if conv_integer(r.rndcnt) = (ISETS - 1) then v.rndcnt := (others => '0'); else v.rndcnt := r.rndcnt + 1; end if; end if; -- generate lock bits if isetlock = 1 then for i in 0 to ISETS-1 loop lock(i) := icramo.tag(i)(CTAG_LOCKPOS); end loop; end if; --local ram access if (lram = 1) and (ici.fpc(31 downto 24) = LRAM_START) then lramacc := '1'; end if; -- generate cache hit and valid bits hit := '0'; if irepl = dir then set := conv_integer(ici.fpc(OFFSET_HIGH + SETBITS downto OFFSET_HIGH+1)); if (icramo.tag(set)(TAG_HIGH downto TAG_LOW) = ici.fpc(TAG_HIGH downto TAG_LOW)) and ((icramo.ctx(set) = mmudci.mmctrl1.ctx) or (mmudci.mmctrl1.e = '0') or not M_EN) then hit := not r.flush; end if; validv(set) := genmux(ici.fpc(LINE_HIGH downto LINE_LOW), icramo.tag(set)(ilinesize -1 downto 0)); else for i in ISETS-1 downto 0 loop if (icramo.tag(i)(TAG_HIGH downto TAG_LOW) = ici.fpc(TAG_HIGH downto TAG_LOW)) and ((icramo.ctx(i) = mmudci.mmctrl1.ctx) or (mmudci.mmctrl1.e = '0') or not M_EN) then hit := not r.flush; set := i; end if; validv(i) := genmux(ici.fpc(LINE_HIGH downto LINE_LOW), icramo.tag(i)(ilinesize -1 downto 0)); end loop; end if; if (lramacc = '1') and (ISETS > 1) then set := 1; end if; if ici.fpc(LINE_HIGH downto LINE_LOW) = lline then lastline := '1'; else lastline := '0'; end if; if r.waddress(LINE_HIGH downto LINE_LOW) = lline((ILINE_BITS -1) downto 0) then nlastline := '1'; else nlastline := '0'; end if; if r.waddress(LINE_HIGH downto LINE_LOW+1) = lline((ILINE_BITS -1) downto 1) then nnlastline := '1'; else nnlastline := '0'; end if; valid := validv(set); xaddr_inc := r.waddress(LINE_HIGH downto LINE_LOW) + 1; if mcio.ready = '1' then v.waddress(LINE_HIGH downto LINE_LOW) := xaddr_inc; end if; xaddr_inc := r.vaddress(LINE_HIGH downto LINE_LOW) + 1; if mcio.ready = '1' then v.vaddress(LINE_HIGH downto LINE_LOW) := xaddr_inc; end if; taddr := ici.rpc(TAG_HIGH downto LINE_LOW); -- main state machine case r.istate is when idle => -- main state and cache hit for i in 0 to ISETS-1 loop v.valid(i) := icramo.tag(i)(ilinesize-1 downto 0); end loop; --v.hit := '0'; v.hit := hit; v.su := ici.su; -- if (ici.inull or eholdn) = '0' then if eholdn = '0' then taddr := ici.fpc(TAG_HIGH downto LINE_LOW); else taddr := ici.rpc(TAG_HIGH downto LINE_LOW); end if; v.burst := dco.icdiag.cctrl.burst and not lastline; if (eholdn and not (ici.inull or lramacc)) = '1' then if not (cacheon and hit and valid) = '1' then v.istate := streaming; v.holdn := '0'; v.overrun := '1'; if M_EN and (mmudci.mmctrl1.e = '1') then v.istate := trans; mmuici_trans_op := '1'; v.trans_op := not mmuico.grant; v.cache := '0'; --v.req := '0'; else v.req := '1'; v.cache := '1'; end if; else if (ISETS > 1) and (irepl = lru) then vl.write := '1'; end if; end if; v.waddress := ici.fpc(31 downto 2); v.vaddress := ici.fpc(31 downto 2); end if; if dco.icdiag.enable = '1' then diagen := '1'; end if; ddatain := dci.maddress; if (ISETS > 1) then if (irepl = lru) then vl.set := conv_std_logic_vector(set, SETBITS); vl.waddr := ici.fpc(OFFSET_HIGH downto OFFSET_LOW); end if; v.setrepl := conv_std_logic_vector(set, SETBITS); if (((not hit) and (not r.flush)) = '1') then case irepl is when rnd => if isetlock = 1 then if lock(conv_integer(r.rndcnt)) = '0' then v.setrepl := r.rndcnt; else v.setrepl := conv_std_logic_vector(ISETS-1, SETBITS); for i in ISETS-1 downto 0 loop if (lock(i) = '0') and (i>conv_integer(r.rndcnt)) then v.setrepl := conv_std_logic_vector(i, SETBITS); end if; end loop; end if; else v.setrepl := r.rndcnt; end if; when dir => v.setrepl := ici.fpc(OFFSET_HIGH+SETBITS downto OFFSET_HIGH+1); when lru => v.setrepl := lru_set(rl.lru(conv_integer(ici.fpc(OFFSET_HIGH downto OFFSET_LOW))), lock(0 to ISETS-1)); when lrr => v.setrepl := (others => '0'); if isetlock = 1 then if lock(0) = '1' then v.setrepl(0) := '1'; else v.setrepl(0) := icramo.tag(0)(CTAG_LRRPOS) xor icramo.tag(1)(CTAG_LRRPOS); end if; else v.setrepl(0) := icramo.tag(0)(CTAG_LRRPOS) xor icramo.tag(1)(CTAG_LRRPOS); end if; if v.setrepl(0) = '0' then v.lrr := not icramo.tag(0)(CTAG_LRRPOS); else v.lrr := icramo.tag(0)(CTAG_LRRPOS); end if; end case; end if; if (isetlock = 1) then if (hit and lock(set)) = '1' then v.lock := '1'; else v.lock := '0'; end if; end if; end if; when trans => if M_EN then v.holdn := '0'; if (mmuico.transdata.finish = '1') then if (mmuico.transdata.accexc) = '1' and ((mmudci.mmctrl1.nf) /= '1' or (r.su) = '1') then -- if su then always do mexc error := '1'; mds := '0'; v.holdn := '0'; v.istate := stop; v.burst := '0'; else v.cache := mmuico.transdata.cache; v.waddress := mmuico.transdata.data(31 downto 2); v.istate := streaming; v.req := '1'; end if; end if; end if; when streaming => -- streaming: update cache and send data to IU rdatasel := memory; taddr(TAG_HIGH downto LINE_LOW) := r.vaddress(TAG_HIGH downto LINE_LOW); branch := (ici.fbranch and r.overrun) or (ici.rbranch and (not r.overrun)); v.underrun := r.underrun or (write and ((ici.inull or not eholdn) and (mcio.ready and not (r.overrun and not r.underrun)))); v.overrun := (r.overrun or (eholdn and not ici.inull)) and not (write or r.underrun); if mcio.ready = '1' then -- mds := not (v.overrun and not r.underrun); mds := not (r.overrun and not r.underrun); -- v.req := r.burst; v.burst := v.req and not (nnlastline and mcio.ready); end if; if mcio.grant = '1' then v.req := dco.icdiag.cctrl.burst and r.burst and (not (nnlastline and mcio.ready)) and (dco.icdiag.cctrl.burst or (not branch)) and not (v.underrun and not cacheon); v.burst := v.req and not (nnlastline and mcio.ready); end if; v.underrun := (v.underrun or branch) and not v.overrun; v.holdn := not (v.overrun or v.underrun); if (mcio.ready = '1') and (r.req = '0') then --(v.burst = '0') then v.underrun := '0'; v.overrun := '0'; v.istate := stop; v.holdn := '0'; end if; when stop => -- return to main taddr := ici.fpc(TAG_HIGH downto LINE_LOW); v.istate := idle; v.flush := r.flush2; when others => v.istate := idle; end case; if mcio.retry = '1' then v.req := '1'; end if; if lram = 1 then if LRAMCS_EN then if taddr(31 downto 24) = LRAM_START then lramcs := '1'; else lramcs := '0'; end if; else lramcs := '1'; end if; end if; -- Generate new valid bits write strobe vmaskraw := decode(r.waddress(LINE_HIGH downto LINE_LOW)); twrite := write; if cacheon = '0' then twrite := '0'; vmask := (others => (others => '0')); elsif (dco.icdiag.cctrl.ics = "01") then twrite := twrite and r.hit; for i in 0 to ISETS-1 loop vmask(i) := icramo.tag(i)(ilinesize-1 downto 0) or vmaskraw; end loop; else for i in 0 to ISETS-1 loop if r.hit = '1' then vmask(i) := r.valid(i) or vmaskraw; else vmask(i) := vmaskraw; end if; end loop; end if; if (mcio.mexc or not mcio.cache) = '1' then twrite := '0'; dwrite := '0'; else dwrite := twrite; end if; if twrite = '1' then v.valid := vmask; v.hit := '1'; if (ISETS > 1) and (irepl = lru) then vl.write := '1'; end if; end if; if (ISETS > 1) and (irepl = lru) and (rl.write = '1') then vl.lru(conv_integer(rl.waddr)) := lru_calc(rl.lru(conv_integer(rl.waddr)), rl.set); end if; -- cache write signals if ISETS > 1 then setrepl := r.setrepl; else setrepl := (others => '0'); end if; if twrite = '1' then ctwrite(conv_integer(setrepl)) := '1'; end if; if dwrite = '1' then cdwrite(conv_integer(setrepl)) := '1'; end if; -- diagnostic cache access if diagen = '1' then if (ISETS /= 1) then if (dco.icdiag.ilramen = '1') and (lram = 1) then v.diagset := conv_std_logic_vector(1, SETBITS); else v.diagset := dco.icdiag.addr(SETBITS -1 + TAG_LOW downto TAG_LOW); end if; end if; end if; case ISETS is when 1 => vdiagset := 0; rdiagset := 0; when 3 => if conv_integer(v.diagset) < 3 then vdiagset := conv_integer(v.diagset); end if; if conv_integer(r.diagset) < 3 then rdiagset := conv_integer(r.diagset); end if; when others => vdiagset := conv_integer(v.diagset); rdiagset := conv_integer(r.diagset); end case; diagdata := icramo.data(rdiagset); if diagen = '1' then -- diagnostic or local ram access taddr(TAG_HIGH downto LINE_LOW) := dco.icdiag.addr(TAG_HIGH downto LINE_LOW); wtag(TAG_HIGH downto TAG_LOW) := dci.maddress(TAG_HIGH downto TAG_LOW); wlrr := dci.maddress(CTAG_LRRPOS); wlock := dci.maddress(CTAG_LOCKPOS); if (dco.icdiag.ilramen = '1') and (lram = 1) then ilramwr := not dco.icdiag.read; elsif dco.icdiag.tag = '1' then twrite := not dco.icdiag.read; dwrite := '0'; ctwrite := (others => '0'); cdwrite := (others => '0'); ctwrite(vdiagset) := not dco.icdiag.read; diagdata := icramo.tag(rdiagset); else dwrite := not dco.icdiag.read; twrite := '0'; cdwrite := (others => '0'); cdwrite(vdiagset) := not dco.icdiag.read; ctwrite := (others => '0'); end if; vmask := (others => dci.maddress(ilinesize -1 downto 0)); v.diagrdy := '1'; end if; -- select data to return on read access rdata := icramo.data; case rdatasel is when memory => rdata(0) := mcio.data; set := 0; when others => end case; -- cache flush if ((ici.flush or dco.icdiag.flush) = '1') and (icen /= 0) then v.flush := '1'; v.flush2 := '1'; v.faddr := (others => '0'); v.pflush := dco.icdiag.pflush; wtag := (others => '0'); v.pflushr := '1'; v.pflushaddr := dco.icdiag.pflushaddr; v.pflushtyp := dco.icdiag.pflushtyp; end if; if (r.flush2 = '1') and (icen /= 0) then twrite := '1'; ctwrite := (others => '1'); vmask := (others => (others => '0')); v.faddr := r.faddr + 1; taddr(OFFSET_HIGH downto OFFSET_LOW) := r.faddr; wlrr := '0'; wlock := '0'; wtag := (others => '0'); v.lrr := '0'; if ((r.faddr(IOFFSET_BITS -1) and not v.faddr(IOFFSET_BITS -1)) ) = '1' then v.flush2 := '0'; end if; -- precise flush, ASI_FLUSH_PAGE & ASI_FLUSH_CTX if M_EN then if r.pflush = '1' then twrite := '0'; ctwrite := (others => '0'); v.pflushr := not r.pflushr; if r.pflushr = '0' then for i in ISETS-1 downto 0 loop pftag(OFFSET_HIGH downto OFFSET_LOW) := r.faddr; pftag(TAG_HIGH downto TAG_LOW) := icramo.tag(i)(TAG_HIGH downto TAG_LOW); --icramo.itramout(i).tag; --if (icramo.itramout(i).ctx = mmudci.mmctrl1.ctx) and -- ((pftag(VA_I_U downto VA_I_D) = r.pflushaddr(VA_I_U downto VA_I_D)) or -- (r.pflushtyp = '1')) then ctwrite(i) := '1'; --end if; end loop; end if; end if; end if; end if; -- reset if (not RESET_ALL) and (rst = '0') then v.istate := idle; v.req := '0'; v.burst := '0'; v.holdn := '1'; v.flush := '0'; v.flush2 := '0'; v.overrun := '0'; v.underrun := '0'; v.rndcnt := (others => '0'); v.lrr := '0'; v.setrepl := (others => '0'); v.diagset := (others => '0'); v.lock := '0'; v.waddress := ici.fpc(31 downto 2); v.vaddress := ici.fpc(31 downto 2); v.trans_op := '0'; end if; if (not RESET_ALL and rst = '0') or (r.flush = '1') then vl.lru := (others => (others => '0')); end if; -- Drive signals c <= v; -- register inputs cl <= vl; -- lru register inputs -- tag ram inputs enable := enable and not dco.icdiag.scanen; for i in 0 to ISETS-1 loop tag(i) := (others => '0'); tag(i)(ilinesize-1 downto 0) := vmask(i); tag(i)(TAG_HIGH downto TAG_LOW) := wtag; tag(i)(CTAG_LRRPOS) := wlrr; tag(i)(CTAG_LOCKPOS) := wlock; end loop; icrami.tag <= tag; icrami.tenable <= enable; icrami.twrite <= ctwrite; icrami.flush <= r.flush2; icrami.ctx <= mmudci.mmctrl1.ctx; -- data ram inputs icrami.denable <= enable; icrami.address <= taddr(19+LINE_LOW downto LINE_LOW); icrami.data <= ddatain; icrami.dwrite <= cdwrite; -- local ram inputs icrami.ldramin.enable <= (dco.icdiag.ilramen or lramcs or lramacc) and not dco.icdiag.scanen; icrami.ldramin.read <= dco.icdiag.ilramen or lramacc; icrami.ldramin.write <= ilramwr; -- memory controller inputs mcii.address(31 downto 2) <= r.waddress(31 downto 2); mcii.address(1 downto 0) <= "00"; mcii.su <= r.su; mcii.burst <= r.burst and r.req; mcii.req <= r.req; mcii.flush <= r.flush; -- mmu <-> icache mmuici.trans_op <= mmuici_trans_op; mmuici.transdata.data <= r.waddress(31 downto 2) & "00"; mmuici.transdata.su <= r.su; mmuici.transdata.isid <= id_icache; mmuici.transdata.read <= '1'; mmuici.transdata.wb_data <= (others => '0'); -- IU data cache inputs ico.data <= rdata; ico.mexc <= mcio.mexc or error; ico.hold <= r.holdn; ico.mds <= mds; ico.flush <= r.flush; ico.diagdata <= diagdata; ico.diagrdy <= r.diagrdy; ico.set <= conv_std_logic_vector(set, 2); ico.cfg <= icfg; ico.cstat <= cstat_none; if r.istate = idle then ico.idle <= '1'; else ico.idle <= '0'; end if; end process; -- Local registers regs1 : process(clk) begin if rising_edge(clk) then r <= c; if RESET_ALL and (rst = '0') then r <= RRES; r.waddress <= ici.fpc(31 downto 2); r.vaddress <= ici.fpc(31 downto 2); end if; end if; end process; regs2 : if (ISETS > 1) and (irepl = lru) generate regs2 : process(clk) begin if rising_edge(clk) then rl <= cl; if RESET_ALL and (rst = '0') then rl <= LRES; end if; end if; end process; end generate; nolru : if (ISETS = 1) or (irepl /= lru) generate rl.write <= '0'; rl.waddr <= (others => '0'); rl.set <= (others => '0'); rl.lru <= (others => (others => '0')); end generate; -- pragma translate_off chk : process begin assert not ((ISETS > 2) and (irepl = lrr)) report "Wrong instruction cache configuration detected: LRR replacement requires 2 sets" severity failure; wait; end process; -- pragma translate_on end ;
gpl-2.0
0a9bf278918f2c147d15873977b11898
0.550219
3.472185
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/ddr/ddr2spa.vhd
1
8,942
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ddr2spa -- File: ddr2spa.vhd -- Author: Nils-Johan Wessman - Gaisler Research -- Description: 16-, 32- or 64-bit DDR2 memory controller module. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; library gaisler; use grlib.devices.all; use gaisler.ddrpkg.all; library techmap; use techmap.gencomp.all; entity ddr2spa is generic ( fabtech : integer := virtex4; memtech : integer := 0; rskew : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; TRFC : integer := 130; clkmul : integer := 2; clkdiv : integer := 2; col : integer := 9; Mbyte : integer := 16; rstdel : integer := 200; pwron : integer := 0; oepol : integer := 0; ddrbits : integer := 16; ahbfreq : integer := 50; readdly : integer := 1; -- 1 added read latency cycle ddelayb0 : integer := 0; -- Data delay value (0 - 63) ddelayb1 : integer := 0; -- Data delay value (0 - 63) ddelayb2 : integer := 0; -- Data delay value (0 - 63) ddelayb3 : integer := 0; -- Data delay value (0 - 63) ddelayb4 : integer := 0; -- Data delay value (0 - 63) ddelayb5 : integer := 0; -- Data delay value (0 - 63) ddelayb6 : integer := 0; -- Data delay value (0 - 63) ddelayb7 : integer := 0; -- Data delay value (0 - 63) cbdelayb0 : integer := 0; -- Data delay value (0 - 63) cbdelayb1 : integer := 0; -- Data delay value (0 - 63) cbdelayb2 : integer := 0; -- Data delay value (0 - 63) cbdelayb3 : integer := 0; -- Data delay value (0 - 63) numidelctrl : integer := 4; norefclk : integer := 0; odten : integer := 0; octen : integer := 0; dqsgating : integer := 0; nosync : integer := 0; -- Disable sync registers at CD crossings eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; -- single ended DQS burstlen : integer range 4 to 128 := 8; ahbbits : integer := ahbdw; ft : integer range 0 to 1 := 0; ftbits : integer := 0; bigmem : integer range 0 to 1 := 0; raspipe : integer range 0 to 1 := 0; nclk : integer range 1 to 3 := 3; scantest : integer := 0 ); port ( rst_ddr : in std_ulogic; rst_ahb : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; clkref200 : in std_logic; lock : out std_ulogic; -- DCM locked clkddro : out std_ulogic; -- DDR clock clkddri : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector((ddrbits+ftbits)/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector((ddrbits+ftbits)/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector((ddrbits+ftbits)/8-1 downto 0); -- ddr dqsn ddr_ad : out std_logic_vector(13 downto 0); -- ddr address ddr_ba : out std_logic_vector(1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector((ddrbits+ftbits)-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(1 downto 0); ce : out std_logic -- Corrected error (for FT) ); end; architecture rtl of ddr2spa is constant DDR_FREQ : integer := (clkmul * MHz) / clkdiv; signal sdi : ddrctrl_in_type; signal sdo : ddrctrl_out_type; --signal clkread : std_ulogic; -- Reset scheme: -- 1. rst_ddr inport is a raw async reset brought in from the outside - goes to PHY/PLL:s -- 2. lock signal from PHY/PLLs goes out through lock outport to external -- ahb rstgen and internal ddr reset gen -- 3. AMBA synchronous reset signal rst_ahb comes back in -- DDR Clock scheme: -- 1. clk_ddr (and clkref200) goes into PHY -- 2. clkddro comes out from PHY and goes out through clkddro port -- 3. clkddri comes back in and is used to clock DDR-side logic signal ilock: std_ulogic; signal ddr_rst: std_logic; signal ddr_rst_gen: std_logic_vector(3 downto 0); constant ddr_syncrst: integer := 0; begin lock <= ilock; ddr_rst <= (ddr_rst_gen(3) and ddr_rst_gen(2) and ddr_rst_gen(1) and rst_ahb); -- Reset signal in DDR clock domain ddrrstproc: process(clkddri, ilock) begin if rising_edge(clkddri) then ddr_rst_gen <= ddr_rst_gen(2 downto 0) & '1'; if ddr_syncrst /= 0 and rst_ahb='0' then ddr_rst_gen <= "0000"; end if; end if; if ddr_syncrst=0 and ilock='0' then ddr_rst_gen <= "0000"; end if; end process; nftphy: if true generate ddr_phy0 : ddr2phy_wrap_cbd generic map ( tech => fabtech, MHz => MHz, dbits => ddrbits, rstdelay => 0, clk_mul => clkmul, clk_div => clkdiv, ddelayb0 => ddelayb0, ddelayb1 => ddelayb1, ddelayb2 => ddelayb2, ddelayb3 => ddelayb3, ddelayb4 => ddelayb4, ddelayb5 => ddelayb5, ddelayb6 => ddelayb6, ddelayb7 => ddelayb7, cbdelayb0=> cbdelayb0, cbdelayb1=> cbdelayb1, cbdelayb2=> cbdelayb2,cbdelayb3=> cbdelayb3, numidelctrl => numidelctrl, norefclk => norefclk, rskew => rskew, eightbanks => eightbanks, dqsse => dqsse, chkbits => ftbits*ft, padbits => ftbits*(1-ft), ctrl2en => 0, resync => 0, custombits => 8, nclk => nclk, scantest => scantest ) port map ( rst_ddr, clk_ddr, clkref200, clkddro, clkddri, clkddri, ilock, ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_dqsn, ddr_ad, ddr_ba, ddr_dq, ddr_odt, open, open, open, open, open, sdi, sdo, clkddri, "00000000", open, ahbsi.testen, ahbsi.scanen, ahbsi.testrst, ahbsi.testoen); end generate; ddrc : ddr2spax generic map (memtech => memtech, phytech => fabtech, hindex => hindex, haddr => haddr, hmask => hmask, ioaddr => ioaddr, iomask => iomask, ddrbits => ddrbits, pwron => pwron, MHz => DDR_FREQ, TRFC => TRFC, col => col, Mbyte => Mbyte, readdly => readdly, odten => odten, octen => octen, dqsgating => dqsgating, nosync => nosync, eightbanks => eightbanks, dqsse => dqsse, burstlen => burstlen, ahbbits => ahbbits, ft => ft, ddr_syncrst => ddr_syncrst, bigmem => bigmem, raspipe => raspipe, hwidthen => 0, rstdel => rstdel) port map (ddr_rst, rst_ahb, clkddri, clk_ahb, ahbsi, ahbso, sdi, sdo, '0'); ce <= sdo.ce; end;
gpl-2.0
8440434afdb18f1b528447b953553bfc
0.542049
3.798641
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/lib/gaisler/i2c/i2cmst.vhd
1
11,699
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: i2cmst -- File: i2cmst.vhd -- Author: Jan Andersson - Gaisler Research -- Contact: [email protected] -- Description: -- -- APB interface to OpenCores I2C-master. This is an GRLIB AMBA wrapper -- that instantiates the byte- and bit-controller of the OpenCores I2C -- master (OC core developed by Richard Herveille, [email protected]). -- The OC byte- and bit-controller are located under lib/opencores/i2c -- -- The original master had a WISHBONE interface with registers -- aligned at byte boundaries. This wrapper has a slighly different -- alignment of the registers, and also (optionally) adds a filter -- filter register (FR): -- -- +------------+--------------------------------------+ -- | Offset | Bits in word | -- | |---------+---------+---------+--------+ -- | | 31 - 24 | 23 - 16 | 15 - 8 | 7 - 0 | -- +------------+---------+---------+---------+--------+ -- | 0x00 | 0x00 | 0x00 | PRERhi | PRERlo | -- | 0x04 | 0x00 | 0x00 | 0x00 | CTR | -- | 0x08 | 0x00 | 0x00 | 0x00 | TXR | -- | 0x08 | 0x00 | 0x00 | 0x00 | RXR | -- | 0x0C | 0x00 | 0x00 | 0x00 | CR | -- | 0x0C | 0x00 | 0x00 | 0x00 | SR | -- | 0x10 | FR | -- +------------+---------+---------+---------+--------+ -- -- Revision 1 of this core also sets the TIP bit when STO is set. -- -- Revision 2 of this core adds a filter generic to adjust the low pass filter -- -- Revision 3 of this core adds yet another filter generic that can be set to -- make the filter soft configurable. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library grlib; use grlib.amba.all; use grlib.devices.all; use grlib.stdlib.all; library gaisler; use gaisler.i2c.all; library opencores; use opencores.i2coc.all; entity i2cmst is generic ( -- APB generics pindex : integer := 0; -- slave bus index paddr : integer := 0; pmask : integer := 16#fff#; pirq : integer := 0; -- interrupt index oepol : integer range 0 to 1 := 0; -- output enable polarity filter : integer range 2 to 512 := 2; -- filter bit size dynfilt : integer range 0 to 1 := 0); port ( rstn : in std_ulogic; clk : in std_ulogic; -- APB signals apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; -- I2C signals i2ci : in i2c_in_type; i2co : out i2c_out_type ); end entity i2cmst; architecture rtl of i2cmst is ----------------------------------------------------------------------------- -- Constants ----------------------------------------------------------------------------- constant I2CMST_REV : integer := 3; constant PCONFIG : apb_config_type := ( 0 => ahb_device_reg(VENDOR_GAISLER, GAISLER_I2CMST, 0, I2CMST_REV, pirq), 1 => apb_iobar(paddr, pmask)); constant PRER_addr : std_logic_vector(7 downto 2) := "000000"; constant CTR_addr : std_logic_vector(7 downto 2) := "000001"; constant TXR_addr : std_logic_vector(7 downto 2) := "000010"; constant RXR_addr : std_logic_vector(7 downto 2) := "000010"; constant CR_addr : std_logic_vector(7 downto 2) := "000011"; constant SR_addr : std_logic_vector(7 downto 2) := "000011"; constant FR_addr : std_logic_vector(7 downto 2) := "000100"; ----------------------------------------------------------------------------- -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Types ----------------------------------------------------------------------------- -- Register interface type ctrl_reg_type is record -- Control register en : std_ulogic; ien : std_ulogic; end record; type cmd_reg_type is record -- Command register sta : std_ulogic; sto : std_ulogic; rd : std_ulogic; wr : std_ulogic; ack : std_ulogic; end record; type sts_reg_type is record -- Status register rxack : std_ulogic; busy : std_ulogic; al : std_ulogic; tip : std_ulogic; ifl : std_ulogic; end record; -- Core registers type i2c_reg_type is record -- i2c registers prer : std_logic_vector(15 downto 0); -- clock prescale register ctrl : ctrl_reg_type; -- control register txr : std_logic_vector(7 downto 0); -- transmit register cmd : cmd_reg_type; -- command register sts : sts_reg_type; -- status register filt : std_logic_vector((filter-1)*dynfilt downto 0); -- filter register -- irq : std_ulogic; end record; -- Signals to and from byte controller block signal rxr : std_logic_vector(7 downto 0); -- Receive register signal done : std_logic; -- Signals completion of command signal rxack : std_logic; -- Received acknowledge signal busy : std_logic; -- I2C core busy signal al : std_logic; -- Aribitration lost signal irst : std_ulogic; -- Internal, negated reset signal signal iscloen : std_ulogic; -- Internal SCL output enable signal isdaoen : std_ulogic; -- Internal SDA output enable -- Register interface signal r, rin : i2c_reg_type; signal vcc : std_logic; begin -- Byte Controller from OpenCores I2C master, -- by Richard Herveille ([email protected]). The asynchronous -- reset is tied to '1'. Only the synchronous reset is used. vcc <= '1'; byte_ctrl: i2c_master_byte_ctrl generic map ( filter => filter, dynfilt => dynfilt) port map ( clk => clk, rst => irst, nReset => vcc, ena => r.ctrl.en, clk_cnt => r.prer, start => r.cmd.sta, stop => r.cmd.sto, read => r.cmd.rd, write => r.cmd.wr, ack_in => r.cmd.ack, din => r.txr, filt => r.filt, cmd_ack => done, ack_out => rxack, i2c_busy => busy, i2c_al => al, dout => rxr, scl_i => i2ci.scl, scl_o => i2co.scl, scl_oen => iscloen, sda_i => i2ci.sda, sda_o => i2co.sda, sda_oen => isdaoen); -- OC I2C logic has active high reset. irst <= not rstn; i2co.enable <= r.ctrl.en; -- Fix output enable polarity soepol0: if oepol = 0 generate i2co.scloen <= iscloen; i2co.sdaoen <= isdaoen; end generate soepol0; soepol1: if oepol /= 0 generate i2co.scloen <= not iscloen; i2co.sdaoen <= not isdaoen; end generate soepol1; comb: process (r, rstn, rxr, rxack, busy, al, done, apbi) variable v : i2c_reg_type; variable irq : std_logic_vector((NAHBIRQ-1) downto 0); variable apbaddr : std_logic_vector(7 downto 2); variable apbout : std_logic_vector(31 downto 0); begin -- process comb v := r; v.irq := '0'; irq := (others=>'0'); irq(pirq) := r.irq; apbaddr := apbi.paddr(7 downto 2); apbout := (others => '0'); -- Command done or arbitration lost, clear command register if (done or al) = '1' then v.cmd := ('0', '0', '0', '0', '0'); end if; -- Update status register v.sts := (rxack => rxack, busy => busy, al => al or (r.sts.al and not r.cmd.sta), tip => r.cmd.rd or r.cmd.wr or r.cmd.sto, ifl => done or al or r.sts.ifl); v.irq := (done or al) and r.ctrl.ien; -- read registers if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then case apbaddr is when PRER_addr => apbout(15 downto 0) := r.prer; when CTR_addr => apbout(7 downto 6) := r.ctrl.en & r.ctrl.ien; when RXR_addr => apbout(7 downto 0) := rxr; when SR_addr => apbout(7 downto 5) := r.sts.rxack & r.sts.busy & r.sts.al; apbout(1 downto 0) := r.sts.tip & r.sts.ifl; when FR_addr => if dynfilt /= 0 then apbout(r.filt'range) := r.filt; end if; when others => null; end case; end if; -- write registers if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case apbaddr is when PRER_addr => v.prer := apbi.pwdata(15 downto 0); when CTR_addr => v.ctrl.en := apbi.pwdata(7); v.ctrl.ien := apbi.pwdata(6); when TXR_addr => v.txr := apbi.pwdata(7 downto 0); when CR_addr => -- Check that core is enabled and that WR and RD has been cleared -- before accepting new command. if (r.ctrl.en and not (r.cmd.wr or r.cmd.rd)) = '1' then v.cmd.sta := apbi.pwdata(7); v.cmd.sto := apbi.pwdata(6); v.cmd.rd := apbi.pwdata(5); v.cmd.wr := apbi.pwdata(4); v.cmd.ack := apbi.pwdata(3); end if; -- Bit 0 of CR is interrupt acknowledge. The core will only pulse one -- interrupt per irq event. Software does not have to clear the -- interrupt flag... if apbi.pwdata(0) = '1' then v.sts.ifl := '0'; end if; when FR_addr => if dynfilt /= 0 then v.filt := apbi.pwdata(r.filt'range); end if; when others => null; end case; end if; if rstn = '0' then v.prer := (others => '1'); v.ctrl := ('0', '0'); v.txr := (others => '0'); v.cmd := ('0','0','0','0', '0'); v.sts := ('0','0','0','0', '0'); if dynfilt /= 0 then v.filt := (others => '1'); end if; end if; if dynfilt = 0 then v.filt := (others => '0'); end if; -- Update registers rin <= v; -- Update outputs apbo.prdata <= apbout; apbo.pirq <= irq; apbo.pconfig <= PCONFIG; apbo.pindex <= pindex; end process comb; reg: process (clk) begin -- process reg if rising_edge(clk) then r <= rin; end if; end process reg; -- Boot message -- pragma translate_off bootmsg : report_version generic map ( "i2cmst" & tost(pindex) & ": AMBA Wrapper for OC I2C-master rev " & tost(I2CMST_REV) & ", irq " & tost(pirq)); -- pragma translate_on end architecture rtl;
gpl-2.0
12905c804e6f248b1b16b5f33f2f11e3
0.516283
3.654795
false
false
false
false
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-xilinx-kc705/testbench.vhd
1
19,016
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2013 Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library micron; use micron.all; library techmap; use techmap.gencomp.all; use work.debug.all; use work.config.all; entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; testahb : boolean := true; USE_MIG_INTERFACE_MODEL : boolean := false ); end; architecture behav of testbench is -- DDR3 Simulation parameters constant SIM_BYPASS_INIT_CAL : string := "FAST"; -- # = "OFF" - Complete memory init & -- calibration sequence -- # = "SKIP" - Not supported -- # = "FAST" - Complete memory init & use -- abbreviated calib sequence constant SIMULATION : string := "TRUE"; -- Should be TRUE during design simulations and -- FALSE during implementations constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents signal clk : std_logic := '0'; signal Rst : std_logic := '0'; signal address : std_logic_vector(25 downto 0); signal data : std_logic_vector(15 downto 0); signal button : std_logic_vector(3 downto 0) := "0000"; signal genio : std_logic_vector(59 downto 0); signal romsn : std_logic; signal oen : std_ulogic; signal writen : std_ulogic; signal adv : std_logic; signal GND : std_ulogic := '0'; signal VCC : std_ulogic := '1'; signal NC : std_ulogic := 'Z'; signal txd1 , rxd1 , dsurx : std_logic; signal txd2 , rxd2 , dsutx : std_logic; signal ctsn1 , rtsn1 , dsuctsn : std_ulogic; signal ctsn2 , rtsn2 , dsurtsn : std_ulogic; signal phy_gtxclk : std_logic := '0'; signal phy_txer : std_ulogic; signal phy_txd : std_logic_vector(7 downto 0); signal phy_txctl_txen : std_ulogic; signal phy_txclk : std_ulogic; signal phy_rxer : std_ulogic; signal phy_rxd : std_logic_vector(7 downto 0); signal phy_rxctl_rxdv : std_ulogic; signal phy_rxclk : std_ulogic; signal phy_reset : std_ulogic; signal phy_mdio : std_logic; signal phy_mdc : std_ulogic; signal phy_crs : std_ulogic; signal phy_col : std_ulogic; signal phy_int : std_ulogic; signal phy_rxdl : std_logic_vector(7 downto 0); signal phy_txdl : std_logic_vector(7 downto 0); signal clk27 : std_ulogic := '0'; signal clk200p : std_ulogic := '0'; signal clk200n : std_ulogic := '1'; signal clk33 : std_ulogic := '0'; signal clkethp : std_ulogic := '0'; signal clkethn : std_ulogic := '1'; signal txp1 : std_logic; signal txn : std_logic; signal rxp : std_logic := '1'; signal rxn : std_logic := '0'; signal iic_scl : std_ulogic; signal iic_sda : std_ulogic; signal ddc_scl : std_ulogic; signal ddc_sda : std_ulogic; signal dvi_iic_scl : std_logic; signal dvi_iic_sda : std_logic; signal tft_lcd_data : std_logic_vector(11 downto 0); signal tft_lcd_clk_p : std_ulogic; signal tft_lcd_clk_n : std_ulogic; signal tft_lcd_hsync : std_ulogic; signal tft_lcd_vsync : std_ulogic; signal tft_lcd_de : std_ulogic; signal tft_lcd_reset_b : std_ulogic; -- DDR3 memory signal ddr3_dq : std_logic_vector(63 downto 0); signal ddr3_dqs_p : std_logic_vector(7 downto 0); signal ddr3_dqs_n : std_logic_vector(7 downto 0); signal ddr3_addr : std_logic_vector(13 downto 0); signal ddr3_ba : std_logic_vector(2 downto 0); signal ddr3_ras_n : std_logic; signal ddr3_cas_n : std_logic; signal ddr3_we_n : std_logic; signal ddr3_reset_n : std_logic; signal ddr3_ck_p : std_logic_vector(0 downto 0); signal ddr3_ck_n : std_logic_vector(0 downto 0); signal ddr3_cke : std_logic_vector(0 downto 0); signal ddr3_cs_n : std_logic_vector(0 downto 0); signal ddr3_dm : std_logic_vector(7 downto 0); signal ddr3_odt : std_logic_vector(0 downto 0); -- SPI flash signal spi_sel_n : std_ulogic; signal spi_clk : std_ulogic; signal spi_mosi : std_ulogic; signal dsurst : std_ulogic; signal errorn : std_logic; signal switch : std_logic_vector(3 downto 0); -- I/O port signal led : std_logic_vector(6 downto 0); -- I/O port constant lresp : boolean := false; signal tdqs_n : std_logic; signal gmii_tx_clk : std_logic; signal gmii_rx_clk : std_logic; signal gmii_txd : std_logic_vector(7 downto 0); signal gmii_tx_en : std_logic; signal gmii_tx_er : std_logic; signal gmii_rxd : std_logic_vector(7 downto 0); signal gmii_rx_dv : std_logic; signal gmii_rx_er : std_logic; component leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; testahb : boolean := false; SIM_BYPASS_INIT_CAL : string := "OFF"; SIMULATION : string := "FALSE"; USE_MIG_INTERFACE_MODEL : boolean := false ); port ( reset : in std_ulogic; clk200p : in std_ulogic; -- 200 MHz clock clk200n : in std_ulogic; -- 200 MHz clock address : out std_logic_vector(25 downto 0); data : inout std_logic_vector(15 downto 0); oen : out std_ulogic; writen : out std_ulogic; romsn : out std_logic; adv : out std_logic; ddr3_dq : inout std_logic_vector(63 downto 0); ddr3_dqs_p : inout std_logic_vector(7 downto 0); ddr3_dqs_n : inout std_logic_vector(7 downto 0); ddr3_addr : out std_logic_vector(13 downto 0); ddr3_ba : out std_logic_vector(2 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(0 downto 0); ddr3_ck_n : out std_logic_vector(0 downto 0); ddr3_cke : out std_logic_vector(0 downto 0); ddr3_cs_n : out std_logic_vector(0 downto 0); ddr3_dm : out std_logic_vector(7 downto 0); ddr3_odt : out std_logic_vector(0 downto 0); dsurx : in std_ulogic; dsutx : out std_ulogic; dsuctsn : in std_ulogic; dsurtsn : out std_ulogic; button : in std_logic_vector(3 downto 0); switch : inout std_logic_vector(3 downto 0); led : out std_logic_vector(6 downto 0); iic_scl : inout std_ulogic; iic_sda : inout std_ulogic; gtrefclk_p : in std_logic; gtrefclk_n : in std_logic; phy_gtxclk : out std_logic; --phy_txer : out std_ulogic; phy_txd : out std_logic_vector(3 downto 0); phy_txctl_txen : out std_ulogic; --phy_txclk : in std_ulogic; --phy_rxer : in std_ulogic; phy_rxd : in std_logic_vector(3 downto 0); phy_rxctl_rxdv : in std_ulogic; phy_rxclk : in std_ulogic; phy_reset : out std_ulogic; phy_mdio : inout std_logic; phy_mdc : out std_ulogic; phy_int : in std_ulogic ); end component; component ddr3_model generic( ADDR_BITS : integer := 14; BA_BITS : integer := 3; DM_BITS : integer := 1; DQ_BITS : integer := 8; DQS_BITS : integer := 1 ); port( rst_n : in std_logic; ck : in std_logic; ck_n : in std_logic; cke : in std_logic; cs_n : in std_logic; ras_n : in std_logic; cas_n : in std_logic; we_n : in std_logic; dm_tdqs : inout std_logic; ba : in std_logic_vector(2 downto 0); addr : in std_logic_vector(13 downto 0); dq : inout std_logic_vector(7 downto 0); dqs : inout std_logic; dqs_n : inout std_logic; tdqs_n : out std_logic_vector(0 to 0); odt : in std_logic ); end component; begin -- clock and reset clk200p <= not clk200p after 2.5 ns; clk200n <= not clk200n after 2.5 ns; clkethp <= not clkethp after 4 ns; clkethn <= not clkethp after 4 ns; rst <= not dsurst; rxd1 <= 'H'; ctsn1 <= '0'; rxd2 <= 'H'; ctsn2 <= '0'; button <= "0000"; switch(2 downto 0) <= "000"; cpu : leon3mp generic map ( fabtech => fabtech, memtech => memtech, padtech => padtech, clktech => clktech, disas => disas, dbguart => dbguart, pclow => pclow, testahb => testahb, SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL, SIMULATION => SIMULATION, USE_MIG_INTERFACE_MODEL => USE_MIG_INTERFACE_MODEL ) port map ( reset => rst, clk200p => clk200p, clk200n => clk200n, address => address, data => data, oen => oen, writen => writen, romsn => romsn, adv => adv, ddr3_dq => ddr3_dq, ddr3_dqs_p => ddr3_dqs_p, ddr3_dqs_n => ddr3_dqs_n, ddr3_addr => ddr3_addr, ddr3_ba => ddr3_ba, ddr3_ras_n => ddr3_ras_n, ddr3_cas_n => ddr3_cas_n, ddr3_we_n => ddr3_we_n, ddr3_reset_n => ddr3_reset_n, ddr3_ck_p => ddr3_ck_p, ddr3_ck_n => ddr3_ck_n, ddr3_cke => ddr3_cke, ddr3_cs_n => ddr3_cs_n, ddr3_dm => ddr3_dm, ddr3_odt => ddr3_odt, dsurx => dsurx, dsutx => dsutx, dsuctsn => dsuctsn, dsurtsn => dsurtsn, button => button, switch => switch, led => led, iic_scl => iic_scl, iic_sda => iic_sda, gtrefclk_p => clkethp, gtrefclk_n => clkethn, phy_gtxclk => phy_gtxclk, --phy_txer => phy_txer, phy_txd => phy_txd(3 downto 0), phy_txctl_txen => phy_txctl_txen, --phy_txclk => phy_txclk, --phy_rxer => phy_rxer, phy_rxd => phy_rxd(3 downto 0), phy_rxctl_rxdv => phy_rxctl_rxdv, phy_rxclk => phy_rxclk'delayed(1 ns), phy_reset => phy_reset, phy_mdio => phy_mdio, phy_mdc => phy_mdc, phy_int => phy_int ); prom0 : for i in 0 to 1 generate sr0 : sram generic map (index => i+4, abits => 22, fname => promfile) port map (address(21 downto 0), data(15-i*8 downto 8-i*8), romsn, writen, oen); end generate; -- Memory Models instantiations gen_mem_model : if (USE_MIG_INTERFACE_MODEL /= true) generate ddr3mem : if (CFG_MIG_SERIES7 = 1) generate gen_mem: for i in 0 to 7 generate u1: ddr3_model generic map( ADDR_BITS => 14, BA_BITS => 3, DM_BITS => 1, DQ_BITS => 8, DQS_BITS => 1 ) port map ( rst_n => ddr3_reset_n, ck => ddr3_ck_p(0), ck_n => ddr3_ck_n(0), cke => ddr3_cke(0), cs_n => ddr3_cs_n(0), ras_n => ddr3_ras_n, cas_n => ddr3_cas_n, we_n => ddr3_we_n, dm_tdqs => ddr3_dm(i), ba => ddr3_ba, addr => ddr3_addr, dq => ddr3_dq((8*i+7) downto (8*i)), dqs => ddr3_dqs_p(i), dqs_n => ddr3_dqs_n(i), tdqs_n => open, odt => ddr3_odt(0) ); end generate gen_mem; end generate ddr3mem; end generate gen_mem_model; mig_mem_model : if (USE_MIG_INTERFACE_MODEL = true) generate ddr3_dq <= (others => 'Z'); ddr3_dqs_p <= (others => 'Z'); ddr3_dqs_n <= (others => 'Z'); end generate mig_mem_model; errorn <= led(1); errorn <= 'H'; -- ERROR pull-up phy0 : if (CFG_GRETH = 1) generate phy_mdio <= 'H'; phy_int <= '0'; p0: phy generic map (address => 7) port map(phy_reset, phy_mdio, phy_txclk, phy_rxclk, phy_rxd, phy_rxctl_rxdv, phy_rxer, phy_col, phy_crs, phy_txd, phy_txctl_txen, phy_txer, phy_mdc, phy_gtxclk); end generate; iuerr : process begin wait for 210 us; -- This is for proper DDR3 behaviour durign init phase not needed durin simulation wait on led(3); -- DDR3 Memory Init ready wait for 5000 ns; if to_x01(errorn) = '1' then wait on errorn; end if; assert (to_x01(errorn) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; -- this should be a failure end process; data <= buskeep(data) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 320 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; switch(3) <= '0'; wait for 2500 ns; wait for 210 us; -- This is for proper DDR3 behaviour durign init phase not needed durin simulation dsurst <= '1'; switch(3) <= '1'; if (USE_MIG_INTERFACE_MODEL /= true) then wait on led(3); -- Wait for DDR3 Memory Init ready end if; report "Start DSU transfer"; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart -- Reads from memory and DSU register to mimic GRMON during simulation l1 : loop txc(dsutx, 16#80#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp); rxi(dsurx, w32, txp, lresp); --report "DSU read memory " & tost(w32); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); rxi(dsurx, w32, txp, lresp); --report "DSU Break and Single Step register" & tost(w32); end loop l1; wait; -- ** This is only kept for reference -- -- do test read and writes to DDR3 to check status -- Write txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#01#, 16#23#, 16#45#, 16#67#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp); txa(dsutx, 16#89#, 16#AB#, 16#CD#, 16#EF#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#08#, txp); txa(dsutx, 16#08#, 16#19#, 16#2A#, 16#3B#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#0C#, txp); txa(dsutx, 16#4C#, 16#5D#, 16#6E#, 16#7F#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp); rxi(dsurx, w32, txp, lresp); report "* Read " & tost(w32); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#08#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#0C#, txp); rxi(dsurx, w32, txp, lresp); wait; -- Register 0x90000000 (DSU Control Register) -- Data 0x0000202e (b0010 0000 0010 1110) -- [0] - Trace Enable -- [1] - Break On Error -- [2] - Break on IU watchpoint -- [3] - Break on s/w break points -- -- [4] - (Break on trap) -- [5] - Break on error traps -- [6] - Debug mode (Read mode only) -- [7] - DSUEN (read mode) -- -- [8] - DSUBRE (read mode) -- [9] - Processor mode error (clears error) -- [10] - processor halt (returns 1 if processor halted) -- [11] - power down mode (return 1 if processor in power down mode) txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#80#, 16#02#, txp); wait; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#2e#, txp); wait for 25000 ns; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0D#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#70#, 16#11#, 16#78#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#0D#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#00#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); wait; end; begin dsuctsn <= '0'; dsucfg(dsutx, dsurx); wait; end process; end ;
gpl-2.0
81e0fd8abbff634b66006790dc749391
0.538652
3.288259
false
false
false
false
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/median/prj/solution1/syn/vhdl/image_filter_Block_proc.vhd
4
9,088
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity image_filter_Block_proc is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; rows : IN STD_LOGIC_VECTOR (31 downto 0); cols : IN STD_LOGIC_VECTOR (31 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_2 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_3 : OUT STD_LOGIC_VECTOR (11 downto 0) ); end; architecture behav of image_filter_Block_proc is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv12_0 : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_done_reg : STD_LOGIC := '0'; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "1"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC; signal ap_sig_bdd_20 : BOOLEAN; signal ap_sig_bdd_38 : BOOLEAN; signal img_0_rows_V_fu_31_p1 : STD_LOGIC_VECTOR (11 downto 0); signal img_0_cols_V_fu_35_p1 : STD_LOGIC_VECTOR (11 downto 0); signal ap_return_0_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_1_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_2_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_3_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_st1_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_done_reg assign process. -- ap_done_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_done_reg <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_continue)) then ap_done_reg <= ap_const_logic_0; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_38))) then ap_done_reg <= ap_const_logic_1; end if; end if; end if; end process; -- ap_return_0_preg assign process. -- ap_return_0_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_0_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_38))) then ap_return_0_preg <= img_0_rows_V_fu_31_p1; end if; end if; end if; end process; -- ap_return_1_preg assign process. -- ap_return_1_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_1_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_38))) then ap_return_1_preg <= img_0_cols_V_fu_35_p1; end if; end if; end if; end process; -- ap_return_2_preg assign process. -- ap_return_2_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_2_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_38))) then ap_return_2_preg <= img_0_rows_V_fu_31_p1; end if; end if; end if; end process; -- ap_return_3_preg assign process. -- ap_return_3_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_3_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_38))) then ap_return_3_preg <= img_0_cols_V_fu_35_p1; end if; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_CS_fsm, ap_sig_bdd_38) begin case ap_CS_fsm is when ap_ST_st1_fsm_0 => ap_NS_fsm <= ap_ST_st1_fsm_0; when others => ap_NS_fsm <= "X"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_done_reg, ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_38) begin if (((ap_const_logic_1 = ap_done_reg) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_38)))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_38) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_38))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_return_0 assign process. -- ap_return_0_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_38, img_0_rows_V_fu_31_p1, ap_return_0_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_38))) then ap_return_0 <= img_0_rows_V_fu_31_p1; else ap_return_0 <= ap_return_0_preg; end if; end process; -- ap_return_1 assign process. -- ap_return_1_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_38, img_0_cols_V_fu_35_p1, ap_return_1_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_38))) then ap_return_1 <= img_0_cols_V_fu_35_p1; else ap_return_1 <= ap_return_1_preg; end if; end process; -- ap_return_2 assign process. -- ap_return_2_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_38, img_0_rows_V_fu_31_p1, ap_return_2_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_38))) then ap_return_2 <= img_0_rows_V_fu_31_p1; else ap_return_2 <= ap_return_2_preg; end if; end process; -- ap_return_3 assign process. -- ap_return_3_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_38, img_0_cols_V_fu_35_p1, ap_return_3_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_38))) then ap_return_3 <= img_0_cols_V_fu_35_p1; else ap_return_3 <= ap_return_3_preg; end if; end process; -- ap_sig_bdd_20 assign process. -- ap_sig_bdd_20_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_20 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1); end process; -- ap_sig_bdd_38 assign process. -- ap_sig_bdd_38_assign_proc : process(ap_start, ap_done_reg) begin ap_sig_bdd_38 <= ((ap_start = ap_const_logic_0) or (ap_done_reg = ap_const_logic_1)); end process; -- ap_sig_cseq_ST_st1_fsm_0 assign process. -- ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_20) begin if (ap_sig_bdd_20) then ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1; else ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0; end if; end process; img_0_cols_V_fu_35_p1 <= cols(12 - 1 downto 0); img_0_rows_V_fu_31_p1 <= rows(12 - 1 downto 0); end behav;
gpl-3.0
d288fdbaabd8ac642520be411c6f9adc
0.542474
2.963156
false
false
false
false
Luisda199824/ProcesadorMonociclo
WindowsManager.vhd
1
2,485
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.all; use IEEE.STD_LOGIC_ARITH.ALL; entity WindowsManager is Port ( rs1 : in STD_LOGIC_VECTOR (4 downto 0); rs2 : in STD_LOGIC_VECTOR (4 downto 0); rd : in STD_LOGIC_VECTOR (4 downto 0); cwp : in STD_LOGIC; op3 : in STD_LOGIC_VECTOR (5 downto 0); op : in STD_LOGIC_VECTOR (1 downto 0); nrs1 : out STD_LOGIC_VECTOR (5 downto 0); nrs2 : out STD_LOGIC_VECTOR (5 downto 0); ncwp : out STD_LOGIC; nrd : out STD_LOGIC_VECTOR (5 downto 0)); end WindowsManager; architecture Behavioral of WindowsManager is begin process(cwp, rs1, rs2, rd, op3, op) begin if(op = "10") then if(op3= "111100") then --Save (Restar) ncwp <= '0'; elsif(op3="111101") then --Restore (Sumar) ncwp <= '1'; else ncwp<=cwp; end if; end if; -- Globales if (conv_integer(rs1)>=0) and (conv_integer(rs1)<7) then nrs1 <= '0'&rs1; end if; if (conv_integer(rs2)>=0) and (conv_integer(rs2)<7) then nrs2 <= '0'&rs2; end if; if (conv_integer(rd)>=0) and (conv_integer(rd)<7) then nrd <= '0'&rd; end if; -- Registros de salida y locales if (conv_integer(rs1)>=8) and (conv_integer(rs1)<24) then if (cwp = '1') then if ((conv_integer(rs1)+16)<32) then nrs1 <= '0'&rs1+16; else nrs1 <= '0'&rs1+16; end if; else nrs1 <= '0'&rs1; end if; end if; if (conv_integer(rs2)>=8) and (conv_integer(rs2)<24) then if (cwp = '1') then if ((conv_integer(rs2)+16)<32) then nrs2 <= '0'&rs2+16; else nrs2 <= '0'&rs2+16; end if; else nrs2 <= '0'&rs2; end if; end if; if (conv_integer(rd)>=8) and (conv_integer(rd)<24) then if (cwp = '1') then if ((conv_integer(rd)+16)<32) then nrd <= '0'&rd+16; else nrd <= '0'&rd+16; end if; else nrd <= '0'&rd; end if; end if; -- Registros de entrada if (conv_integer(rs1)>=24) and (conv_integer(rs1)<32) then if (cwp = '1') then nrs1 <= rs1+16; else nrs1 <= '0'&rs1; end if; end if; if (conv_integer(rs2)>=24) and (conv_integer(rs2)<32) then if (cwp = '1') then nrs2 <= '0'&rs2+16; else nrs2 <= '0'&rs2; end if; end if; if (conv_integer(rd)>=24) and (conv_integer(rd)<32) then if (cwp = '1') then nrd <= '0'&rd-16; else nrd <= '0'&rd; end if; end if; end process; end Behavioral;
mit
4b9e707b219ccaa640a76bf81ce06a62
0.55332
2.548718
false
false
false
false
Fairyland0902/BlockyRoads
src/BlockyRoads/ipcore_dir/car/example_design/car_exdes.vhd
1
4,306
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: car_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY car_exdes IS PORT ( --Inputs - Port A ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); CLKA : IN STD_LOGIC ); END car_exdes; ARCHITECTURE xilinx OF car_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT car IS PORT ( --Port A ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bmg0 : car PORT MAP ( --Port A ADDRA => ADDRA, DOUTA => DOUTA, CLKA => CLKA_buf ); END xilinx;
mit
81d97eb8e078258beb4509d4b07ff0a2
0.572689
4.821948
false
false
false
false
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_skid2mm_buf.vhd
5
17,065
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_skid2mm_buf.vhd -- -- Description: -- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode. -- -- This Module also provides Write Data Bus Mirroring and WSTRB -- Demuxing to match a narrow Stream to a wider MMap Write -- Channel. By doing this in the skid buffer, the resource -- utilization of the skid buffer can be minimized by only -- having to buffer/mux the Stream data width, not the MMap -- Data width. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_wr_demux; ------------------------------------------------------------------------------- entity axi_sg_skid2mm_buf is generic ( C_MDATA_WIDTH : INTEGER range 32 to 1024 := 32 ; -- Width of the MMap Write Data bus (in bits) C_SDATA_WIDTH : INTEGER range 8 to 1024 := 32 ; -- Width of the Stream Data bus (in bits) C_ADDR_LSB_WIDTH : INTEGER range 1 to 8 := 5 -- Width of the LS address bus needed to Demux the WSTRB ); port ( -- Clock and Reset Inputs ------------------------------------------- -- ACLK : In std_logic ; -- ARST : In std_logic ; -- --------------------------------------------------------------------- -- Slave Side (Wr Data Controller Input Side) ----------------------- -- S_ADDR_LSB : in std_logic_vector(C_ADDR_LSB_WIDTH-1 downto 0); -- S_VALID : In std_logic ; -- S_READY : Out std_logic ; -- S_DATA : In std_logic_vector(C_SDATA_WIDTH-1 downto 0); -- S_STRB : In std_logic_vector((C_SDATA_WIDTH/8)-1 downto 0); -- S_LAST : In std_logic ; -- --------------------------------------------------------------------- -- Master Side (MMap Write Data Output Side) ------------------------ M_VALID : Out std_logic ; -- M_READY : In std_logic ; -- M_DATA : Out std_logic_vector(C_MDATA_WIDTH-1 downto 0); -- M_STRB : Out std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0); -- M_LAST : Out std_logic -- --------------------------------------------------------------------- ); end entity axi_sg_skid2mm_buf; architecture implementation of axi_sg_skid2mm_buf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; Constant IN_DATA_WIDTH : integer := C_SDATA_WIDTH; Constant MM2STRM_WIDTH_RATIO : integer := C_MDATA_WIDTH/C_SDATA_WIDTH; -- Signals decalrations ------------------------- Signal sig_reset_reg : std_logic := '0'; signal sig_spcl_s_ready_set : std_logic := '0'; signal sig_data_skid_reg : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_reg : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_reg : std_logic := '0'; signal sig_skid_reg_en : std_logic := '0'; signal sig_data_skid_mux_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_mux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_mux_out : std_logic := '0'; signal sig_skid_mux_sel : std_logic := '0'; signal sig_data_reg_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_reg_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_reg_out : std_logic := '0'; signal sig_data_reg_out_en : std_logic := '0'; signal sig_m_valid_out : std_logic := '0'; signal sig_m_valid_dup : std_logic := '0'; signal sig_m_valid_comb : std_logic := '0'; signal sig_s_ready_out : std_logic := '0'; signal sig_s_ready_dup : std_logic := '0'; signal sig_s_ready_comb : std_logic := '0'; signal sig_mirror_data_out : std_logic_vector(C_MDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_wstrb_demux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no"; begin --(architecture implementation) M_VALID <= sig_m_valid_out; S_READY <= sig_s_ready_out; M_STRB <= sig_strb_reg_out; M_LAST <= sig_last_reg_out; M_DATA <= sig_mirror_data_out; -- Assign the special S_READY FLOP set signal sig_spcl_s_ready_set <= sig_reset_reg; -- Generate the ouput register load enable control sig_data_reg_out_en <= M_READY or not(sig_m_valid_dup); -- Generate the skid inpit register load enable control sig_skid_reg_en <= sig_s_ready_dup; -- Generate the skid mux select control sig_skid_mux_sel <= not(sig_s_ready_dup); -- Skid Mux sig_data_skid_mux_out <= sig_data_skid_reg When (sig_skid_mux_sel = '1') Else S_DATA; sig_strb_skid_mux_out <= sig_strb_skid_reg When (sig_skid_mux_sel = '1') --Else S_STRB; Else sig_wstrb_demux_out; sig_last_skid_mux_out <= sig_last_skid_reg When (sig_skid_mux_sel = '1') Else S_LAST; -- m_valid combinational logic sig_m_valid_comb <= S_VALID or (sig_m_valid_dup and (not(sig_s_ready_dup) or not(M_READY))); -- s_ready combinational logic sig_s_ready_comb <= M_READY or (sig_s_ready_dup and (not(sig_m_valid_dup) or not(S_VALID))); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_THE_RST -- -- Process Description: -- Register input reset -- ------------------------------------------------------------- REG_THE_RST : process (ACLK) begin if (ACLK'event and ACLK = '1') then sig_reset_reg <= ARST; end if; end process REG_THE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: S_READY_FLOP -- -- Process Description: -- Registers S_READY handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- S_READY_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_s_ready_out <= '0'; sig_s_ready_dup <= '0'; Elsif (sig_spcl_s_ready_set = '1') Then sig_s_ready_out <= '1'; sig_s_ready_dup <= '1'; else sig_s_ready_out <= sig_s_ready_comb; sig_s_ready_dup <= sig_s_ready_comb; end if; end if; end process S_READY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: M_VALID_FLOP -- -- Process Description: -- Registers M_VALID handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- M_VALID_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_spcl_s_ready_set = '1') then -- Fix from AXI DMA sig_m_valid_out <= '0'; sig_m_valid_dup <= '0'; else sig_m_valid_out <= sig_m_valid_comb; sig_m_valid_dup <= sig_m_valid_comb; end if; end if; end process M_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_DATA_REG -- -- Process Description: -- This process implements the Skid register for the -- Skid Buffer Data signals. -- ------------------------------------------------------------- SKID_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_skid_reg_en = '1') then sig_data_skid_reg <= S_DATA; else null; -- hold current state end if; end if; end process SKID_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- Skid Buffer Control signals -- ------------------------------------------------------------- SKID_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_skid_reg <= (others => '0'); sig_last_skid_reg <= '0'; elsif (sig_skid_reg_en = '1') then sig_strb_skid_reg <= sig_wstrb_demux_out; sig_last_skid_reg <= S_LAST; else null; -- hold current state end if; end if; end process SKID_CNTL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_DATA_REG -- -- Process Description: -- This process implements the Output register for the -- Data signals. -- ------------------------------------------------------------- OUTPUT_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_data_reg_out_en = '1') then sig_data_reg_out <= sig_data_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- control signals. -- ------------------------------------------------------------- OUTPUT_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_reg_out <= (others => '0'); sig_last_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_strb_reg_out <= sig_strb_skid_mux_out; sig_last_reg_out <= sig_last_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_CNTL_REG; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_WR_DATA_MIRROR -- -- Process Description: -- Implement the Write Data Mirror structure -- -- Note that it is required that the Stream Width be less than -- or equal to the MMap WData width. -- ------------------------------------------------------------- DO_WR_DATA_MIRROR : process (sig_data_reg_out) begin for slice_index in 0 to MM2STRM_WIDTH_RATIO-1 loop sig_mirror_data_out(((C_SDATA_WIDTH*slice_index)+C_SDATA_WIDTH)-1 downto C_SDATA_WIDTH*slice_index) <= sig_data_reg_out; end loop; end process DO_WR_DATA_MIRROR; ------------------------------------------------------------ -- Instance: I_WSTRB_DEMUX -- -- Description: -- Instance for the Write Strobe DeMux. -- ------------------------------------------------------------ I_WSTRB_DEMUX : entity axi_sg_v4_1.axi_sg_wr_demux generic map ( C_SEL_ADDR_WIDTH => C_ADDR_LSB_WIDTH , C_MMAP_DWIDTH => C_MDATA_WIDTH , C_STREAM_DWIDTH => C_SDATA_WIDTH ) port map ( wstrb_in => S_STRB , demux_wstrb_out => sig_wstrb_demux_out , debeat_saddr_lsb => S_ADDR_LSB ); end implementation;
gpl-3.0
5025257a2e8fc3ca4a26cbc9d4bebc05
0.472605
4.447485
false
false
false
false