repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/xfft/xfft_v9_0/hdl/butterfly_dsp48e_mul_j_bypass_hybrid.vhd | 3 | 31422 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RHsNKIHBIhTKP6KP3E+Mbk9knwoMx23fSs6jp+PZoF2O5qvMQ/iMv+mjjvIZTrkSVbP4OysE1h79
Jqlly213qA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lyw4DhJYwS2bhd726sjgUyowveH+djQ9RfwGhZENag6ZGcTyA07B+DRiyOvWQtQGVUDwHSRzILGd
KHQHhSr22BbPfTSwM7T7khdEk09GtAKIxGkpRYq1aiVDy78yj2ZQ7/UNTg/rvJnnE8Ks5KqZtoxs
qgrTCtF5dshkvy+qsGY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KsbHXV4GmggpTiOTAeSLElPLTYjiRGgOhpA01uyeVVYebI6lRYdVNRowhKfLvNCQc1z+lOyW6ZeV
XTL2feUd6+NSf1UnwyfmTSCkzuovW3tfF4FU1sxK9ylUdEJ7bjpSrYqHz0arjeQKkHzN0YJuptM6
kWF8snaJcLpD4wbG7MtssdvMrEYMAJq1YfgIsp2PhbbS01N4LjL5N78w+us8NEIkn/Z62fECb1cg
s5KdiMl0r6K43qBa5ikrFR/8J5+YXnc4zI5rYaLknluP2JWk99VjJto9RQB3ERytdf7uom8u3fE8
eBJXciUNU2/+PKrXf7ycmAqVywuuw/FhApejbw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
wWZnREJYqyClgEpYMS91MIeIND1GBSgepHh/SmQefGw5OTBcazirMDq39K+64Q0OXwS/zqJ7pya4
OR10RehfeSywxlXp/VhvpbPs1JDmagjl2QY2mVzOIds0cjx+A4EuPKmi6w/i7SwLLrxMjX47QrgR
EF7wz6engxQv6kVErhc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ln94SJbDrL6+ZuXWaqWMfiKATbysqtjaOfk/46R3ODGX/cwHZIa+C8L2kScXzbDDY5osYx/OLWQ8
Du88B15vEYKt3nM073AIln9LQMJ+uC+USMzpl+jnEhvsmJIB7EEYIbRG8N1L0LMSOzhIZfmaJ5FW
XO/Nt4Uv2gu2rek2Qeyx3o6CwrJGQF01BYWbPz3DlgfoWH/BzbfUHaKik5aLLA/+t8uWvo+h2KuB
AXCLenldr8tcEkDuY3InoBuyWHs86SO/X704OiAQm9FIbutZBiLzy6AZZlCgw7MvCNMr/QcynjxE
Zlpa8yALSVRhMDi25FHn+aFjBTsHVRfQFiwUSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21520)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/dither_wrap.vhd | 4 | 23856 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
J0li43YDh/RBua3uMZ+oTfgBjpMchVUIBT10JCXI4loar8P0smkDA5keMyVr+JGy3m0s5vHKXycc
H8d8v5YLfA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lOd/9qPr9d6RBQm1MWmUb0p4m6lkxKJiFjFE8BKbNfJobSQo1+IrCQ7KeO3LhFXImqvTLiYFAkKz
YazH9ivwSEkjgW+jBHtdzLFH5DAHUiifGnRalGtgvqrol/0mi6o/uu09R0IxDRzJCrsCMYKyE4k8
KevZG7LqGfjIgVrYrw0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gh1V0SFn1MJbbErXEbQRoApryahe/HOqe/qq6aJYgJBnxOllP4eH4nH6g3kUANvrsRHD0zgL9fDk
kis7XVG0Vy9LXaIuwOSqCfuiPhoGbSFJH44U52G/82SVcQI2Jspc32EfL67L5kcO/f8deGjRKChz
MVoBzsMbGTA0m4j8tdkS44TYegMZFSXKipE+k9hCE0J1C8AnZJBBV0JLdjt3snLMCE61e01rYNar
Wi3vlGlQ81+cHJRilZbkqwVBJoUGyPQlKXdT0NnMaI9RWC+PcmvQpH/Y49WegjKu210A8iq6oBBl
yLXTRNnq83tH2RMP8DzT+2wE+LuncR+cfhmiCQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VPFVXZimciNUj0afT3Rf/AOI0sJxViPpeIJVYVa7T7JCox/kPp04lzbEu9gxuW2iiWrQaTtZiL3b
odSO8AEgHMFY3KkCVREpqLjczPO3H03bpOt1ZBb+aCneDcUnBQStyVW/DTJpEDnuvrMivtHll1Eb
PGJsRZhtuuzPPuWU7Wg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rCqGnmIGeypzRBxofjLpLeJXH+Zzx/35RjomyL7XLFUBXZwU8mgzofxQoXfiTS7q3OnmtagHf9xZ
CS6B2+dr5W1bX2389KNBMiSW0sgk88IfHESKsofmiNzy4/bl+vv83aOmofJOw/QVewqRjmmNxC7o
dzu8sasfACTu7M4h+z1sDYSTgQZvrMddKhyk389QAE3jISviJ7bYGXMJLdbqw3UB1F/z5+AgQSjW
rXWN6aLGaRHEojqnNMr2HnDHlreYhF2MMHEElt3uzQ+Xz4vf61KAqwkR6VKlXzKNgKA5lM7Xr9zm
TIYXfyc6568m5/bRIL2w4hEEG/pKN+3C7kRAhQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15920)
`protect data_block
cSIm5o8+OGTxfq912iZxhTJXRFl2su7ZFpCxh4/8RkkZ35NNiUhGsr076PQ1REGghfFM1rMtNE6V
kkLmuhie01SdaJrFflmmNz48mvJLkcJdUtT8s2WUNkNZ59c8O69BjXEgpkOqZI8oN4TQ04DVAfVO
FpMpSRWU+41bHOw9PfUR+7fJdsPxEkKhRfqARd98Mk2360Lp0EXUrYCBJPi6wD0EPQRn8ZltEsKe
BwLfaiKhMntsbrLmqFCjCY1YvvUR9HKF5Zk59TYbm4er4VobYtVhWDYkfBZYC3fbzPnk6DAv95Qw
b0JJ6pZdqlfaL37nztV6ZgDgMEMYx9Imr+emToAV2ZdV6YBrxcwyi6Z9Gvbxd6+m/ARsY/gkOF3t
LCQ9N8DkDnD4S2m6g2pVlqqoKFF7rgfF0UWMUng+DEgYsffwJ/qzKwhZjQ498lxN/6BZkQ1t4DRw
FRmfobOK7jBIkF+lJpvadakV0JBNmlW6CCe1f4g8X1NSCTXBSFrMxVhk+SgIvMVzWLqm3JZzBsgL
lXx1TJGlTxy4O9XJCifKLLYOQ7BsLy0ABdED8BkrnxH77mweCCioobOqR8QDw0aC701ZzxBJIVYS
sbHGR4aPzamk3Is66TYbshCYUarG1fU4GdwoAdAYaT8Vkf106trJXiPay6U4/c8PYQ9p8neJhYJh
uqEECDDFj5pnq33YgZOQwkQeMVPz4u/VjB94CeiMKteL9NTXrqMeRdEBNn7lfqL1dSssISTBXxOB
U+goSS/rRUrRXYqP1r+MV/XtnH5nTATjBIlEtLlV4os3nDwvaZost2wOmZBPaNVfbCZy5zNs4+b2
Dml9xIEc2s3mdhAyDo30pQU6/tOIr2hNOr0+YDmzbkulUqd1ny1iZh5zVnQdFAqyO4Zlx+GXUPmk
JxxM3zKKr0uNSmkK6jiYLc27EV63bWqNLUsPbYmfuubewatvkzcNn9GLrjr4fEoWQJ3nNR6tsY8V
29+k1R67pKU6nnvmPibss/UEEOdydw6dyYkROzQ1LPFw77WY/Sqi+LF3C3+nnPfTQq+l1yaw3Ha6
DGJlXNjSDyMn/UWfvGZ4jEpS9KZA3hbCHbJhY4JUFe6AITtA/hhTJtUaRzTyFl7BeBljBjahV8Fs
wOWnYDFXQ0UgpS/6KwgdOqEbPAW5NU3Dl+xAFxvTUZopub9DVOEPKHqiczHWXRN8i12/LS68STdB
N1sVnbRWyaJF6VQHwPCgahJ1mHhKxJpwumLu/Jsx3wFGu/cPRE5+bijTsMDHV8cltTEXK3KX9lU8
GqLg7s1rw5dU57CfxYmCxrh71RoV1qXaFyu80rmdduceuxameY/t6a6lr3vw9foN/d9cz2SXDagG
+0WB9Cinsy/NKnkqCqEqQiHCc1jhKXDMqkY96z1PepDV8iaHgwCa1M6L7sK4SWXhwBurzLMO0pGg
UzmSVwbq4EX4j2W0xLPbKLB6jfsT1qFBdJxGnI5XJWuPW6EfmbpiVmMSTAYHmCeJ5ebRUsvQc6em
zQDhGfXKvZlEycyZ4+swp25s16L6fuTL9sKPrY2hFlkbWel7pptvo2u00SLa61NOm2HjNea9Gdmb
kCtggsyMUbHRUfmykVo+4Lbz7cObSvKNO/0VdnoI7YvX/Rhd5cMg6oxVz6TN0zqC/9dWMEy3iYf2
vrcuaXSY/wP29h1/VGGl0i1KqLvd+oTo2rEgccdGC8lQWmMXt2nFZVf0E3XKfQPTZqnncr9wjchW
TZhnrQEEd4MzCJIkEsBtkAwc/sLR+oClV6JW+M3t7N/GdUlrk3tROs2jt6P4NI3ThFXNYewlgSb9
93yJT5s2L+jviMF29AfYPkDvUFo4U+Nh5XbGbYMuTybDysYIl8dGptm33yBXz4ufmmeuHnGFsefP
lE12jFTHpnTZAJN8OSeI8RySSs4ghAbN1yp0lOxqJRtEAodfNRwOuGNIEq7SDpPbLU4JkTvzVZnc
V22h61iDHWK8u3LDR81pI9Z0Nl/ojQc/BQdjm/1FdHC17o+6x2hjPIpK32jiy6qg7g/W3qLkUL+u
0EHhwCVJv7DBdUba2AZSoEO+4sau2/8g4yCrEi6zXIij8we5zMitmJl/LJtb0izwNYYgugKwgt5D
6pVovhsuNQzEliwR2lK/V4DtFnEW26Q4kP75y+AyeObYH7K1WRmHJ9uX7F6W4krtWMMvHPBlSd9f
5JA3VjUXrsZaTGeyfrP7Yx8yqdIovuFLTM2ljkOWM5HsCCbXaJRMtIG4jSfLFxXtw433zt3iLgho
Tk3qY66DAOSfUYhxaDMk/+x8zl0jFyDKhqq3caNb9bgqkEdyKgQDI+0tQBy87jaoyplh2ysvbj/F
C0l/419lAmBxaLuc7Kz4jQIj5bJ1PjYFfE0zSVqhov4xsGjYzSAB3zThNKt5OwIYR7bTkYhHifPB
Jka2YqA9xG/iOkGmxE/Yt8HC4Uq8l+GXGVWVyi49PUixTfWGodpzgwZTOIt3Nx+AdqnkbPx/acdH
zJKmZ1BgHGY04d4KRczrlot4Wt0CmH31uvUfYvif/oIGamsiiFVRwlKDB2+88G8xY/iTa27hiUR6
Rt+J8QsQx0E+Yy/YB/hpWiWc7UWb1kRBD7nXS7YrHdQMOUdC2XlFyL2L6xRJzYi33S1ps4/Ii2Md
KJU43Mmg6godGGGBR8AJ70YT0plffPT+pWZ3rkdz6jOQrFgtJv+3+sOY7AdZt57Eq/DTb83flRUm
PSY6dLrVFYAOIGPk+GlOcaA3S4gWftAbVzE5EqJRyIBgXh7qYPEwcNtymzsEV83bKZxlHG44K3Ox
qNdPnKnpMfpwH1l5XuHW5ktQXVWlT5xHKYhMEfuV3/9D30d8Xl7YLXCv5qhv7/SeG8aJOGyxxB7p
ujYC4QSpZ/VsnU0HZhNkGJbzMRYteQz4ghZkqqEhNzySw962DDj4CffgaCq9kjD6Vl0ikjRWRWdL
AfydcfmCHTgAAUFk8MkziK3x6+MJ/XVO7JZIuZNJ05ygCtY2JhUoBDbQ11r5jf2peV2BkZz0QG/k
J6qlbf3xe6B5fDfVa59EeSVMdTrFX9UZaKzjiffmB3waLajlAitd+lJVZtUZ22ryMqkQvX4wY1sx
clvAMnwY5U8wKfSeDFa7qp2Rq5+bTLTBCBl3GFXCzaz5iYWE4MvqdlJ4h3HkFk1MaXPsahImc+eK
OtXcbTu7xRlahnWhuOLcxj+HSiV2v0gmSUVMpq/oBUvFo/gHTOUa1g3IZ8BsPlofRyIfojBPlzuP
J7NUxFW6p4hJfo85iY8JEuzrwiSA3+UobCpNQigOONyDi7N814gIiisYD3Qbz0+EQLDcWc3wP5ux
EfeyyPct/XEpa6KPX/mYlR4/I9PHLs8RuEkFxpIDlJlJQS0ZvD7GuDiE8Snbged1OTcKaPbGhIMs
CcINb6i6Fz0boGFlZ1CYhz2WbadZkLVxg3MoFfiDAuNLFmUVtQPqf1/MrXafH/JHsOOe5/zuMGDM
AM2QM2ppVVh7Knr07BLgE4HbToWgPOrM9yupo+GLeuyUAMN3OHMhYJzQol4CXJC2BwCHNx8NuJG+
/rVLnbJxiyBB/bNeQY+skcX5XJnPxU3fCraKryp/+OATvHDdveew53SEUpGD6pgLECMdY1cG63NL
K5OX+5pv5S6rFdGjbdzGqXpwRV4BA7REqgb0RYmJ0FA8fjRCJ4YhHAGcAjqC2HL+Yg61IIinGcNa
65r1ymoUNm1EqCHqKAHpufinAVWY79MznwL/2kIqfavlUR6wcLnTF1E6cyc/J1PQE2gGUN7uOa4D
lgCfqW6uI1WsTkLypgNcNrRROe1J8CieNAZWgyRdmUtPoNt1A7dgTDoxfIMOEAwmMoq/ANFNTsDh
s8Q3ZW/C7que/3nIN8O8pWAFEKq9rbxBlRgBY0bMtbf1aQVwCzxu9zP7RIoSF3UR8PyUVorHHePJ
/oYjOY1DttOu2TRu+rddwq8x2bhnFD9rFGaHCJ6ZIvoiuOgtMp8LpF99JnEoV0FTSEVJic3tXb9y
lpIZLWAOxgwHLtpe68REsd3cl4ttjnCmL41ZYZfVQBF97CNOGBXfxzE+p2/CyUYHltlx9+b9U8yF
B/9TGGwPITGsxI4v4zXPv4kmcX8C42pZQlU+w/l8A0dbmulMPPdAEenHSnVG/2L+9Wf2dLDmYNLr
tHfn2jirU7omGUoqrsHHG4ub15XJi9GIQB4ISe6A7MiwBUViXg5YNiiR34kTfe/X8Xi52udSvua/
8YHqlRbFliyAhBt1UURiNuTbL7yNWgxO+9SuNMsc2fOYlgfDbnUpR5Tyvr7zagOKzgzc01q2Cezx
XAjB+HRIv/93S65doQ7ReMURszRjCl//9b82ZrQ+oUU6mjj5iggbcmOwCYptwH3lte88I0QFgfAk
GwxNysQv0KBWowugUMhrsGGdsS0cXnflgoO/VFylMUPFrrFAB79B9ROI1HgYD2LD71fPozEAmbBb
wvYdX/6bwiDbbndl1FXxFpflbtXR9DjVMWvUVyj2iXNjFt8pGSexRcx+3npm4VMT3ayuD+yP3TBx
IJRFvObcU6lKwMjGlqH/ccxcLRiPazhpeCMrt+qEoH0G6LCFRxUGqd20cXX0A4sGWZRH5nQ6VrWm
NH8/HnPMVC6nZsMzPd369OifbqvkFO+2F2+hrV2lGSGE3tw3Qf5LDw9J+u2yinMKDyhZ3YHqBsRe
AUUWVv87LtaI1A5GjfA6rsLBm40eFnZrK2kPkIbaauOIRB8lC1fWNFeaUbQhuc7rYNX4CH7XXv7J
MxHDR5gUiGvejWtylI6vzOqr8PvhiU6iP23pHXOC+ZfHmAQXwvIF2asoVlYntW+D4IWRrXNOAJmr
/ohGEnizsT8sQk3n/oswo865241TmfngCb8uRWu4FOr9ChCaKjZUEGlXN988o5wEq2Fn+7JWSxwd
cGAuPA1/iih0NSjCyuCktikUOtOz2IzBJXfo04DF2rTXBKdj2ekA6gfr8hzBfP44UEhdch9waHtj
advNBQ+Jc7qO0aRZ7bi37xSvS5cyIVZTqE1wu/wwTP+6YsXFQzXrMfp6Yh73ORvKjmAM+EiEI8tK
gY5iq1YWnEI/hL7NnVhooIUgh2TZox0AvfvdFJ8e6TXLp4D2t4LoNm4tv2qCsOUAepQR8HbAMuoU
Ga0i9fCs8Ia3e+wP7EDFlrSZwaqwyr9nCYQTLsbS7UsRo+om0SrPFAJ3s+zJ+OX6Chu1z1eg00zq
QVWOB6HUZyKSvGnMHt4/wJ+VTRQs9eeJUdGenHWIGIOQidltbPnMlOx/K3bTxo8/G8APFfixamJ9
lrt2eD5GazTaCOruPitApUBx/MOAPzROe+KpX6YGQF5bZ1HJ6nkX1qKwqWkHNER2LPGgWzVe8akm
I88xqZkmS2uQvdO3sBBHBLNxXoXZLXHvxaT7IINy7W3dXIIxelIiksxqcqVlpgQWM0h2Vd/l8NAf
aZnC1NVoU1zd2SF7y8IdGz2c+N2guG0fOHyjzmnsQ0uTSyoLzxL9uiLZqut6ZhXnYm2DagrTCsXF
w1Ev+ijtNzFteVdxajMcWcWNk+3OeGIFpjPA7S3oTewbb0x4OcOcc56g46fBZaJLHLC33DkAyMMd
a4rze2KS6Dz27WfdL0buiarRCZGoq7W766DRzDPkv7LWQ5o3cX+T3lJvStoqAnRupVCHPBZoCwT/
sqeL6F4GXST7Z7VRevKUV1yygQvVAQynFOxlXRESC+vBwe6pEOhc1Y8zoVTFzs2dh6KZxNSocVtb
U/IcQglTx/wyiaOU+OySFvYe/XkgIIuM+4KrHsjkUIqjaxC77njl0rwcIo90cv0d1U6cxjJ0BL+O
9z8CPN8lO1nVl551/cMzIGRDvoZ0QtbmCFwD42XS2NKLiEiOjMXViBNCBh+QUextwWuTg2kYj/fm
EyQ1DrX1gtlfOXXqbgM6ldYI0YXrSS+YJtE9PVVw94eG7jt5nbD9/YCH2YAEkPA8N8UpvNRCDb9A
3LaY+Dw6MWnVoqTnM6MntaonQNsGQf8DkS+xEh/W1gaWxIENZLWuUnKRXso9fmpP0w+OqcIibmLw
prjErhXnmEED9rQzHMOVUg7hKH9tYg8PJRkDYKHJs8OndN/gQ7nEN2NpZGHdFbo6TtsTHJbJiV8u
eXB1rcILQXxhvxFE7Z2Q5AbsOW9ypOieMCYN5YkXomyrDtWINnV8Tt8SConEnQG3zBOA2hysVp8m
UXlDEPOomTQgwvJJLlms3mz/EwhYK+UzQtPYXpPAxTUvgyn8J7uUx1o6eDRsNl2OgCsrc+OvqiCP
zCOBiHXzbYwoL9WW96VhjYFoVLIDLNBZJIviEbzUQ8Zz4nq8fnO7asX8fs4Xa3Lo56ZUqq+1JB0l
dbmzy1W6IKqtEs0UhZo8kmJzf2Q1QeFX05Gw14ng7cB3RUg+4ABdPTk7bAt9AC1Bo807jjXCj/Ov
AJtq8ZjjU58yHFjF1K/dJ3ZS7ZPHZMAubm0NaqpEe01M2YNprxPAWHrlEmiHc7Yy8XNqpYz1FHDW
+GeWZUl/3bQTJ7XyxZClwFGrtFD/e6tO+YCI+keiLYkwti+UjURlZWCbgoyghQCDPq2pBQb1Rkao
vbkH/ZmZ9au0gbJp4bNkEAS/4TchIh39lnKHAmxWGmKaKiR+rLHQASdCELiSJSUqSipA15RVioL9
MYCWt6H9T/iadQDhSu9RNXE7sOn+fiTpQ/MG9iirN2fPCCf0+X/8whx151Hsn78gnZtcngWFOv5h
AqRDvDh7DYwsG8cDCcu1M55UvDGCOPc7m26gpqFKAhTq1KTZOyj4fv6wpoNMtjrv0YKd50Ztskyr
2myl8pxUNChpClDPpLsWy5Oa7b4KjX2mnJj/gLe15tWxdgSbZmRkdlntNuk3C2OJibIHQ2rIazJ3
aywLUrBwRIsGLqXWkVlMQ1mg6xII757Kaol1iKZNTY7fS4XuCvantp/Amso5g7UyfC6vXgcJZWnT
3K+OArRHpVThqy2shooMIrifwfj1jalg8PWVDMOCi0viR9vDOFYV3MXPLGYBnL5q5oewZVw94mMQ
NrupRxLvUKoIvfDFB7iI1fab9wVfefhcLRa1Zfmkn8Zrnode8Ln1KteEUampDPHPql/g30SPBVa1
GgWDvyvy71qaN6IHYYK8dU8KO3gIw+lfnTcTsyoVXbdNUdK9ajpvyyn3BWkZ24uGSn4mF0lMcQze
qxsFrsT+7aq52xjMJnYqhZ5nGBiBQ7TAQ2ToWx549w7MQyzvAXY85lUJzGuLcXhHlMhymFD3vVe8
JiMqWcemUrOL/XzHyFpygkuJul2J6Ggx5gjUghxzCAyOzEdnqI6AYaUrTx493ThWzBHqzHEfkdq7
g6rKiGYDbZoDOkmptzg7yAtEZRyEDHzsPpUjcljPHxomwjzYjYSV2k+1LcQ/ArettD3sqe0B+b8X
pPRN479WPxz5EIwTyZPBcZc8g4xuj1iWrOj+K044P6FMkSPpyxH5CIwOL8xEXFYK8OHO+Vsr8GvN
eql0T7Nu9hekHm+Bn04YA/lFQp2RqaG2GtwGKpGIVU6K1pgh5jOBIGSGdNtq3TSAmds9ahcDyR2r
kiCjTCHiyaSNwUMgvXmJyyKkH9LpBwMj+q8VKsvk1dqhZTbykwN53zLC30YyGkEAvLvuvDUl8g3+
XRDZuRqj4etyQbvRWt71yUsP3x2nfgwYO0ODmoLyHqQBZCBl3kdFD59G59t1Mu+eBLTgh4/DB46W
GF4trgL2axK87AjVZ4DPYPOwmyQ4fljKVw0fSfH7bvOCfputxumK8o7XzfzUoCCdbrimbv5sNMRs
2tfSH7hkAyTEDZyweSJCDohM73Z2kjueXFHhKk5P9EUn9UZT79GL9qz4vHfgdlxbsVquoycCSWLy
LLRwhPagI3nG5VHgQu2FdcPDjaic37W7PbEXA0jqfYvWBcn3JVcre3BFd5ud08rxAFcJW/S2duvL
V/09AhtE/bmFu3+B2TklDKFfjgRo2XQaztvftpctd73urUVddJG1J+XDzi8Ch4Gt/dlEP6oL7tNu
MRkuKuYJnF/phdHtSvh+vfHNWXdKVlWAez5D5bsIKhQrijUeYV1+KhscHbq19l+hkhtavoV9qLe7
Hq8Gt+9x6FQz0XBu2nloRNaImhpX9L9Mm0n+KblPRsat42IQiyd1bXHno5WcPCeEjPuCwDGE7Ofh
pDg73iyDcN1yFIOlbJTR5qXHDMbHGDgl4BZNlOZ2873C73BFcBEa0nYiCDRr3b97Idri+VFU8Gr+
kq0UtMOrPqwOD/hgvG4mKp04SkSZeCNYhgZXLzCvG42WslMUc+n6d5Qy3YKb4NlWYfr+ZajUkaky
Pqx++52fw++xAKk7oCohiltu14sgDZf63nUE8MaOARAwk8G5IMJNl0+LKFa71OgZPLGWDGndRX73
Xkv1klEFdh/J3AoSSOsopaCJYvSqqy19Jaj2ik7/vEOiWikMpA7ggbO6DXy3TQhU9HNM2gVxQxLH
5+HvWa9eC2A/ok6EQlBfDHa7yOT6gfAQvlF2SoquJrfIQtruvOlxlgFtTUzfFaRG9WG3E3utr8Ls
mk+vhGxJfUA8LMA3KEv8Uxv8TlcSsc8kThT3r+OsKx0e3/CUGYstGQ/fxHr+HW4Zb0I2NNnBIPSD
mxrIDRhLjc4ahGr6DuuWmA9iI57CrTDwK0g2xfuLosj60KOAGC3p+7Nr56aEIxrHB1XQdgxh+1XY
/Slk3M2VpXCBQ0MtrUXk+PI1OG6GYs0Jre+9aen1YBm/zFBkT0dH+rKkJJgaCeqtVjcgaoYKGgZZ
uNl1nFXNWCtKjqNxPNflazrFcOyyoQIJrv52ag/aKptaDNmJfxOfzPr195xJOZOowZ0KainlSa7U
0pGpUyjFwFZYpqQ/y29ZigCYRGLyFAVxKXhvHjzGRLl39IeXbiOPkkYM3tdZAf6CQNl6U5eOoUof
Obb/5gBJ4Visg2Bk8hUhWXq8xA+xqDkv64GP5uNKvSB6O8izv+5e0eHeQNakLQCyxHnEQ5roWc05
iPZIY0mBcGaetR0ANHltN8t9+2eABz7sYgJ6aQgAuq0hGSDK+JAsK0gJD75FrOyriNXW9YL+3El1
Y4Rs1evhl3hXJLPTnwPmFfivHu5x+Gmyn2n0S+MUaPwDxyDL4zeHG8A3YliTLu4Tn1I1Gdq+AHEy
ooNRhEzK8pPTDMaLSpjdx0rPsQuC/LGrD21UUZZhKrsZGkmumDxUq24r0VulwJngewh2UzJgpjSR
0qS2I5iy/ETZWJq3bPAcuXsQM/BzYCWqrf2x9ywg9CwWpm6O+i2M8j6xr1YUqRef0MU9o5l9MbaN
aLhtdbOuaV+gwYxt20NzzzdJUWt64RqTcN7jXuVBBy0GnMionQqMZNkZ/skCcT3lSXbzruv4/8Ln
AOQ6GdhwfTT9/xiM4QtCgwXuVnPJYtWSY7G8DaJy4klq3QkPGybPMB7uP/URnRZZDRvaJ74SD/76
XRCAEdvBI3lt0LG9gntc4RU031CvVUSfdy8ZPvyz0J8cnHD2EayzQg/AhbsjYeKNCdcdAvaHgdjT
XNQK/KFzdJhNk76TUcHEp1JCLICV485A/KKzsDiC3iCgiCJpoIhxtQkAlaCQBldDQ1k5ryWYLAVL
cQMefDT0nKy7ow5UkEoihOHBWnhavrMuAgknu/+67N4OvwDwt68l7Rl6K1pBxATy3NRoe7QKnE+f
34W7J4TmrulN8B8grQws1UoHI1jII2cXzzLEvxSdXBCLNtuTMjEZpBGn3QLJKVZalAfY03mLAr5v
gzye/kY0NGyTdWsJF8dnx97QsAcT/bfPGcHhNP4STHAJTnLrz/cbbllJ6UtTkf1TzW8l/DFJ9ieT
4JIP1vMh2lhTPKx1zGKjX9yKYOGYjuJR4DDrZdCX80Zao8EtzfTERe5bbntCXGFgwc9N9ZjBud2f
UG2oAApFoCr4BxDMXtut1H3SOw82Zf2KXyK1t7C3E8bKOo1XO/AHhisW16vX0BWzpYBUKXtdMeXP
ZH/Bs5wT0zXL8IrzI5G0c6HVLs5QoGrY/D/Gyi1Vwfm8OYKurc4HYdEOWvzAO8p3EkzM+8LKT7zv
zQvAr95f02A4BTlNpvWHhY+ob3VA/UOEMg70w3d4MjrdV2OU+IHbFkFeCljXYl/m+hEgjl34l6N+
nVT8j/t9sWJ1Cg1WySeVfcCW0G6cJkuy83E71FZmNYHE0hL+nAhJACtNMqQoqQNrwXGW6QQ/8cpw
2gJZIFK3jDqtO2LdmvLSZEZ5f1UgHacqBN+gjpS45mHSCiZQVxBi4ikUg/fgFKIUYwXh7GZ1gGGA
Bu6OAkHoYUWYOVQM2pQaUd3NtMMVRNCjtFe+TmqhDUANJnjVtnZfi9HRu4+DZVnNCthS3Cbx0+iy
sbBL8LyzX/YCpuL4Rptq2h8xPMNDAZMsRGVATbP/Y4rsRIu3qfxTkY3iOQc3NVwDNWk1ukGvFPsK
0nPafS947Kp1xmrAvDE7M8f8Bk3Dk/hSyGzRJ4S0oh2tr0i7mCWDONkaX95pQ452KOkV5nVpcpbf
c5FjueZsZwLCzUhzDJEo3C7CszAH0vz8kKS5Q1b7iN27E35EbehDqI0IcwD3UrjoA1tI2Y/gSTBh
IXQGyiDmnSxDo5wYtFZRUpPAKR/oUO/VgAxGrqhSIOFPWaki/C/9Hz2yzuC7BYLQIN8lu+PM6BGV
zUkT2+2IzjnOSmSoAn697kxZTOd9+Ui/GeZQyNWTzwQ2qq0zDHBXTibu9IyK4S7vNaPvzvHbTnr3
c43KRaZHhezNiDFaoi9QGNIl9Ow8sDDNYKemMaj6UcBfkj+jnSiADGd5P14MiDIAxGjdKzs00tli
ZqvRiL5yn6wbixcQ+Pc8kl3EAJNzztziQNhGmmypQf7cULVWQ0lIu5xkBGogxxFem2JJqN3IYmP0
i1DFjZYQT7buJb8wPxplu3zzZDs0E6XZIPnw7+g0lFcNQG+F/FMoS/50L8i6lSOu0u05l5NjyPTu
DLjSGaNt7zAy/LPN1K3QNCg5+vnxj0JPY079ntcDu74gbFdu/VKFPwXCyQMULvsTlYndndrbmY6N
ocmESC4PVMz95MKZn5YSGFQFKYNZ7m/W56XW3wH2h+1tsueW/7RI0DR9pyPd83pekh8pOlM0jwH9
ZJggi5phQDUuzUUn9P+gqzzbDUVxtej04cGPflMtlVItIKysDPxbbKqfBzdVpp0VNJZU7P3Py/yt
I7DHHvVSZ0ctUWoYBNRDXGGts9Y7zPETCb1PkpnhfHs1G7OuTIK/T6G8undPmKQht9x3M9g8UR1l
UKGvKsoUnDthxG8ytcBa/NgvFPSgzLEoQ065BCbeMy0odbtZSuJ37pLxKTHvhkQjtlI/dUx2toCL
25y34p+CYPigjS/qklKJ17GA6uX/fNqIBK+TbGi32XP/pJVnRzd2nUzV7XlNq5rbzVjqr1UxoczT
yMY4+HsT1fau8DhInzu/sUqVgAVWJxWDLE9h2yPLvLW7kYgzvNZ37cKvCKbuhUnT4Q/klA3r0Mqq
OUY3BvS/FGZtSwCmBrzoMti8kGIsoTkmhNItau4pOhlJOd56Jo3/8er0MmVrZCNufeThSS/JjUBF
RX95CbI2GltKCd5/oswnDw1/iJExODzpOdPVNQL7j/ROPDyhu7JEd7x171G6Zk5DC/mEryW0MW93
n7QzODWY+ADFtjCDgU6gWFllZ9uLrokd4OGU8987WulTn3ltH+5LmJbEVv1rTyIECbuE7X9Yw5Sc
waNOxrwCJk7URcPvC7HKcsP+oMQABfcIV1m1xPZJ3b2jEeiKT3a6yUdvlfqGPa/X+gQE2QMKLE72
wc3qWnywYwVW7nQIj0IM6tsmbQts01YLy4XOZv8AwyCoucLGABGBx1J3Mw3eb19jxP9wKTmHG1Pn
BPUdnTPGKaCR/snuuGaLRJZUEi+SmKa/1YrzgqUmn36Ph1NucI57son464YWOrObw4shGMBaD2wV
E+Hn4B9sRbt3T3LF+vzyD/onONEPrBOhVO6eiMnSRt3xQuxPZgAS4TQA99bC+vHHCLLAYVYJNpDo
yTKm4yAGSDUW+Q4QtmWA+UP5d78NC9xmpd/fbgTM5tXJ2/RClNeduhCdY9/2PDZiusiW7L7cDCMZ
eH8b/K9iTEOLLqOnmijl0y6zHvwhF11SXatnNFULrQghhkn19c4IkhVJv54SLAJxHcoyw3yzUNWA
+DnSivBAViGc/KV7gMAY+v0qnSAJdpU874XlvBDtJxMTdvQjBbaBzpC9BJkRdbKQc3FlJsNppDPJ
qUPjVjS+gy7QTIOe+vShzQ8kR7W9sp8ukA5CFfLvCMgdEiWk8sYICrA2qujXjnRx4+MU3bxPNPLJ
0BDn8XLP7YNoqr4im1asNMBUFCahGGok4uIuqwQOGJqDUq7q/pH6sdHMUAZruYxzlYLDvSW8cm8J
/2HbSiygs3bmMnXocAFuxcIxK1nxKGa84f/tCthh5ebUGLNqmtkNWd3l2815vIZpp/ck+ItkMK6A
qvzTyh0zS/02Xeiyd5y8oGXNWQAuZmjpEiZdszJPRG5yAndOr8GRKX5d+vhariOrpwxITItbfNzC
TW0eBKR+s0htqXFGRqCMYoURnOyW7kPnZ/cqIu5cGkWp6oMBBX9JfkgckzDusPuy/GZRH9QcmQDX
EjJfRocNGUf81Y5mbMjKohpZxwvUuIbmSroi+MX24Rt+lzaSkWj8MGVUqD0kSiGF1xNIppLYOvJR
ybDuwDpis5YB1Wg+3T0jk6yGqQW5RG/szuEZ9oYvoWUyTxr5p/YVXPtvD3EmdXig7jjGVesWxVue
ug6/csD5Jc2y7XXh5TVgzU7jTZMeNi1wMVP52s9n7kyZSy/s/RiUP1D1lO7KVkwhw7XxHKApg75h
JQiF3NrmnbJ5MXQvLGAgPuDzT9z8fd7siSPIhTcy2SHRUKEShGgMqD7e2DT2p1ncUIv9kYfd9hGr
TjjeCzmiGcAI9ZkTBsYevqV+i969meqRRyhWUwpqBIV1aLzWvHhDjP2zbUA4ldEC9Qz7EBSrOb36
l9qddYM7ADJfmYFk7gaXRPQ5NbiD4plP/70GXkQViGBGWgq5U+l9+yDfMFLIFk4ZHd9/wmY6tsYZ
b5kG/nUMAIS5/vtdbtAnqOBpfnCmiBHHIGvxM111OTnGY4dEsJq10g7dYXy+abmlnJa4FC3gAswS
uelQ05qoNpkZSh7w+NZttqy6RUpjZzn5TqJviZqaXL70uSUGi1gmQw+KUWyyQW+0ZKSFNuxKF/E4
FO87DeLu4oymTVR6/+UnKhjtSYBf6kGBregpFaEQr5NC9/qFbIgv+c2GSxnl/MzB/lgyoRgRH0x9
mzhF+AwvK4wvq9bs4VTaTdLBiDDuvXxeV52WXEnBzO6tF6V7jndy1dmqeqm3kZzcFViqURUHm1zg
SJOs/61LlnYdA58nce5qoZnN1zy62m0UmNoSFtozJJNYAngsNpQb9x6FdJDwGyuo7RdQf9lhJSL0
JbKk6FxKfBcSPD69n+HUEPGX/Wh76/s+woJKrUXJrUJ3RjBVnzXrGX+H/FpGaCRjxWFu/b/WKHKZ
0po74QjklqJYzmVpJ32u9IMM5zzNOzTpPED8m3IJc2aE9dbiLsNIpgFkAt8w4nh6a8mfgn4Qgz7L
en2SYUvVSdG38j7ZhTjhiDimVHr5QY/iiWd/0v8AKxwELmmhLrozmNtV2ydsQ1NfyHg4qnsqHNkp
OPTKpuj5oNXFl1VOzyw+dz9GFylP6ceValXjWbBtfUACCSyTtRKyNdbvpFaGByFzqmjcomYjF3Mo
5+WXcWwMB+iolv1yQ9dnTmoGCA+tha15Q3QOBVZVnLB4bujEBiX0y5WHL/fMvHOItfdOZ/0AtHHT
eUxLq5pu6uYUUFCNEAzl55MOMlqZ2FpGm7ytGXq3CAxUyYihWy/tIb4mEJPsuZ5cnVYsr2U2p6aq
eAGz23TCiPhV6uX1f/IRngUb8ipd2f6aL3NUX0j2OLUlrfFojk0iQpTyCcX0gJQpAXs2M3AruQz9
bzjdN2qLFsfC0MToCE1uVs9uizloyxwCN2Y9MdlBoKMcZgfLNu5Wl12mv4THia73QiIXIbqk56D5
/tDvk8A/22Nj/anV5Pft0jIvbQQ4T6a4n/+hVVS6RTW5G753M/wwg5fiSq+3vtyWUNiJ6S/mXMis
a71ZyUNFRzF186m038A2O08ERRxXyq7Zcp58LAxVy7H/yLFwE/WiJ8hZ9FOnd+SpDBzOhmKcCGY5
lumER0DOWW+EJVR+jLUWq8dkonVK09Jjzlvq5lQpidWbs7B/x2lRTwE65wxwCyRh2bOuGyIHGI3d
g+IfMyOQRqaXTDD5rIjLI4JSWUESOfee0MbgEeUWOW4Br0ZB2OITCuYkvtF0TXuTs2uFrg1Wg2o7
Dt4aMYVyqeBj3vMFnqKg3effOw/cM/fWf5/fIEyD1JE4yJbNsGBW/ayWJJmKiu/MIIaNuP9/FFdV
rls+RWOb/CZ7Rs+dJOPEkErHkNx1n1odM5kGEHFNaTiZtJgX629WT/K4mPtAHPjDzp0917rBF/Jr
bstNxMpZy3P22MMSZ9EwhCoyH5aOG8vzp2MqFnXe4pg3qYjUZRvPgLpv/8zOkDnidefVGsTXOxzp
gNndMepqdvnUPh6v96HPDnM3oE6N7G+tjRU0C3Zq4LkmFa5S3B+AAbVoaE7oJPt1+SaT0xKWa2Mj
OdrAOK+ONvj3ZKW2L3tgetKEdxxA6OqYXkr0TJjtc2LsnqkyUBWN+BBnERCXM0BuwVHoDnWw98Om
K+T/XFhOxJiw4j0rGStlYzhA1dYtmLVpGALBgq46X7Wu2tT3rksPZH+pX6wqGRCGEPIXqY9RRV06
NCGANVECrNYGymOq4a0YvMxr4dTSR5ThhYs9anQfj1DVZH8RaRVHUvXcB3tpXI9TLBqwS8m/9Nhs
iwF2yhTsIpWBn3lCUPxw5opEX+K+E3anh2G2tHhOJ8PqwtUMAWdrHhZAn/XaxdXpSROo2A++Jk2z
bM4CTQR7b9/rKctDx99oMhUiccTDDp5+6HF4KWh8fUAT43mbiLB2wmfyE6VtH0LUeUYzbw8mZTLt
Q2mrZFqec4LLPQ/o34iMj1LEkTqw7vpCKuqOtPuzu9+mn/PMwYl1cKKmQ23ZUni56vu8T0o6y2he
I7REA0zwe+zoa38FUGkNcDsCP1xFGUHaaDcD8XtUX5AyUmThvd7TEbJesQhynkr+vH3GsiT9aoX2
z/TAlXFolDUvtAk1xUNdJNAra49PxKQmBvBwRem+IsspuN/SBt90KrMvcJCvXuShGhWTJgXhaEWc
InGMuFlR/rhDMfPgp9ochSRgOJ0XATVvxn1biE3oo3ejGdnIbIfZnyD3EqVLEq8oB4JP0HPplV+A
md3v65N8SX13r6hrTssJINjN6Gp8lX+Zp64zvYKSn447xpXJ32oUlaks232D2uFYyYJm4Qgw56KA
UZlYntJThipOjXIt7fF++uLb43XeekA8Yv8z9WJFeG78cE8Gm7FQU+zTVsArtwT4fMveA4XEEPBQ
EMR9bB6EcghtrSg39/vwrA3x2GzcZhzAXys0BgiA7fTmw8JICuu2N3BIeUtlRI2Bx51yewv/TQg8
QskUW2/tBO14BPFGGAHv+BfoxuJRgvbV0a3RlroWl7St/Qycv+OSb2mHkLQJ2gLaT9rXaQi+Lepq
kwQgLrbm7EV5/GtUS0ZAr1DRFeqN3cufr8a3MBxyzXN2IVDTc1lq7cNEUVkl9NxA6pxIPKfuzqO/
7OnrhAli9K9YGMt6HE6t/W7sDB51vfKdXC+wJq6i+timiJE2ohZ7IqPqsUTJoHoAdwOiJ16NCs/0
0B/Btu4bfGbQKbtI8k+0fi+/WgMFNlEdoseiqEJZbiihy4jupHxS0G/FCbDi7VNjWtqtmSnZVCOP
0MoCu+tmJqrjY7z5R8WuuKBXP8pyYMLqLU5Xo665J+O+mQJyhYjyWVzkR6yB2AoctmISNN75DOXJ
DIpHBcJC+Q4uGwgOlqEcrg5OtG/AonXbKTr/v0FCCYuhibeZTCO8ncrHJc+bsJD1UX2XlF9k+Z4J
uZOcTtk/Mw0oQfzNP+vB1jLKIReuqu3E178ApA1hTvT1qj0Zrl0jvoxAuOOd69cUWLFGKwE6sM5w
JH0QzwBFrwWF2efPgXiM5qyTjgFzu7hiuKCUfNLRSboLYZyZVSQJaXSSyxLY4rV44IZD7uQOrLlU
loxr2EagXVXGy9rr1/6fEhmgXV6FfXiHuzDahdajyYf6xsmmra0Ke5nbtsVeDQrOKOJDFyyMR6qx
XRYqMW8MEn2azHaTx97IWHvSvZkE7pZBmA+b0CoZfVGPJOwhvpaV7hHrpn6ryJZuTYOIvwUgdND6
SRxHuEP64LLszwAIZfczOYY4ilyJswEXGN6C2xl8lyEugMDtoiQ0eAM2QzVIxl7TRXAN+tlpbrPZ
PsVfaLWU93B6W2ZayZI8yV5rkbylQ6uWqT0nVPhx4U1lS8fWhoQjg6vLa29aXefXozvp7KftzjQ3
IPYfxGgkAcknvVWhcShmLXF4R8X9QZpLM4YwDi8Eerwxf/zDcYhGZ26NUjlKE4vfIip51+02sf8y
EHIVgZGi7KjXNOAPbIOsDCZffBQTYfChPT93ndUH+BT6yGzjVU64hMalhDhJvW4S6Bftp1I+kAMz
+QJtqsAG5FP2gvqIk/t5DNYaVOyb1mY+HTVT1X8NqkhiHw+nvm9RqVtv0BJkUQOQUyRhTtGdABhF
zdMdU40EXtNoiM9W+0w51HGMd2qMoNLI++//Jqk4jdMjgF+z0SD9Q9ladIn90XwrgS5qqWSI4V2H
oUMfMRiQXeDrXjc0w6i7XzpWYgDdZXPsdPqqRRpLqcEN7X6To545pQLK2Su8T11BODc7ZRwDash+
ox0gW0yeAdZmLp+oUA8acjtIHM/oc4Oaot+w/VG5sYFWLn7MhIoTUcR6ch1wi09gGw5biRlgynxB
uZb+OelkqjJt0h0IkNvJtrOLpkyB8tEROdCBntU6I11f/N/pvX6d61CG6kF/GOX3ilOAmzFf7ZYB
+pzwYHWLvjXs5bCEV1bCpW8FHnEnS0qE6ATkbeLs6lqJQmu4vzy8XgTRUxEYzM+TjaGQVmXqDYVU
0H5Ueiv0wWkTBvFs92Fh1Y8fv1yj4uYA+hgXQPq3dzwGkccJKGMXAeWUTYz2V9LYAoMVVgvDY9Fy
XRo6TQ0GXt8MK33cqOU9AhQXLp4rnxwLI7mSZxeD5SdwRzAOaWmxx/0nSbJkluDw1Iu6puhP7Qmw
v8fQF/R9WbSiVJubCRASQblxsSqge3F/gXUfC/iIoUZpvX7rkg6sh0BOt9UwXUtNNCxBWD4aVOtN
xMJJXxkJDbLo+iS19WYaoiY/8GQ2XrDxfKzbM0Wg9WbwL8IiNG/e35SPpN7NSbm/9CFjw8kJaxQs
uLqvdrY1sboT8O3SYYXrakTdrmO4ZLqujRpl7PBnPWsMCruV//NR3h1fHOn6yIyOE2OzRw9X1CJL
BT2RSDR61ivnR6tPbeWFN9jaW4jZ3BPNhxUPSNqhzlHvduzzrOrFLdHX/Pk22Gexi7L4lctdd8HN
GGRrQbbx72yhcKeGVUVXfaIxTti/8ikBwRckoYEye9A988Z5l5/1v1AJmXNW6gX+rgysc8ZNOxX4
v6kq9cKJ1a0mSFO6gtdv6CDyuNW3iJllCUP3vfflaLhYzBfFJlqe2Jy32E5+rZUbtWwfmHY2k8lR
UNe8Rc5PpYOtk0k/GQbQKB6+RvwzEedXxmnryRMcOwf/hx0mov5Sc1sP+ehk9bkg4B3EqiOzBlLd
Fyjs9JdrdCjKbBjTAB89HWXqkHWQfqH+A5+vx3gnl0T+hersgnwu8Aavvx8Jplc6iSkheTWvtkts
yOxhkVzJDtYVMvDBFp+PTE1TvQCKfzuPyf4E4FpAeUTr3lAQYczu1o3K2X9l451k5e6svQtHENvi
IE5elcopxfQk0DtC7NCJ9YPHTCbqS6hg+Jp4Fv4XFTdahIY2UsJP9BgVczPzOX64TReS2435BLpT
GmuuB+gSBPg8iKI8xzTUwfXtnMrpy4iE3iF/LdhR9gXAAOUfCYVlEF3vjh0I945cO5eT5uLlTfKj
Phjk+uBl/g8LSW+38EOip4O4wLmIYaxhYH/DriFHUV0n7guRaqLiLuravTbkXOLwgI5sD9lXIJjF
Ozo9lpe7n2H2TMuKgZgqvyurccsVbooA9LvqdmIzuWhhf5KIi8un42y7AQ6BEcKQqIDwZ1QZQ+sh
n3/hoiGaWv2kphOP/NDD0ZWtNOnOrrUUVeQE+Glx5RFha6YpT4uslIO26bQP/uPLCO3wEiCLWsfT
sxCrkY4vqn/7FIg+vUJHVegRSwwarXGj/HPKT+KCmYeDbWZJVoOvchkYoPPfFMOL9xWd2ROAbnYO
IU1OS4pd8x4JvZZDlpdfF70rR2Nw4DTARkxUF8i7tVwQtdjyuRvMUmj4ld8E7FlJRib00VaniEjM
tWaQQ6rmfKCfnForLrUOu7nkeGhH7SOxhDLOT6txHyXRT4ec4S9dUaTxSVp9rTZRyZcX4QuyZnEe
L4ggS+igXpTCIRbCb84W/ZcOI8dKFMmLfzDsJdGvUV2oK08r1/8tvAmKicCikn+LcijPahaL9DzT
i5kCXUztbayihfEZz1ZYZ1YV665ydf0Dofmsyx7+qCkWsKwaytaRFZE2dE/nn/6tb31mnkr7SjTl
2CfplGfWT+BAMtUrXA95Q/o/BpEcjOwsdKcYMJ5BfgoNHBRsw9h9Rvyboqmlbl2vsESfySGzNlsC
ydkZRDPw7cCSoPmXab05y2jozd61sgifMKJgcwbP8e6sLCClMaUq0QW2LbJ4EL1hKyRIRbuzrGb2
vpIGDDfAFafWK98ql5ETtBzuX0n6idIiygdt805qo9O5B2/31ws4q9825RQ1Ou7DS6phs12Yqtrl
L/DfmaIbsGv8Dxeo3sKTZ7mi2YNxG6THhUe/M4kv+T0cOgiZhFti/kKa9++LF01Ao4q5i3/tQSGG
0QYmDXTgIen4gxj0QI/F6G7T7EpnOmlbIvfIqycsgZ34KJESCMTEzb+xqo/VzSqfV9CuI4Qo2ZA8
O1BgvnfTvJzX3m8qyfdKZGpfdoVzzWCg+F/0WFKGj9KgEjH6U5b4H4/4I6sE0Clnomc4momppvO7
onDTvBmI+hl6iX2qElTgtpzUEhu1/GRtSNycd8HtoMhN3EHugzg0cJsahi053+c0vpvb6eULXftu
VrslI+gfO3BqnmrJCsTNaU5aNZe8CzB4eiwPi1fz+sLULuFel1dUG1FeGD8GhDdf4y40vkxUf9t0
8zi5rygXWun5K3zgIN2LQfoA3beDuMhBjpkYBqhr1UM9k8hBN1I0KhUiI5ELGfTvpghXMH3WumW6
isPsc/tZxuxnXfPTNuK8n2wU1grUiEBpqCPEEZHrERKHRXmOdOpf3wtrPhdzl/pI6qnUKi4a75zR
L1i/WurR8KOTK1fBUEuHuvZnQcyLbyjSFbddh1UIk9LGYxjg+zb4SqSMuxaUhKGnnAQvUO+/6dCy
PyqsXNaui+w2KbUeEFaNsMC3KvQpVaPtfY14bT49NGtrv5mWd+SokpWGfEM6Y0KVaKFns/2DFV5O
e9USxkIE4ZwMfaZRW2pjErvUTtlDuFQnVmqKUUeSl0hLWsrC52n6LfV8hDlqiZJMi2OCG3El8u6h
Aj0xKKS6/SmhD996oAQsPy+q52q6B5G9cVx/wI/8LcCbAKupkD3KsdLtafUwa4KC74Z5Hw4XZ4LQ
BstH5xF6VVjxC+DVR+Uh07Su63fxtlIoQadysC33krn02/rP0nhdZ9+mpqHLVo8WmesZCCEpPmel
XISXqf4UMJCuyUZActQLNGirFONDpBwYcoDPFM6j3yL6vC2EkVpN1csqVehKH1fVjYxboCqxVfLv
xPgUWyjEGslV/PJ2xnPmz5Z2+0iRttJH6W//XQJWpzPO9VfmfMjpoTuoAKWTPL+JIlqcZSROuGqc
/6uDN8mlPgMSPRg/xTdcycK5bXo09Xg5SFPch8GRnhzFHuh4+41xNoyxN15+UGP1QxCRVlGXYzIU
dnparRHvrH5AzlIGnfwx20qEJF91bgi7nrVisGkOjXgMby2sYWZfRJQLQ47fXOV449fDVRSgJ7KH
/cp7U/zUdriZ5g8ALaw6ps5h7tYr1orNOb2OXSbnIpINYfv5T/lLYkZpNY2ai4mZhRMKbbf6L/Z3
xQehczzPZsjtZW8/5IE8/0d3MIZexBwyX6Wkwnq43FkMmsMmgGh+suhlww1EdhJ67gXp0RpXqUys
9G9ENBp082O3JRNk+kazTif8favADT88FlC0FnlYwkRm3vphksZqSXVZRLILRRhIIdxazJK763G3
XOu6lExeF6r4Qk/fEq30h4DuiH/aU1tJ9dLEGWf94b+tpYcr4Y8+TuBsLrh542DT2io5h26We4RW
N2BH6hSFEfSEo9cGV/3FB9lhr0nuggKIIOSkMbGww3/vrpWVyGOiZE4/KkOD07HemCpbvky+Vkay
NoBKd4IMzh4GDOGDV+Mttm2gAOzfI7CRjmLL7iWrgjQlcy3QSqsHx1xft8fxiKvvu/HS3ssEKt77
DQR7MGSYg59JD+Le969+Aybt9sA4O6Z7qScGL7mnHPymq6pHNpTmfO54lII1I3Ad52DHkCiSKHWC
rlRc8rMIDiFMxULpwln4RknKAcQHneYiZ/mVJ86RQt7EwB+2jGb3nweyrXfxtuYsSFrHzaEBTDLw
p2BNx2EEWLJTxllfrg7AzY7lej3EVxIzt3aJIhoyW6TqZTydyiGg9egqUi3YlAUz+RbIQutc3Y2R
sWNL5Xu1bCYvQGuvwm08G5KwGUpEdDnVX97F7Oq6jRMTjh6laZ/9maWXHaw31d2+0AUu2CpJ//uX
OFaubcZRNXKuK89x7YwI4cyi9sLoEbKBoTZc2yFMjnC9Ni+0UNWaaAFR2/F/nCQkfSX4AVQJyknk
BIn3v/uOFL9EeR8upqgp83JxIULNxOY2u9xFKKGCjsfuG0EkJ5pmZCy8JSFSbrXDAg/+EbEZOT61
ntRjDZrszTZfWpkv0TJwQOK74WADTYYnXM9hdjCE38TsspYY61ZzY1PEL8GQ6lFJu0PVT1Zcu+ST
aAPWlD2QwY6YsmE146O9B2A=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/xfft_v9_0/hdl/shift_ram.vhd | 3 | 70023 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
icmRyjVRwa68c2zSoTBMRjjTMEv3/iwXBY3ZTUFsKqA9nr194i7Mn48e/Pk4lLgP/xPE9yHmn7Y3
FgyUkfH5wA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TzepnrpSdP8IKoUtIhRw00nMixC3zD0ZnJaY9E8bznrbnRnYwmq+U7QwFCZGKpLBbPgBFgHCZ3RY
wpkyCuRsH/STyenB4zDQ5i6+4fbdEovDCIC3wUffO9NrvrsT8Rj7xHGxA+lRfickZBXGdBoIgLF7
GwBroH6uQiBCBIiLdMM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XyBc1GAHkRXn4GPTFWRlJYspOzVUbB3Nr4FXFcYUAcQZug7ML2GtIeZFSA7b7/y79w5C4SilbKzT
o5VAigtTeTzFQsKLY2Ls63kKqimQQCoWmaDst1Pe2AYy8RTrDD2XvEwrZKAGjmbueALJu9wqMwW+
0WCPQkfdQO/ZRSJeS0GLpO3E5/fx9ozuibkLYPUYDVhAeAvVy2MCkqDDcMSNbnsevze2pdgFcdfi
sI+K4+7i567WvFmOwr4PV1WAQGGDmIhCKGaluw8vKbRTI0iAX3mzsOU9nSSpE/kWhJTM8iTT+ijU
iePTJOG4KUhhuAVd5qn7Z+/0GjNgbS1PJXUI9Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vqn1ax1pCbJQbkMYYeeNJcO4ug1/iw9d2pw6/FEoWgyja8UrmmcvzwVaWUzBEaLZVWlt6ivVKVYn
wm3USltKZIjYvkld4X3dLHpQ0wSJAZFm0/Dy6yk3tBuyALZUkTMkXS5evlzrYIMzJrgzcufHAxTm
wfwgIcwLZhbubDPKvbc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jfkKYnJgf8bfDsHBSQ6V6p26VvKQpC9DUkNTDLT4H0CKx22Ug19Ead8pHK281ae7z5w15CN0CkKJ
/ydzIv+FRNqk+nD3N7+SrUhCUZ7SHlxL2G0UXGX5qUcVDC8KcjhsB9aMvKm3eP87yX/B2CtCCavY
/gYi8Mb7d8uRxnf3MktrkIwb13EkgvpgJA/zXwcBxi4D1ov3Y6Codl7LARwnle3oSX1KHJlvZslo
FK9Kam2uF4jbwS9atxc+riktsn7c29hboCgH7Kqkttb8D/Ox0IJCYiKlqmyVTb860Djo61wjbpZx
GwU+cBynrRbAkC1DfskAtIMKIlEydFnVFxtIqg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 50096)
`protect data_block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=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_2/part_5/ip/bram/blk_mem_gen_v8_1/blk_mem_gen_getinit_pkg.vhd | 27 | 54741 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mwxNacl66MFUVIMc1Encct2aHZOcb2pREujQa4vWHOpoY4Ryx1q0qOlrkehqJnJB6VdIGpRZ75ar
fafQO/Fcyg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WTY81lfpic8wiNg2xUTFY/9pIQI3CKsiY3j1Z19a6adif1iCy2STS25TLTe/dZhZiWj1W1FKdbVN
mTJAkstRD1IiixRw4XPUhHS0kg8DebELiBmCxBLwbMicqplV5b6X9QbZ+d65v5AnURtcySKvK9fO
g9n8up28DiiTZN5JTCs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wSJmxWNG9Vaz0hV3ma6xxbW6Q/tt4VebLF5ALUnEWrb0oMwD9MOvKTVg9bgiL2D83XqOs88TpeXX
Ifg7m/wa0qnVENMQDpzrbdsY0X541kchr6nHO22IjxAZU0y34IzPOD4wlt/LkBIeRhuE2oOUmiUB
mj42HGuDYM+OLJ75MJFObfMegkawW+dQ5MXJZAvaZb3Gdq+Nc//x1D0rUYdDzCYkIE6Z7scW8Wik
/MJTbyzmOPOK9ZoDJMjaYzyR5QyLAdSzLEdKbGH7TxDHRl54Q3XCa50pfJuN0PstSuaixGzvKQtH
Tl8qJKpy3o7KeFGSzvILj3NDt+zm7na/fYnOyg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TWs0qYIcIilONYk/cz99Kwd1RIRPFnNZwYyu+ici+iMJ2JCkq8jieFKJjspKJpdZ8Nc8B4CnG4qj
aN9KKPyGY83yGWxxRkXLLk1fDABMFcSV/QWTMe6VkTZV7rSzb+eWC79VK61VEPbjbvhhwl9UlHat
EKGcZET/5AsZpsdS5rY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
J9Mi5TzDBer7RNgnQmNNaMr/oObsCpVjypskaWXDXbsUL9Tz8WTWA1k8rjWfCv9Dmq2LFoNWohyz
5PixLjvzdMk+0EAtGJRSdyjvZnuW2bmu6ekaURxk6HvWMfHmukxtVO9c/su/PcWlhTBaWmQfDEOk
MXt2eXdYnsY9DHX2xUQnYdQty3UwLIiL21L3I3SO1yyv2PefA4p4KfovFGDUvBPco1deVqNYRLx4
GphEA4vKS+OANoIaExoVeJSpvDGH50O+wbHahIOE11SE2zucQ8cWichU4yUJXYALRvrOZArC8ClG
ouWj0ts+fBWmUc+Q65XK9XqQ174/nPdN3w6Fsg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_exp/flt_exp.vhd | 2 | 59020 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XOCs8Fz01Vgkg/of+pgCwUqsid0Z/F8JB7zS1UPQnYaS/gYnW8lTyz+hBTjPiRV8NtNkAK2iaOky
ERMPCWpV2A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iAmBMroDccPSwakqyAmbtOCrUJydjgJ+PkDg/5vlahkg27vhl/dmwAmygBJryYV4mqnpbW6Xqjq4
6CflzxMRQKpee2SLAW3JoeE7gro/VjTjeQliJRCgHRAeiZsGNob8cDstXCpBo4BYCCKsEHyFgU2P
KkyIYXmEliV1tItdGiE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bjuGN2UMTSSYzG8RwvU4rbVLXdH5zH49a7kJJELyDP+S2SUDkNPZcEhD8PBeDl20Yup9y4PnkDk+
+pv+Ks8XMLJ1Z4rL9P2DLPVuG9bk2N+nX+FoNxR47zBgKb6w9hSWNNAA8fZ3skQQ4TqmYyMiLPLV
YE1vj7VmylCr5vyM8jqqFBBi888XvSQn00NuohEcXBH8aLeCl8VzcNADf6CCTlW6DQGQTFN63ToM
8fAi067Nbt5uUqOltFfxHD2kyxGKNiWG9FrcENxbLeGSMnSX+XmmOUaiuAvwfg/PaRTLD/Izwhh0
n41N0fR5LGrcpPzeh0iP//j3xz52cmnJa4WXoQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fmSYm2QzeZgo+dd4HK0tNlaZQJP/1MkQExiyIJrqbzCHxgAJ1XhXNbb6xuAtH0BzwqLaqJT/4BTW
OJC1Rkr/gy/HXkzHFi/sJ2wYX52lJezJRYFSAGvqW/3TKAtVdAuq52xGh0pbmVsfm3J64yZGv1ij
Z4W52OAyAb1g1Oenw6g=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XpLvGoVNuJRXMoOX79VgS2Wu63MIEZC7gXgcwjnqZKSeuvqY2voDPB2/VwvNvjK1iNqjoWcelbrW
61Mm2asopjfyfOR0q5GrB+GOBtI6bDJdU4BSwFoDka55nbnuXb1qhCzAKZGq32yTb6df1yKsimvZ
P84mroR1yQvkcDMu5Vk4vy2h1EPU2k3ZRv/wcxOLbMXNm3wQoZqWN3ZXpscxEtBHR7o7muNiv72n
s+AR7OOiDWh9cxNm3E2thu3s3NEaP5ddRQF1NAEUNcxo2fMzhFEHCwqLmJ7qKbkCoyscqIuE/S2L
zS+zbDXPK+XirTPOknbk/7D1ocr1R6SMITf6XA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41952)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_bp_lr/fir_compiler_v7_1/hdl/ext_mult.vhd | 8 | 22040 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qw1H1nJ5mJjXzz7f7Yc0xjBbA8MS7ye4nX++2h2eVAHkQ3R9yCffsCQkawySeaIznezleoOTEzTa
A+mV2D30WQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EAiIz0u/HYZtCL+fWIiUZP7uURWFib0TFix8M/mbqnbtzxCDJfbFCoRbj+OkohmRw8nsvKSQ1s0L
sSsiNXRGDxUF1SWWHpPtxWTeGhmtqQd72oLCOAEs4W2vwQZvhqwIsgsqWHABvcu2aR7SUHtHTVWQ
r9Kt+elOj4MBqwrCbPU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BastiCC+Ozv3eWmuK9aVNV4miX1sfSVrPe83hknjc7TxaOwuU+/r4VKnbxzimVGqkS1Glbi/eshA
YN2CQsIqg9EM0FuZswbQd7ngKSvlq9fZ63R64hTSih44bCqIePb2VQSPo0z9M8SB9AtB83ThNstU
YeOIGu1nkG6vlcINnEEdvM0kmSHCP0YPvv8iLGXTOHMiEJI/u4+6xWR33jyQ5eRQk1R8V6Ftz3Zp
TD2zf6k+htyY/KMtZg8Zt3Y3esNDjY8P3IP68Q/+W7+XXbadn2mwHRA5uQOHk+chOHCnlZwgZ8xo
M0nop3k+uhwr+amYANS8FMiAqfnGteM9PXJ15g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tMm7DWoLC8oVATJZo8gFhyMc3/evZu+XD6wO1wHFjWSw6NAk9IbWSxMW6FigpX3hTf5FryYnQW+o
eD9EgdS+Ps+tL5ewNrDdFGNP9001eAAESwIdIXmRJ/tv1mS7r0KyxfzYjTXvjgkUlpKQk34swouw
PVGKQsoVXQ+JN175KQE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nRrFEHKKAI6S09GBluHeS+CVv4jeNlMCFh8vUZOJliLt5q8O/ttrNQIdLE3lsb0GMSsPLtlV5Bzk
097RsVoCtjuoRNqntp2nlzqt6M067fUwjM1Tvtiwo9FZ85vugGpScKbr2nmbxWI6faLOikLKD878
Rn+3Hxu5dIz/6qdhNwkk3SIMaaOs+EkbbgjD5wKO3u5kGCHdsX2Y3kLvUxJoxd1xyW280LbXbjkC
WBCjqV9a/S5qvmwj6ITLWl1xmqpDrXEH0jlbm4taKMultR5QBV/8+TR+F90VOFKw9055AjhLSknl
CUBgnnmyyLV3VgDH+5vGbsf+5m4wPke4aBaimw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14576)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_2/part_4/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_core.vhd | 6 | 81480 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
IXVGhC0kCZL+3ihUvtK0Bp2Jzplq8iHqdOnPowvvdan6o0v/odfPC+4jnEyPJ9jce/ovs6epCuQk
bJU4UlAwdQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FHay47Glpt1xxIyUvA9wq2spx4hM8/OIG83ZNplHMevQQtgdY3Sw56zyEo0y9ObBgFxGFoo2Kmkv
t5Y6PNMqvphUwITTrcqZCMOq9qfwleA78O7qSvg/2jNDSHeLnDmVfoVefZALTG9zcs/AoH3SZXT7
b5jQ0ZhuQzasCQ0lUmE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kz8QEBixnPjBOLZNxtsyos/O+RNFMxtVLjCIGy+XJW7NWhMQdPYQOs6gFYOs7rzgc0bxkajZ6bJE
Bf4zfd12mxhuBGK+mQq+2nEkCnyb8oeXZ4/lEJU/3GAfAF3bBtfvaC66nYHCmqSarODWK1uVjo42
Hkc1XPlvjEP2L2PZNYIyu14XDNn8I9CMMKNm3HFlAT8R8k9S2IZ4LAWvnnWio/58uBoE5gjfwLHq
g5ubUhCHZQrHqommi1V9etzZxqYEPdBdqKu+jjRSoRTvkFyP1E+nbpCy2T3gMY3bKx4cg8+nupeJ
c+ZWCsLhXo+LJHE1qSJWUUbT0/bmx2yTV/NYrg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
btKUyS7yCt5fACHdGHs1OBhUD0u70ne99x7o5Eo7+1pYWGbSVxWpE1TffsevBEE44cNjnzGtQGS/
1QsmymIUyNPpDXb+g/y8tx9HseYth/00jLLTpPY3EviWqaaLWQTpT9lib2GvnyXv3jxX596rIlya
CLFd0jBq3TO47WtPjLk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UY/GMvtkfGvmhHMb47SUL0CD3d9Irxkz/ovxcOI9zNteAzE0CJpi9vF2gV5GuAw424sf9vaXmI5i
3ObNhUvIBB+A1dQrUKnNf/Dxkabc/vhvpB3wdVeqijWneZRBpwCX75ieSiQpnK25/s37MHdmCW9o
yOOC1MuRDsmQZynwvko/0b68x4EAFERbCVYlhQYA6dolW6GQcFQxUB65v23tojWB+BtrFJkfOuBf
FKqmMxZ1B57nCWrrD7Age9+3NuR9Jc1JrVRwuQCQ7RvHI1ejhMj+XR3YHyabupEd0yYuW3ax9ojS
G2NrMN7tvnolyCOlL3okOiOg5iiAZgTThs7blA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 58576)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_core.vhd | 6 | 81480 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
IXVGhC0kCZL+3ihUvtK0Bp2Jzplq8iHqdOnPowvvdan6o0v/odfPC+4jnEyPJ9jce/ovs6epCuQk
bJU4UlAwdQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FHay47Glpt1xxIyUvA9wq2spx4hM8/OIG83ZNplHMevQQtgdY3Sw56zyEo0y9ObBgFxGFoo2Kmkv
t5Y6PNMqvphUwITTrcqZCMOq9qfwleA78O7qSvg/2jNDSHeLnDmVfoVefZALTG9zcs/AoH3SZXT7
b5jQ0ZhuQzasCQ0lUmE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kz8QEBixnPjBOLZNxtsyos/O+RNFMxtVLjCIGy+XJW7NWhMQdPYQOs6gFYOs7rzgc0bxkajZ6bJE
Bf4zfd12mxhuBGK+mQq+2nEkCnyb8oeXZ4/lEJU/3GAfAF3bBtfvaC66nYHCmqSarODWK1uVjo42
Hkc1XPlvjEP2L2PZNYIyu14XDNn8I9CMMKNm3HFlAT8R8k9S2IZ4LAWvnnWio/58uBoE5gjfwLHq
g5ubUhCHZQrHqommi1V9etzZxqYEPdBdqKu+jjRSoRTvkFyP1E+nbpCy2T3gMY3bKx4cg8+nupeJ
c+ZWCsLhXo+LJHE1qSJWUUbT0/bmx2yTV/NYrg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
btKUyS7yCt5fACHdGHs1OBhUD0u70ne99x7o5Eo7+1pYWGbSVxWpE1TffsevBEE44cNjnzGtQGS/
1QsmymIUyNPpDXb+g/y8tx9HseYth/00jLLTpPY3EviWqaaLWQTpT9lib2GvnyXv3jxX596rIlya
CLFd0jBq3TO47WtPjLk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UY/GMvtkfGvmhHMb47SUL0CD3d9Irxkz/ovxcOI9zNteAzE0CJpi9vF2gV5GuAw424sf9vaXmI5i
3ObNhUvIBB+A1dQrUKnNf/Dxkabc/vhvpB3wdVeqijWneZRBpwCX75ieSiQpnK25/s37MHdmCW9o
yOOC1MuRDsmQZynwvko/0b68x4EAFERbCVYlhQYA6dolW6GQcFQxUB65v23tojWB+BtrFJkfOuBf
FKqmMxZ1B57nCWrrD7Age9+3NuR9Jc1JrVRwuQCQ7RvHI1ejhMj+XR3YHyabupEd0yYuW3ax9ojS
G2NrMN7tvnolyCOlL3okOiOg5iiAZgTThs7blA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 58576)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_L_block.vhd | 3 | 25108 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
TesOEhveIGXmLY9MB6Nd356LpcutXfRax0YWtsFjcHaBAdMTItbmZYXbhtjUdYTyqU/g/cLu0zrs
CjD6kNv14w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MOKL/vvi6+byokG8VdTkvaq0n0RXaRoGpLhgL3Er9X6ZUQBbcu1UNq492dtEtCzLHhEd7zAE0rcL
QMm/nHgVwWvfyr6vbRq6uK4OeGJCsRJ+R8ql+CzN+BQuSR4aKLciKEgW/Lt56XJvpYWV+esSlN0z
sQGhs2zQTaR5zVqpEsk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
q35NfcTJ5Rfa9Nwlen86X4Qu5qPti8pQm1uZCccb3/R1R59dckaqUrP6OHVgzDWJwVmA/jq7/aZ7
ED7w3Na7gQg7AkwP+FocBv1HMBU33Fjwji6pUNb1715H4bIMIObcmZCi4R7NW4sBiNGbYBe32rLx
l56QVlTBpZUyh/Qs7R6EEWgsVjCC6zVcqsl8ROs8lTHcUGG+bJMWBzBHx94W4iC8a78sRUCyxy4U
LocZbOD85Klu9fRPT4ZQSbLgJ6+z9F/gYO6SXXU4oizDR+D9TT+qT0X2TzsO/U0caX60WI5hsLfv
Gapla0a420FvLl81b9pFvO5AzpyCYfT1uszOXQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
r4Rc2odin9JujFSAKz9V5+dxfMS+GZULOeBqUgWDUJ+fWwQmCeWKDrNsUpR2rdWOCaG4D74oiBzw
muXiYRkbgyCU4o9sNzDvVTXcUiI2qOV3dB9Zc753JdABcYjxP5+IcpgOYN3XeYJQ6bCuFB94ytJq
ILYOpZTYnwtL0xg1gXU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NavOPafGh+rDhmrBhO9Qq6OgTYiCpjkCMSWXPdlAzEcx5FPUA3vjWT/H3MWKlpBc9Gp8/lKAcBHm
FoH/+QXFytsnAw8PTM7Ti0KjV4v0OHCwYkds9ch1QW0EM/ujx+4+eOXHHA+LZ8Rojx74i/V4MpPD
AXK/2In9qqSDxsOCv7MSPI1c/LPSWURscvA7NPEeyEPBvykLrQ/FssHCCuI015ac0C1jCRH7dARy
qrfl9T/+WDHPYjHW9c3tXrRrV4wdRBqMmLmIXkpwe5Y0hd4ULbfpMwrnzcfoKQ8AVDC+zwzU7DPQ
pyLoOtkLZKBqfBKePe0DhaAqYdWVyNykKKICdw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16848)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/dds/mult_gen_v12_0/hdl/ccm_sp_block_mem.vhd | 12 | 13610 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VscJIfFTgZka3rw2Lfnx57r9iSPhRXi+kLnhdqz5EO/+OA8vdexQe6ce3UDnXG83BVOJdHtdZSuI
J91AsMTFXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
db4dwZATkWURbjXQf/P3qPhf34lj53qLVmViVUVBS8BVdVAAny6oLUuA0/ARxZIkZFDW0nLTNAc3
iMNZJbDRMUgL42wDDdFSS0oTCLPLIfIjVZjD3q8kOVtOgpkQjAtZzHWdc+/y+cVnHMQ0BdzqR4XC
mD1cyMlG77UuQU4p+Lo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
f07j+8ElH+sVCaM3Yoi7ry8dCLtvbd2nmyrK4ZSbRDrYOFSxnjql3oJk8G/IFhz96acf1qM/kinM
4DSg24V6d4iNF+Sc/WwnHHVdA/DQDGXwEsGvAxVjgEArzO/9ovaPy9zXCrxiRBslsn5sx3ofkmXP
r8Do1oTxPaq85CvX9w2/5w8r1SinpqLeUxXnosg1l6oQKNXnEDWv6S8+OzWcSZux0rh4et3+Qd4Q
vnNK6SIGpmlpWDDbUsOYL8An1ef7zNTEDVIWdCsTfYsl9bwkYAxxQ2Lkg2kESygxpths5CuDLxLM
M3annWfhnSarZkHVFU6wgl+uF97yURJ4ivAvqA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yGIEomvbV/vYOvjOV8UL/R6cepGB517KBp/ApWDS87JjbJ4Juk0Ygt1vk+okvNIg0yHv/44OpvyM
jmFTaFeB5R6Z32brqQgO3j0BP/DXa9ZjjU61Ec6EVTnuHwKX4Xr9osaMCcSMGmmr9jzFTwmx7CAX
5vZms49D9iKwWbO99kc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nB2fsdHzYNwhsF77awSz4nNul22cayQFlU46LO4sKhhVNnJQwNrg4Ji65F47QLz9crBwdwtrstYg
gMKq/9Eb+5eQ0D16BOx7Xzszn1GT3N/ZqAoaolBOvlKzK07++on+MIU18pqvHo1rjvKUGgimiIM5
0fUCAiml3CQQ3SVWdl5y+ovbhpdhjzmjD7YPlpSVFot7mVPcO7I2aCOSWVHir70XuPbF20cHRAZl
gLtBKStSr4oHAHAYT1h9naJsA7G2ZuRQO+G+72/Hn/od4gVX5tKZKLbga8w3D+ucChWWTLI/VAMc
0MRZyQD+9aE0bQkI7JDrGrtpCtyvAQffBkemcg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8336)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_2/part_4/ip/dds/mult_gen_v12_0/hdl/ccm_sp_block_mem.vhd | 12 | 13610 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VscJIfFTgZka3rw2Lfnx57r9iSPhRXi+kLnhdqz5EO/+OA8vdexQe6ce3UDnXG83BVOJdHtdZSuI
J91AsMTFXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
db4dwZATkWURbjXQf/P3qPhf34lj53qLVmViVUVBS8BVdVAAny6oLUuA0/ARxZIkZFDW0nLTNAc3
iMNZJbDRMUgL42wDDdFSS0oTCLPLIfIjVZjD3q8kOVtOgpkQjAtZzHWdc+/y+cVnHMQ0BdzqR4XC
mD1cyMlG77UuQU4p+Lo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
f07j+8ElH+sVCaM3Yoi7ry8dCLtvbd2nmyrK4ZSbRDrYOFSxnjql3oJk8G/IFhz96acf1qM/kinM
4DSg24V6d4iNF+Sc/WwnHHVdA/DQDGXwEsGvAxVjgEArzO/9ovaPy9zXCrxiRBslsn5sx3ofkmXP
r8Do1oTxPaq85CvX9w2/5w8r1SinpqLeUxXnosg1l6oQKNXnEDWv6S8+OzWcSZux0rh4et3+Qd4Q
vnNK6SIGpmlpWDDbUsOYL8An1ef7zNTEDVIWdCsTfYsl9bwkYAxxQ2Lkg2kESygxpths5CuDLxLM
M3annWfhnSarZkHVFU6wgl+uF97yURJ4ivAvqA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yGIEomvbV/vYOvjOV8UL/R6cepGB517KBp/ApWDS87JjbJ4Juk0Ygt1vk+okvNIg0yHv/44OpvyM
jmFTaFeB5R6Z32brqQgO3j0BP/DXa9ZjjU61Ec6EVTnuHwKX4Xr9osaMCcSMGmmr9jzFTwmx7CAX
5vZms49D9iKwWbO99kc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nB2fsdHzYNwhsF77awSz4nNul22cayQFlU46LO4sKhhVNnJQwNrg4Ji65F47QLz9crBwdwtrstYg
gMKq/9Eb+5eQ0D16BOx7Xzszn1GT3N/ZqAoaolBOvlKzK07++on+MIU18pqvHo1rjvKUGgimiIM5
0fUCAiml3CQQ3SVWdl5y+ovbhpdhjzmjD7YPlpSVFot7mVPcO7I2aCOSWVHir70XuPbF20cHRAZl
gLtBKStSr4oHAHAYT1h9naJsA7G2ZuRQO+G+72/Hn/od4gVX5tKZKLbga8w3D+ucChWWTLI/VAMc
0MRZyQD+9aE0bQkI7JDrGrtpCtyvAQffBkemcg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8336)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_recip/flt_recip_nr.vhd | 2 | 31572 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pWvKnka42+vKiKbBP4Oia4Z1OWZ9K/yekn5poPXnfPRqp/OnPiYdhEOGoi2DX//NxFFUBRvN7IQ7
wfX+6TH/Jg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ezEs3AfBG0l9aWY2OjK+dHBh4TYxtmE9uajSlqrTTj5jhKLR57/28ifPN7gjBi/1LU0E+YVW3gjw
S/Xz82ckOKLIak1k4Vz4h0kce9TWYNYUvIDvI50CyftikirmV28lQcmaPwLZ0nxY8gK0QrW4J3db
gge2IVsrnHlt8MHD9Mc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
uJBfgHC8C9Bj9n5z5OvXkUKkddWxrN9v6hlKBYHIlOIaeyDUkMYxYPPQTK1S8YEpGaz14UMawu/E
y2m7wrVfULmkVNXuo3vmoEXf+tfpvTZdEUyDRo2A/8Hizf9o/eqmAPjGaOVh38sF1rX0wQirFELw
dSOhXoKHdDVpzTON9lZoCgwYPlfjy4c5rRUgxwH4u+soenJTu11fMwADx2P6k9zNiNKqplrdguwj
i1eVS/HDKR8gc8hXqN6igXpIYMS2mqD0z+JFXx1qFVPa+TKHKpMDbx7Cv3rF/5AfbDOFWxSS+Fgj
MSC9NRGm+33xTjR2EGyXh26PuyJIM8OdDqytBA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kEhjZ8AsBsfuyd2+1ISeUtBu8qUbZ6iBovK2AYRZCxXwav/+nG63AvwvUe1oX8VO1EKUJ3O5iAny
pdGDD9QkAJG3hM037J8oS70EVqpKTALhAGjh4qiXw8xdJk8qB0qmj8lNnKgxKWkuI7mi0ajvKvvC
YyMGQ/hXQuGYgdMmDCs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HjmUk1yoy3f+pyv2dK7DpunDxnq4CNGQeAWbSleA1qiDK5zxvUCmDeaWAk8gKvmgNocKfYYLc3mR
IkUVGY3CQx14PTqbybmDxNFIxTheua2sV2+yphOkcf9eibl9CWbzvuWk9/tCIgIM79JXwHQBc0qN
rl9bBt0s107YBf9w/icMF8c+oxPzAdLrmIg1MXEhULYg4U+GfBRVc7M+KwGerYfv/ceD8PgO3Ieo
MjyrgYkW9ZlPLhADihslOAkLvVyCXj+qJbNgBcWPZnHnHjLqWCipDHSBfCcekjZRFRkkNpBs/PZZ
q+nDbI09F+r6oTCi5gEtD/XE764lFeblJr4Ryg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21632)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/c_mux_bit_v12_0/hdl/c_mux_bit_32to1.vhd | 3 | 26081 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Rg1UjRLzrzWgvW4zG+mRkWXpkeG1lejylBfeE0AXMvoVnxoKk8G1fEh1zT5h1XOkNLK5uXP7vE8g
/NWpjmtjjA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D2Yqlp211cQ3bFUAk53U3+zmhYOmNzSGizEZNm14Rsg/joAAhhzCqBcBwojQbsZod7+CLGvDDIzm
DsQapdFjPR7uc5engoj8KOApSOiOy8KcQdGvWEzFlzhAOezcz4BiRUEhLZAN2qMd62YJ20X6tzVI
WaKE6e0XoJdIspgcYEU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CZkSo4v/23YdUOkNvGT3a7Y1qOhbpeQp+S2ro8fEzJrh6HvuPnDkqqW7FrPO2Ey7aT7URd2WbZaY
pwhKb8ts3e9iDwotM9ILOLosEbisMS4uUXebcIIizIhDn0huJJRXcVUa610VObyFli+rxAFW+gSy
H4gIxZNtw0dm16m3CDHpNO+LUSOQ6yJCal/XNuLaCwvIdpPyQ+fyX2nIwJm16NTFc2Q846rtcPWW
6h7L54LDIcD46q0IaHHhVKxM1kewdg19JrvT6J+Kg1RblgVxCAevkVhWoJ8Hn0n5/E7NzTXEXrz9
2BXYQ14nz13WfzOU/QNt0EsCZ8NqBmRRPW07qQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3odNNfBdDGYAhTLTAwR2sly240Wnaw1uJlQGs1DODadz2NoAKSDjtmgSzeIBuNtC9SiLiPjl7/gI
6FpGjnICp1IHrNIAwuN2vQHs4FEaTCRatT+Acfu1OYskNAVZumczBi1rUAhMrND5WQu/WpP7fsME
JcRNm7Usl8kfC52Vt/8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EZ81tSDy7S2tmaOYCo1KwRtfBr1e7FgY0v7wNbR1zJc1NiQz0lz1QLEibLZwIgOBadS7cJ8L0Iwz
UHauHWxTBLerFeVzOWK0Ndk32CG/tLMIO/YcenG5btBKTAvMHgkPNVBNbjHMbwyYj4KFL6DdJJf0
lsPTq4M9sQ6WXTVpMHiaBIFzOxpD4fRmBIlU/aQEYNe+Xe8KzCYoYaLjYJvrcdiwptxSuMtqgC5+
5OsYXuBwhZ69qe99DbvTxGw8Wgfg95ojJJYmKEWpU2NnfgA36etmWA49n4R2z0+1cZfyeirXYZiA
TgIZ9BaX6kIafMCxJFt+FuO5A9Kewgz/YRbkww==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17568)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/mult_fft/synth/mult_fft.vhd | 2 | 5611 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:mult_gen:12.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY mult_gen_v12_0;
USE mult_gen_v12_0.mult_gen_v12_0;
ENTITY mult_fft IS
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(27 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(27 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(55 DOWNTO 0)
);
END mult_fft;
ARCHITECTURE mult_fft_arch OF mult_fft IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF mult_fft_arch: ARCHITECTURE IS "yes";
COMPONENT mult_gen_v12_0 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_MODEL_TYPE : INTEGER;
C_OPTIMIZE_GOAL : INTEGER;
C_XDEVICEFAMILY : STRING;
C_HAS_CE : INTEGER;
C_HAS_SCLR : INTEGER;
C_LATENCY : INTEGER;
C_A_WIDTH : INTEGER;
C_A_TYPE : INTEGER;
C_B_WIDTH : INTEGER;
C_B_TYPE : INTEGER;
C_OUT_HIGH : INTEGER;
C_OUT_LOW : INTEGER;
C_MULT_TYPE : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_CCM_IMP : INTEGER;
C_B_VALUE : STRING;
C_HAS_ZERO_DETECT : INTEGER;
C_ROUND_OUTPUT : INTEGER;
C_ROUND_PT : INTEGER
);
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(27 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(27 DOWNTO 0);
CE : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
P : OUT STD_LOGIC_VECTOR(55 DOWNTO 0)
);
END COMPONENT mult_gen_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF mult_fft_arch: ARCHITECTURE IS "mult_gen_v12_0,Vivado 2013.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF mult_fft_arch : ARCHITECTURE IS "mult_fft,mult_gen_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF mult_fft_arch: ARCHITECTURE IS "mult_fft,mult_gen_v12_0,{x_ipProduct=Vivado 2013.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=zynq,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=5,C_A_WIDTH=28,C_A_TYPE=0,C_B_WIDTH=28,C_B_TYPE=0,C_OUT_HIGH=55,C_OUT_LOW=0,C_MULT_TYPE=0,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA";
BEGIN
U0 : mult_gen_v12_0
GENERIC MAP (
C_VERBOSITY => 0,
C_MODEL_TYPE => 0,
C_OPTIMIZE_GOAL => 1,
C_XDEVICEFAMILY => "zynq",
C_HAS_CE => 0,
C_HAS_SCLR => 0,
C_LATENCY => 5,
C_A_WIDTH => 28,
C_A_TYPE => 0,
C_B_WIDTH => 28,
C_B_TYPE => 0,
C_OUT_HIGH => 55,
C_OUT_LOW => 0,
C_MULT_TYPE => 0,
C_CE_OVERRIDES_SCLR => 0,
C_CCM_IMP => 0,
C_B_VALUE => "10000001",
C_HAS_ZERO_DETECT => 0,
C_ROUND_OUTPUT => 0,
C_ROUND_PT => 0
)
PORT MAP (
CLK => CLK,
A => A,
B => B,
CE => '1',
SCLR => '0',
P => P
);
END mult_fft_arch;
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_mult/flt_mult_round/flt_round_dsp_opt_part.vhd | 2 | 24289 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EnFu5iZ/E5aUb/cvTSolEFbIZ5sgnCJOJtA36pvBrmBIh9swIjFyShL229Foj5JC73xotpZxGAlM
VEJqfOs9Nw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gnC3hlxmCYz0mD4l15fzUPHMVh/fFNqiwF38vf7oglOolfBte9OhIllsxDB7hd7crIIYaIjoQg+N
FriV+njTEMM49uNzgmrI3IPhQGD3sQ1a5Yc4DxS5Y1+u549PaBwKSTAdNfcBRrCRbxh4THkKNvGR
wyhofCq/ImxHqiLhSxQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vTOoiAbwITijbJ3fvXQgNvFCvWGU5PWpLYq4rwqB54AmMKu5E5+M2qbDBBswUQECzc1G1SbIx5Ra
rf1iykcvXPMbK77+3QGgdlRGD4nclwPq+CByXVBQwT2n5nqZhWFmYHOELK566zK09zGfNQHiSw6f
w2/OYA57Yvnt2BpE+oZM0VuSbIw7bbtUkVhZo5rV++wqpH+hLgf3etbU9tko3sDwGZNRdH8Mprwj
pQZMqbHzCXDhlIcd0cIRtreaedkcO3Te7s5He06cwkBO1FDupB45fn8qWRcYokittZ9SuDD5cBps
X/xnGsujSZq7PFFadhY2PSicn1NO2AT3Ve3tvQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
n8zFcJgwOnkB2hCYxa8vPbjIn8MbcocFVsaT/FgXEU4SaZeOZUB933P7eHGWQk3WziDnXJved0bc
udtnqTuwnqgeNK+/Oq9ECLoaco6PA9AG3LQOaVY+aJEpHHMpB2XjCq3L6Esi1ZVkQ4jCnzR99r+q
PZEXZ3b2hI60C8CU/3I=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Aa3p0pbCWB9CvO0yj4EoLbKbYAIfFz1yUV46q1TDmHQT+q9SwfgHnLeeHHOvg9cndd4ksfZ2uD38
AXnapiKvy2Xq6A8IY9nCzQphV7RjalgcNB9AvQ2gW9nXzocvz+NuPmxmLi0UlaD2sdEtvSujBm4G
bwlqPhyOAlbq9E1uSPxX3d4uRpoFKBSe6vEejFzt6nDAI/fVsUvBZQJc0eSgkV8SGFH1zDY4L+2y
hhX81rWO1DZUcSDP/HNkfa5W438zoDkr0PoEDmMe8vBpCaW0QdpeZD0IBfc8101GImXs0clpA/NF
ULCXFHbw7y9clj+ux0xagm4d1hes7Ljt2o1oIw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16240)
`protect data_block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==
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_3/part_1/ip/dds/xbip_bram18k_v3_0/hdl/xbip_bram18k_rtl.vhd | 12 | 22883 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RXzjYiAuFW9ZPRdJt+HwKKvDiZKOOS5JBj9nI3uhT2ZD3RBamqgYzr9woKSYklDDNGrYPt3Vz4kg
IoMuLciFKw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X89ALiF4NNmpknrwaY8kdJdFSvAFb7jqIAoHM+Hw3LRQolpRULqj/QwmbTaA312hoQfi2CQY5HqI
Ahl06JTL56m8wl/ntTv2NEoRSYaZy6LWSQoz6MN7FwxKH1CvgF4lxJ90pA5HaNCvc8/lQZM/5KJf
PNnx/1EHgCfhzPd7vVM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eLKPxpfhEiDRKuu9U/joqisAyt3gRNu2CwG3pWV7lJaLVj/R16rLY6DO9ikbZopbsmARNR4E0B5O
QYsWknng5H4M0diIXVCheMMQIhwVqmUzmr86AxMeMF+hph6jI35GuhbWxrNXnqczuzUXL4N21+Pk
O/g428xB/CE7P/d/g0lqX7Isq+gRt7SQ1K3BJwUyqRE2+PXrB9e8hFfc0Ud50fm7l7Xl8+j0kkHg
SBSgINukt6l0ZPOyQruUtifNOvjQFcQWJnuBN1HCPTMQ91WK4vX/WzYoo0TmKVPvjQo6yHKWUA62
2r+AAvv6nWa+8+hf8azqERjz9t8fkx+spZPrbg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z3w5n/ViwTWf7OT2We/wm1Pr408onv0vSDLVWNGbO8mmJA2K3qZNfGL+pNCU8VOWtN4FHYIKUko+
B1Lts+Wm+OEVYVIE8ZafgYqo7rjbySlMHHwYu0GHU+cG9grGKqv/OYI2FA6UG+yFmHNb9WvWwrSp
BJhJBcvgmTnRRg1BrbU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cx+av40gzEDGhpSKDGRQTx2hryoSHgEzGoDn5+saH1Ig8yg26yPtU2cfQx23ezlWFotTHjaDjnl0
8xFpsaz6yIKTJrsHRkDWNWrSFhNeTmGiLXHfGXJLAkNGSmmj+CfVj5pJGv1R7veVznLyONKgzc/3
NRy5LZxkkN0VfQGbzYFnyobdRDhQqlJL2tNJQk6lXUvW17VObvq04qY3wAku9tGocAsj6zWpKpB0
to4CqzHs973zJ+R39CwkFtyp7f0n1Cihhg9NaWOG/j0fXpmpIWB2UOAiZwUWHX6j8adc2APrnnhP
L2RShabm3V7IO0IZJ+Dek6dB5JL7agpUbMi5MA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15200)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/mult_fft/xbip_bram18k_v3_0/hdl/xbip_bram18k_rtl.vhd | 12 | 22883 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RXzjYiAuFW9ZPRdJt+HwKKvDiZKOOS5JBj9nI3uhT2ZD3RBamqgYzr9woKSYklDDNGrYPt3Vz4kg
IoMuLciFKw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X89ALiF4NNmpknrwaY8kdJdFSvAFb7jqIAoHM+Hw3LRQolpRULqj/QwmbTaA312hoQfi2CQY5HqI
Ahl06JTL56m8wl/ntTv2NEoRSYaZy6LWSQoz6MN7FwxKH1CvgF4lxJ90pA5HaNCvc8/lQZM/5KJf
PNnx/1EHgCfhzPd7vVM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eLKPxpfhEiDRKuu9U/joqisAyt3gRNu2CwG3pWV7lJaLVj/R16rLY6DO9ikbZopbsmARNR4E0B5O
QYsWknng5H4M0diIXVCheMMQIhwVqmUzmr86AxMeMF+hph6jI35GuhbWxrNXnqczuzUXL4N21+Pk
O/g428xB/CE7P/d/g0lqX7Isq+gRt7SQ1K3BJwUyqRE2+PXrB9e8hFfc0Ud50fm7l7Xl8+j0kkHg
SBSgINukt6l0ZPOyQruUtifNOvjQFcQWJnuBN1HCPTMQ91WK4vX/WzYoo0TmKVPvjQo6yHKWUA62
2r+AAvv6nWa+8+hf8azqERjz9t8fkx+spZPrbg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z3w5n/ViwTWf7OT2We/wm1Pr408onv0vSDLVWNGbO8mmJA2K3qZNfGL+pNCU8VOWtN4FHYIKUko+
B1Lts+Wm+OEVYVIE8ZafgYqo7rjbySlMHHwYu0GHU+cG9grGKqv/OYI2FA6UG+yFmHNb9WvWwrSp
BJhJBcvgmTnRRg1BrbU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cx+av40gzEDGhpSKDGRQTx2hryoSHgEzGoDn5+saH1Ig8yg26yPtU2cfQx23ezlWFotTHjaDjnl0
8xFpsaz6yIKTJrsHRkDWNWrSFhNeTmGiLXHfGXJLAkNGSmmj+CfVj5pJGv1R7veVznLyONKgzc/3
NRy5LZxkkN0VfQGbzYFnyobdRDhQqlJL2tNJQk6lXUvW17VObvq04qY3wAku9tGocAsj6zWpKpB0
to4CqzHs973zJ+R39CwkFtyp7f0n1Cihhg9NaWOG/j0fXpmpIWB2UOAiZwUWHX6j8adc2APrnnhP
L2RShabm3V7IO0IZJ+Dek6dB5JL7agpUbMi5MA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15200)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xbip_bram18k_v3_0/hdl/xbip_bram18k_rtl.vhd | 12 | 22883 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RXzjYiAuFW9ZPRdJt+HwKKvDiZKOOS5JBj9nI3uhT2ZD3RBamqgYzr9woKSYklDDNGrYPt3Vz4kg
IoMuLciFKw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X89ALiF4NNmpknrwaY8kdJdFSvAFb7jqIAoHM+Hw3LRQolpRULqj/QwmbTaA312hoQfi2CQY5HqI
Ahl06JTL56m8wl/ntTv2NEoRSYaZy6LWSQoz6MN7FwxKH1CvgF4lxJ90pA5HaNCvc8/lQZM/5KJf
PNnx/1EHgCfhzPd7vVM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eLKPxpfhEiDRKuu9U/joqisAyt3gRNu2CwG3pWV7lJaLVj/R16rLY6DO9ikbZopbsmARNR4E0B5O
QYsWknng5H4M0diIXVCheMMQIhwVqmUzmr86AxMeMF+hph6jI35GuhbWxrNXnqczuzUXL4N21+Pk
O/g428xB/CE7P/d/g0lqX7Isq+gRt7SQ1K3BJwUyqRE2+PXrB9e8hFfc0Ud50fm7l7Xl8+j0kkHg
SBSgINukt6l0ZPOyQruUtifNOvjQFcQWJnuBN1HCPTMQ91WK4vX/WzYoo0TmKVPvjQo6yHKWUA62
2r+AAvv6nWa+8+hf8azqERjz9t8fkx+spZPrbg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z3w5n/ViwTWf7OT2We/wm1Pr408onv0vSDLVWNGbO8mmJA2K3qZNfGL+pNCU8VOWtN4FHYIKUko+
B1Lts+Wm+OEVYVIE8ZafgYqo7rjbySlMHHwYu0GHU+cG9grGKqv/OYI2FA6UG+yFmHNb9WvWwrSp
BJhJBcvgmTnRRg1BrbU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cx+av40gzEDGhpSKDGRQTx2hryoSHgEzGoDn5+saH1Ig8yg26yPtU2cfQx23ezlWFotTHjaDjnl0
8xFpsaz6yIKTJrsHRkDWNWrSFhNeTmGiLXHfGXJLAkNGSmmj+CfVj5pJGv1R7veVznLyONKgzc/3
NRy5LZxkkN0VfQGbzYFnyobdRDhQqlJL2tNJQk6lXUvW17VObvq04qY3wAku9tGocAsj6zWpKpB0
to4CqzHs973zJ+R39CwkFtyp7f0n1Cihhg9NaWOG/j0fXpmpIWB2UOAiZwUWHX6j8adc2APrnnhP
L2RShabm3V7IO0IZJ+Dek6dB5JL7agpUbMi5MA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15200)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_2/part_4/ip/dds/xbip_bram18k_v3_0/hdl/xbip_bram18k_rtl.vhd | 12 | 22883 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RXzjYiAuFW9ZPRdJt+HwKKvDiZKOOS5JBj9nI3uhT2ZD3RBamqgYzr9woKSYklDDNGrYPt3Vz4kg
IoMuLciFKw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X89ALiF4NNmpknrwaY8kdJdFSvAFb7jqIAoHM+Hw3LRQolpRULqj/QwmbTaA312hoQfi2CQY5HqI
Ahl06JTL56m8wl/ntTv2NEoRSYaZy6LWSQoz6MN7FwxKH1CvgF4lxJ90pA5HaNCvc8/lQZM/5KJf
PNnx/1EHgCfhzPd7vVM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eLKPxpfhEiDRKuu9U/joqisAyt3gRNu2CwG3pWV7lJaLVj/R16rLY6DO9ikbZopbsmARNR4E0B5O
QYsWknng5H4M0diIXVCheMMQIhwVqmUzmr86AxMeMF+hph6jI35GuhbWxrNXnqczuzUXL4N21+Pk
O/g428xB/CE7P/d/g0lqX7Isq+gRt7SQ1K3BJwUyqRE2+PXrB9e8hFfc0Ud50fm7l7Xl8+j0kkHg
SBSgINukt6l0ZPOyQruUtifNOvjQFcQWJnuBN1HCPTMQ91WK4vX/WzYoo0TmKVPvjQo6yHKWUA62
2r+AAvv6nWa+8+hf8azqERjz9t8fkx+spZPrbg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z3w5n/ViwTWf7OT2We/wm1Pr408onv0vSDLVWNGbO8mmJA2K3qZNfGL+pNCU8VOWtN4FHYIKUko+
B1Lts+Wm+OEVYVIE8ZafgYqo7rjbySlMHHwYu0GHU+cG9grGKqv/OYI2FA6UG+yFmHNb9WvWwrSp
BJhJBcvgmTnRRg1BrbU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cx+av40gzEDGhpSKDGRQTx2hryoSHgEzGoDn5+saH1Ig8yg26yPtU2cfQx23ezlWFotTHjaDjnl0
8xFpsaz6yIKTJrsHRkDWNWrSFhNeTmGiLXHfGXJLAkNGSmmj+CfVj5pJGv1R7veVznLyONKgzc/3
NRy5LZxkkN0VfQGbzYFnyobdRDhQqlJL2tNJQk6lXUvW17VObvq04qY3wAku9tGocAsj6zWpKpB0
to4CqzHs973zJ+R39CwkFtyp7f0n1Cihhg9NaWOG/j0fXpmpIWB2UOAiZwUWHX6j8adc2APrnnhP
L2RShabm3V7IO0IZJ+Dek6dB5JL7agpUbMi5MA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15200)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/floating_point_v7_0/hdl/flt_to_fix_conv/flt_to_fix_conv.vhd | 3 | 45987 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
F9J3PCfV1jQN5P4kkdsShJy78WSiwQ0/6K65myKq4FRT1xUOGzS9Kna0XVhOY4PEVKP2HRh9CO5k
U9fyexo3Fg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nbfO9eqCGRo3v06TEM7dw5/MGE4zsrG1QCDGH838IR9oLaLlmbrYd+zRMzN9RrHvqiN5wvQx6V3g
p6eoB6dPn6VwkZjH4Uup/aiAe5X2NZVqqRFimFscv0wbEM1UwCjajg6I+wE3HceJQm2hMe1kj30R
irqT0bBRkkZY8+nWxMs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iXEWlOaN71uLMcH8HFaB/6XOpE1RUye4Cc8FklPom69ZmLCi5RFTo3XkDm3NyffW52hx8lrYudI+
MxBMfw3fEjOn4NOFYAz0coofdmsWMEiqGmt5jZ0zOriTl3zPtIOGMz5x4zv2VFeB5PEU1dOrCZF+
+OokchWVh2Yo7GXZiyTbSmACovk2Xbk65vE1dSVnhI+52hrYaiFXCv0oWOZVLHW7IxC3JvXYfn2L
5AQuUDZl/fUUn7r9EbX3MR/7QUZdv05fiiF+Rh6aBqzwPZ4GxglqSWRSuBy4A0OQIWPCVGJjDgv6
NKAmgSzpJIWTXqCEB/5IEGe4lbVEGvaoHJM2tQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IstXNjQDY30yFhod3HqYVxBJOHNrRykE/oIQuAs6tzxrBq5mZmwdHXtN5xCZYQN62HRRqxPt07ly
VZo2nfKeQpFJDSkR7FwrwaOZDEFVnnmg61yIZCsCc1+wfJEVNIGR9Z50riHhscGOGem27PiZkSy9
FfqTJbGd4qsUrKvkz8k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OECHQHVOE4gfUhpCYJXfJsIgKZTnzVe1OQxSWG0ACuSvQL7FMmDDuGEPaoFnUZzev6b4jSDvtgaQ
51QndLcVmGl1+6fI8A0ymGuoem3TVJp5uh1l0+Jse0r9yYLNyqdalQPBALM7yPuVk6AszaOSi0lX
BQ39aJEC47rOdlruK0qbMM0gM9rmkuiJGFpnyrWO8IeIlW5KoT+9J8RcEAjeKgk3orLu/U6x2qW6
5SiMX0oSUGomUujV4QpNVqcSrJPc79sAfhwL5juJ5I7wrGlXaQ6jA2Co0D7sBgtz6tj/2gc+vxKP
5uxkQTcOJrEfvgODgfo9Cs059g42hMA4GdjdRw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 32304)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_sqrt/flt_sqrt_mant_addsub.vhd | 3 | 11296 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Q/0JcanrYN/RnPh65FxF8+TrVPIxo0wjE+s0dO0IGxk70BELAsbas6mJHK7YoN1Ee0RI4siU1JRM
RGhPpQfcqw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IdJm485tL8D4yYCTCtKm9rkIWSqtzE6XzNH9XpCBKosqSjr7GXW+2mt2JYdNgQ4ZrxW0sVMEk/KM
JaL5bOu8v7LpxrOnmqNttglLolmnK9yRUMZJnkF/MbLpbn/d+50AE55Dm6I18tiVFOdN0gGfb0ZK
b70GazgThdBn3jx49Ug=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Q2T+jBxEUK2J7OhfZmaY6UMx7F6nByTOZ68/ubVU554p0PCkKsj+56t0z5IiqqQXWwdKfmisUtLD
3jOga/ERXfNsvE2hyMVbQcsO/VpKPtu8SmSHIcMhADNrviStkE8FkWixqGw86BgGt/GvxwLVV29P
evrCpVL76Rxb78JyPcHMXnLbOV94HMVK4l1xRg+CgNrN1qUW8VTXbFqwF92bjCvXAcY6sn23HubP
5QqAWNpgpEiW0iaJMCAjUR0o18WTfwvONEXktoUXLQINZRkEKqjLda42qJ1/rkaeAiKvZ+5juZsL
wBM7lXJ9ANU5brX2tohdcD1glfPk/Eo833qxdA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VVr74oDMypvCtlKdhyv438dQZ5FQ5kLOw1yj0yaa7THG0kyDDXp0XhANnSSlDrgBcjRm7L9hfQrG
ZtipkSl48oKR5pLPXtphhqzkOm3r3sVyPqYdY/vJlAI1dYSunyjXlcWdSkK/6BAJ9d1xLczHLKtC
6zMKCjQkLvWKNdfmgfo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DyX6jl5NH5eMcsmvmuLm7uvkvql4Ob3lpcGjUbtqe7l+mFUpbJPlUzBIJrwTrBqtigCEWdFt9ByD
Uqel8trtgfufY9+18ySenlPUOsgkQBfvJmi/F1GVvc0er1C8w5A9d42XrLavyV7TbsDtZbxJsOeJ
2NHVo8okOEp03LZ7dV3ur/5tCNDiD6dbf0Mh1GSNoKEJbhacycFxoyS+eUS4lMFrf4I4cYGK6ykE
cP2UxJhc+US4rQ3NbP+iaUbDOFiD0BHd4jOD7sahTFzuZ5zdh4UBgRNJg7ouBC/T7lokROt1G4jA
p0bi6sh7tx7D00NbRaNH13p8mIJQhfClKbnfKQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6624)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/unbiased_round.vhd | 3 | 15340 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
csf2oHL74IDgT0Wevad74mc8ZVFZnj59YonhFAZjnG1poDxHXhi2rKZt451T8LlO+54xBqcUvfcP
hLqSHE4VoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FSDnHko2trb3T/0D9dvUgKnWYY9iLdFR1eoyIxEEGELyp+KOVEmIvFt5Kb0VywdVjAXQ4XL6718t
/Scd7JWCJ2UmhSHSiWctKTTldxcUVDjClwfiir2+NzRt2KdcL5+dVaGm4AzcRypWseK2b9dqKvCh
cepfMo/E/iw6B5xAr88=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jDfMM6iNCXliATB26t6zMWG8kouBXar0KS/6PM5K4dybvoWR5OD0CPUwZvc/MGw0EBHp+sEzNih3
Gt2UoDXDd9Y9E60VAifanPJdZMkbmcqEWvmUizBdeDSVa6Y1ENHD92FmlV1qPK+z8NsfOrsjKBeA
jbAOllU662xH8RhueFHYZ26SfqlnrdVnlZ+VjQvxXuMNksp6w1p1vwJHW4dBP+Vuyw0cPuyQW21o
G+M3l8aajFea/Fjyvb9Jzvt1EBckYhGGWFhran2lvfXPbwuP2Dxlw8t/5mzy1EVDMBaF6Smuh7iJ
i9YSNOouaIJnzAsV6S75aS6BNsZrE3NZHSHuiQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TxD2sITztcT4n1Vu8XOejz7cv2LiMAq/QkqvL8Wc/iEaZj0VemxfbFvQoirjm9rMbuq8ByCiRmFp
wedPjGr3cptNG8kVaQkqc70JnU6AaHmuLko7T0MXkMxEw43OxCvURMXSyp707xAuO32ICIkN0LJF
wkrbu6sJSuGP9B6FSUM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fv/6MxjZV0AboxbVaxgqSGSQ0UkY/74H+XHUeihT5zUwJg3hG9waqMk8JbwGjo/PFApVj4sSjua/
0tVaPrjVF3JeCHWFzWvCTYqr1hynIeCfmRCeZRJHpEWeh+dEvcdSfZ9uQYt2sB5c6dGVJ0kEjiNt
9ifrlMLehJxoDeg0EesC4vv6mkVtF8TITGZCzxUJ8oZb5SVBzz0LH6xaNjYBMIQlgzFJg5GNb7y2
NQZGojCqHN4hZpo6pCtHSi7syZBSA9opr+f9mHJuzVyhfe+YPTu0SVnTNG2gkyRVI63WvSTayHh5
bTC7SpCvXJDiOk3EgbhONz3LkvPaOojU2eARUQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9616)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/mult_fft/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_viv.vhd | 12 | 14128 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Dim80MW1FDzDpMmJHDGUKIZM6GOSCOgn8n5PNNThmpr140IugqXlkEH+UWGn4GGamH8NcVHl23/C
K7Z9tEfmYQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
n0+ILXG6w9aMv9XBqXuTeZFz8g7Thrg+/RjAvZBWmbMg33oLKLbuZvsPzi1c6p84VaZ4iWNrKG4A
vQPf/SbjQ+TcyLOuqm9h4jUs3NnM2pjLf0BVXBXYzfgiWinyQ5lq8tvG7wi7r7IV1Q0k/c8PHnoP
fBHdkGS2CzSWx5v3oRY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SnPwpHk7sYAL/6EZewZQHl2ygiC9CFTximdg5HEk9gXUzMT4jEgMEIm80K9R0p9tRZpJZIfGkF7m
yz55wwfEMxLlPJy8Yz/wvBY4P08HLFdUuqvXmf9hIjcJKa+LhUPiAgjxlOgN9rK4i+kxwkumF4IH
DvCG9+82TF4WUoh/sBqbaBJlM47bGIDa/gHOhk5YpLTMSYumkoujSsDP7z0DxtbO/qQrn+hggrHE
U8OAUQsvSr8vj9l++TNAP9Apg7aO901amzcZhqIsRUBaL+rvClTR7nPH+7l7oon/995Rukx6j+PP
5Idj002YVDUvnCv/1w+uMklYNp4V6wHjpeCxHw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EQsfHFU7HjRlXIWcOPv1NW7mVBKeZ9qrbOio+xjCwDvbXOd4blv0/5xUDnWJaGbhicjuwr0V62vw
QrPEzz4ozqQqEtRO5z6xsf5UR1Dzv1Z7L/Q3/sRSc6sfwMlgy8yye1xcESwh7O+yvgAORweWkhSm
AdL+wZuwC59tJi7eUS0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
R6oVmCiSyuCkOjet92cJkHc7xLJXThwi6DZYFxvm5kxPtPR/UqKA3x99khRXxiTuMwq8Wh173zka
AeG6qHX1IGRz+dBw6LNS3vMEQVCfjs0Rr/3OqQe/J+yAXw04ibSNipF9E7L0ksryrGa0No7SywBT
+jLRh8nsmNEpqfl5+BDOw3nF5G9Nh1bVwm/Z7GlMi1PdUwWvmj4o/mHDsBDXfAEPNolLfjdkvuc7
rKoF75d0NiR1bymse9IBbI8NlCIQRkU5iK1FF3iqRKAiy2Q0ewT1+KkxgiiNFG/PFO04h3eDVsTc
bleZ2T9DNMLgVGy/zhQH5Vcfv2jReOagJFdrTQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8720)
`protect data_block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=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_2/part_4/ip/dds/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_viv.vhd | 12 | 14128 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Dim80MW1FDzDpMmJHDGUKIZM6GOSCOgn8n5PNNThmpr140IugqXlkEH+UWGn4GGamH8NcVHl23/C
K7Z9tEfmYQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
n0+ILXG6w9aMv9XBqXuTeZFz8g7Thrg+/RjAvZBWmbMg33oLKLbuZvsPzi1c6p84VaZ4iWNrKG4A
vQPf/SbjQ+TcyLOuqm9h4jUs3NnM2pjLf0BVXBXYzfgiWinyQ5lq8tvG7wi7r7IV1Q0k/c8PHnoP
fBHdkGS2CzSWx5v3oRY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SnPwpHk7sYAL/6EZewZQHl2ygiC9CFTximdg5HEk9gXUzMT4jEgMEIm80K9R0p9tRZpJZIfGkF7m
yz55wwfEMxLlPJy8Yz/wvBY4P08HLFdUuqvXmf9hIjcJKa+LhUPiAgjxlOgN9rK4i+kxwkumF4IH
DvCG9+82TF4WUoh/sBqbaBJlM47bGIDa/gHOhk5YpLTMSYumkoujSsDP7z0DxtbO/qQrn+hggrHE
U8OAUQsvSr8vj9l++TNAP9Apg7aO901amzcZhqIsRUBaL+rvClTR7nPH+7l7oon/995Rukx6j+PP
5Idj002YVDUvnCv/1w+uMklYNp4V6wHjpeCxHw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EQsfHFU7HjRlXIWcOPv1NW7mVBKeZ9qrbOio+xjCwDvbXOd4blv0/5xUDnWJaGbhicjuwr0V62vw
QrPEzz4ozqQqEtRO5z6xsf5UR1Dzv1Z7L/Q3/sRSc6sfwMlgy8yye1xcESwh7O+yvgAORweWkhSm
AdL+wZuwC59tJi7eUS0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
R6oVmCiSyuCkOjet92cJkHc7xLJXThwi6DZYFxvm5kxPtPR/UqKA3x99khRXxiTuMwq8Wh173zka
AeG6qHX1IGRz+dBw6LNS3vMEQVCfjs0Rr/3OqQe/J+yAXw04ibSNipF9E7L0ksryrGa0No7SywBT
+jLRh8nsmNEpqfl5+BDOw3nF5G9Nh1bVwm/Z7GlMi1PdUwWvmj4o/mHDsBDXfAEPNolLfjdkvuc7
rKoF75d0NiR1bymse9IBbI8NlCIQRkU5iK1FF3iqRKAiy2Q0ewT1+KkxgiiNFG/PFO04h3eDVsTc
bleZ2T9DNMLgVGy/zhQH5Vcfv2jReOagJFdrTQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8720)
`protect data_block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=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_viv.vhd | 12 | 14128 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Dim80MW1FDzDpMmJHDGUKIZM6GOSCOgn8n5PNNThmpr140IugqXlkEH+UWGn4GGamH8NcVHl23/C
K7Z9tEfmYQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
n0+ILXG6w9aMv9XBqXuTeZFz8g7Thrg+/RjAvZBWmbMg33oLKLbuZvsPzi1c6p84VaZ4iWNrKG4A
vQPf/SbjQ+TcyLOuqm9h4jUs3NnM2pjLf0BVXBXYzfgiWinyQ5lq8tvG7wi7r7IV1Q0k/c8PHnoP
fBHdkGS2CzSWx5v3oRY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SnPwpHk7sYAL/6EZewZQHl2ygiC9CFTximdg5HEk9gXUzMT4jEgMEIm80K9R0p9tRZpJZIfGkF7m
yz55wwfEMxLlPJy8Yz/wvBY4P08HLFdUuqvXmf9hIjcJKa+LhUPiAgjxlOgN9rK4i+kxwkumF4IH
DvCG9+82TF4WUoh/sBqbaBJlM47bGIDa/gHOhk5YpLTMSYumkoujSsDP7z0DxtbO/qQrn+hggrHE
U8OAUQsvSr8vj9l++TNAP9Apg7aO901amzcZhqIsRUBaL+rvClTR7nPH+7l7oon/995Rukx6j+PP
5Idj002YVDUvnCv/1w+uMklYNp4V6wHjpeCxHw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EQsfHFU7HjRlXIWcOPv1NW7mVBKeZ9qrbOio+xjCwDvbXOd4blv0/5xUDnWJaGbhicjuwr0V62vw
QrPEzz4ozqQqEtRO5z6xsf5UR1Dzv1Z7L/Q3/sRSc6sfwMlgy8yye1xcESwh7O+yvgAORweWkhSm
AdL+wZuwC59tJi7eUS0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
R6oVmCiSyuCkOjet92cJkHc7xLJXThwi6DZYFxvm5kxPtPR/UqKA3x99khRXxiTuMwq8Wh173zka
AeG6qHX1IGRz+dBw6LNS3vMEQVCfjs0Rr/3OqQe/J+yAXw04ibSNipF9E7L0ksryrGa0No7SywBT
+jLRh8nsmNEpqfl5+BDOw3nF5G9Nh1bVwm/Z7GlMi1PdUwWvmj4o/mHDsBDXfAEPNolLfjdkvuc7
rKoF75d0NiR1bymse9IBbI8NlCIQRkU5iK1FF3iqRKAiy2Q0ewT1+KkxgiiNFG/PFO04h3eDVsTc
bleZ2T9DNMLgVGy/zhQH5Vcfv2jReOagJFdrTQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8720)
`protect data_block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=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/xfft_v9_0/hdl/twos_comp.vhd | 3 | 10023 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Uq1Bg33qZa2pXc7Mdc7Fosl8MgjMdmwVBFXrvxnQb9XnWPdP7Ls67dPiQR2Ht7jDFl1KCYajYPA9
yZlPlTZ1ug==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QpII4XczNotEI9QcV6FyK0xMaL4cD1CkFRExUvEW6EMNMOlxApbMunLfbh3+nWEe6lSJnAsLdwLe
P9oicl4PVNXLgw9O19hRlry02xgXFEJ7BwMw365C3QX+ad0bhmW+EorLNYaH3kt1KJhHNb0scDGv
DtyLca9yQc4ifZEMZCw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GdiwjjjM+nhyUhOyry1jT9ufQYrmPPiO42QaP9amACA4LLEvMh1iSGw5FZk7YbNd5Uz9uzYST/fZ
ktFytX32kOq4sw8N1C6ayNDhtjNk1JlOAR567b1IF5VXmOCZCO7DDN5d87oHaVkN51RANXAFav8C
XDoA88JJzy1U7PbNAGCi6fIEsluiV13K7REMvZgiIYNzomMdcmapSNc4nxnzsU8sg+KUCOq4hNVp
OMQv05oXhMwVS+zSZ95ZWfbAtHCzHS4uEhhlTusuWiR7FY8g3rYYit+FdSaMpRg/HWhVY6x/gnks
RaLrJz+d6x4z4tuaq2HKNFxSI2esIW3qgQCP7w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WJkzYT92F7JgSLMfs46NEL84E5UFFeNdH1dDFDpJvIA5N5hBbXjEiBR5C6QTVDhEEiVaRU0PuPfn
JpzP45ZZYrADvNwluQQvIek8uNfaqqgB8CX0HRsPQyxBbFt6nR4PWaubAioZXiywFoAdEBIrbFZ7
E6EZW4RIG94scrUw5bU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IZPahl5nrhXLG5zW4y0oab+rafgzIMbfqe4s7LaWecFT90keMI2uNaljZtRDktgUMdXNBfKimkli
meHhJ2UPvdkhzJQYPmMI8ZMEZwN0DSfX97aMOuGO1gaBHe2Gt1bzIROdz6l7WCHaVUrFCeC8+TXD
5Gs8HxtirLPyZGYH3LWMwh8/P6+k1zkgwD1TQEy3OXooyzBIdkbP5yBGw5Gh56hGrd7T9LoCSE5g
atQ2JdUzqJWKh+B/RcFvnOTewY+q0BtsHppd0cFr6fHr8Stu/Nchna6FpKEKdTu7D1Cu/moaVV3h
baCqRBLHcYLtnxhCG8u6yQ6X/yGJYi5v+QQ0Hw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5680)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/xfft_v9_0/hdl/axi_wrapper_output_fifo.vhd | 2 | 14518 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mv3JUoK+fLl2YEqaBZZMQQU10VSFr583U6XA1rs9bu6pTtbDa6k+P5lTfe3QBSXB30LF3361iuq0
BXEPy36s3w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LglD86V3WM7SZJas965yLoppXa8k6AKPVuxXKm1eZJ+QK2wzB7JreCMFKb/7gMdD7nBNpfkVJtQQ
9X+S2p4aoFSYw/nhUjhJagGPHVWegc7EM3NbLzwPBcJjovtlaJ8cFbgQpjzm18YoBACMb9veyfYR
fAZ3HPTNJ5b+vaPTDrk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LmTmCkSsWt0+nb6aziJP9h/WTGWgsZn+/4e2hY+GTmV+XXxJ7iFpKiDzlC3YmAI6DrUW4qpsIJDJ
W2dXc3HSHAkXQDOBBCdhAzAwjGrLv4AYpQws/VJYf2QDm+qI5+EiLfy3GRs3ruSnXx8uPsEndCfF
UwypcqIC8wdAHtb3UTMF7aH7gcLlYnPGE89OWEb9rNXAHhzPVoffti0bnx0eTB8K+acMnzkstRbj
ASXqMVmksjkFwvu050A+7/yApdIPsPyHZqgygxV+15FwGIAZJlZjJJBojgwGJJ1YWqRkNdUmmoHZ
PedsSijDAI06VSwVdvUOgTuTkBM4Fa8Ru1UDSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j5C2eOtLOTvpVoGxAq8JOBzl0jeVKo6OGDInvBOOfgAWVHELyQduyNBlWx2DDpD62BZD9BuaBW1x
CmnekASfDSGheQLckbRJe4ktSy7fM7wgPnVsKpwgz+or3Aay2tC+Fn9+jW2B8KDMwloLYARe6zkM
BBThfE+ifLlwoxSChSw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MZhrumpDaQCCUZ2z4mVmDHOLn3D9vb/IgN/xKpMhLorfG4BCMdF5G3nvQ4MNELOedCOXj5oKedSK
vwe3e0RORjYgp9rFRuzmX5OlC0c70S2rJXzHyeH+GJT/2LHGZQnUafVC6t7wfGyi1blWSMNqUYIM
tyYHwJtdUKBmjLS2q1QhKXgCPCOAtEpVKtU6qFbvSczC377rVnNUq2ilrt0D1GzZKzZB7wxwKEDH
J9Jh9IT9bF8TB3iga5ban2hJLBrClSVKF/ZqWnRzqzYB72or1s5oHYZ5/M+s1vxL22MHQesgdh7o
i95oYahko4aKLBjSxvmlSPNiDTzJ3Ep/SlDykA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9008)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/cmpy_v6_0/hdl/cmpy_v6_0_viv_comp.vhd | 2 | 13265 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZDYLcnShrheQ0JiTnSwllCV52mSqEuAdRVCjFB+9rWIpP+oEVlUuxAxij0s9yf316jhqbj0jv+D3
X/XbbgeYBA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pbDHrD9fb9BUcHi2dUqDoZW5VMcYJA8iZ7sLts++DTaTiLZw65o6mkwVRpsC65gySNnYe7e8JkEz
jG9SZGMqzvKQinXbi73ZVluJpOvFHrUZoKX98aTHOpKbeWfgXZCv00zkrF2Dn9hOcgIpprEe7H1e
d2Hrhdf914wUXf7288w=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JLcFhvKfxO0Dj64uZjuCsMnjjtaY0MgXgNvHjRsG65tf1vhokFjJzprOY3HaUcXmUQiQjUbD7YBF
3s3+tuwKEDe0BAZGVYA/LJwle/QabbBFIh5i3lS0sv2e+WYRncpxGxqW0sKSG0boSe3iW54hAq4s
Ol7L0T5Smz0SviEBpBZYnLRmAiiv/QjzCXuyrwPcxj3nFqaKDO1HBec1iF+o4ytlkHTvcGcnhWfR
DDZUo+YSsQKHM5+Eci2jOvqzXbmmDWpdQU9/4GY9acykwixiL1FzfZ+xVDU5vDJDx9IozkSAGzd0
wPS7tZxqVsC8P01tN0v0yvzM6Dx43iO+jMIydQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
buIBqF/XoB4m9PyOb/71RRqS9VV/is0sE9Pw4UMmsJ81/8rMUDTR9ARRIpe3WupIpGPKcGlP51DY
CQAKUlwBe89ngbuYJyYJC2jUt2HFOXHMS+AD45kM236hMbUJU4CDeES73p6t7wTF+xtOxXsnxhrb
egOrCpLgJe1g08wMmQo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hu8CDwpFPxciClS2knQ69Xq7bILdQf1kDhjmbck0g5f65WqiPZ2UejHP8LLexEto+BwykQvfXcGK
7xxhFwLh6rtHzvRjx3v+EI7Ykev3ANtA+3j7eRWRUOqM1ZrevIAyJGNgdmX+YlHjhTypBDq+0bS9
T8k1vq2DBXQsHxE29pmLTZMTNxrYJ/+R8ojQwtTUlubQD+9IReBPgnsdfwv2DpSVy3thoqwQiXAG
Vg7TBLZRTMsx0pB2J/WssF4LaeMrdtxRC+vgCrYvq3iQwhPoEtE2jgoKxNBSFa65AaykcnPWratP
4jGA8soI+pAcKd/aurj204agjTC+Vqpyd3Gxjw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8080)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/multi_fft/mult_gen_v12_0/hdl/dsp.vhd | 12 | 181273 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EQHbbfOVL6gqfpeCpoISbj+lHfKr4vlNCL18x6H0v0zQdPY/b8eADbNrvmvYjVcc0Tn1YlW6/oif
3vs3Nzg6iQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TWVAd3vZuakptUOe4SlR4HP/Qprg7tREK5KZyHcWZuI4prRJIyFeZ5KFTat8JfgFJYjNQZxQtMFi
t43U35kto+eyadS8hUd1lp14BAHOO/DBasc9vph2b2xCcxsMbIBLtNXOsOlLVqylmByUyppDFqds
sQ82BR+a4komiBeOV50=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
i3hdWV2Btkks5l8OpwnLTliwlr13EwxZaIAf1Y3tcSPZhDz6yEu7xxedJ5lV/LyBvH1uukK8zPLs
Mvzj9izn0HqDESKEPRrajf2E3LHbg36g3K/SAL+uZLuYDo8Rjg4qPZr60qGzcDINBVBiaBBVYaJZ
sinW9hN5toHGqKP3wZsxfdpWBzkFWOf+kDKWRV1ONKUusqD7q9a5/mIpC2pHr2Pn64xHKavTHN/y
IFfznTRSXKoPv8gqv4yllwaZtBPAFZakeoeMwcrBd+xjmTk0tnsXJzsi2qtIvWjU7Xa6vS0b1A+d
jq5ObM9OSnauk4yDCMPAepQm18CwRl+18wG39A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gZj9Iqx36t2MoKbloLaYjl9TNovjvifcJBpm50Bj49eEXTMMiSI47gg+XZa+IefOjgwy8N9yGSWs
up8fFGQd2XZBecmqIdgel7DtmTzveHJd1eWih2agQJJ/CKKN2wAHWVkuxo1sN+dFg5l/gEyjlOzc
xD4WVTcJCSefjXIZXh8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
d2ddZOSCnJp4objvLe64SilUiXTFDb90g73z8MBtePMbmoXnX5glCMa0KIQtm+CKbPk5DYnsMEkd
pbn2YC8EcqcJL/knJy/CgD3l9RTZtMeyH3koJIHeZuccfhbpx1iaQTf7tMFx81NrKmtv/1tphcBk
HM7xXoVr0wlE3XF51G96cxwGAvygKRbI97JtiWystSamKboZRyeAzZpl2Zo0rKOl+/tAIJCz+zp3
i90v3e9UQcn/BzNGJrJPt/Hfu3SdUtg/KjGA1q5Ud1nAOI8lq8jolI5fslaEEC37Qw2gUc2w36Wd
z7d5uWrm5mb3oJWstXofS6QSqCJBQlGpl5mLeA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 132448)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_6/ip/dds/mult_gen_v12_0/hdl/dsp.vhd | 12 | 181273 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EQHbbfOVL6gqfpeCpoISbj+lHfKr4vlNCL18x6H0v0zQdPY/b8eADbNrvmvYjVcc0Tn1YlW6/oif
3vs3Nzg6iQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TWVAd3vZuakptUOe4SlR4HP/Qprg7tREK5KZyHcWZuI4prRJIyFeZ5KFTat8JfgFJYjNQZxQtMFi
t43U35kto+eyadS8hUd1lp14BAHOO/DBasc9vph2b2xCcxsMbIBLtNXOsOlLVqylmByUyppDFqds
sQ82BR+a4komiBeOV50=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
i3hdWV2Btkks5l8OpwnLTliwlr13EwxZaIAf1Y3tcSPZhDz6yEu7xxedJ5lV/LyBvH1uukK8zPLs
Mvzj9izn0HqDESKEPRrajf2E3LHbg36g3K/SAL+uZLuYDo8Rjg4qPZr60qGzcDINBVBiaBBVYaJZ
sinW9hN5toHGqKP3wZsxfdpWBzkFWOf+kDKWRV1ONKUusqD7q9a5/mIpC2pHr2Pn64xHKavTHN/y
IFfznTRSXKoPv8gqv4yllwaZtBPAFZakeoeMwcrBd+xjmTk0tnsXJzsi2qtIvWjU7Xa6vS0b1A+d
jq5ObM9OSnauk4yDCMPAepQm18CwRl+18wG39A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gZj9Iqx36t2MoKbloLaYjl9TNovjvifcJBpm50Bj49eEXTMMiSI47gg+XZa+IefOjgwy8N9yGSWs
up8fFGQd2XZBecmqIdgel7DtmTzveHJd1eWih2agQJJ/CKKN2wAHWVkuxo1sN+dFg5l/gEyjlOzc
xD4WVTcJCSefjXIZXh8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
d2ddZOSCnJp4objvLe64SilUiXTFDb90g73z8MBtePMbmoXnX5glCMa0KIQtm+CKbPk5DYnsMEkd
pbn2YC8EcqcJL/knJy/CgD3l9RTZtMeyH3koJIHeZuccfhbpx1iaQTf7tMFx81NrKmtv/1tphcBk
HM7xXoVr0wlE3XF51G96cxwGAvygKRbI97JtiWystSamKboZRyeAzZpl2Zo0rKOl+/tAIJCz+zp3
i90v3e9UQcn/BzNGJrJPt/Hfu3SdUtg/KjGA1q5Ud1nAOI8lq8jolI5fslaEEC37Qw2gUc2w36Wd
z7d5uWrm5mb3oJWstXofS6QSqCJBQlGpl5mLeA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 132448)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/multi_QI/mult_gen_v12_0/hdl/dsp.vhd | 12 | 181273 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EQHbbfOVL6gqfpeCpoISbj+lHfKr4vlNCL18x6H0v0zQdPY/b8eADbNrvmvYjVcc0Tn1YlW6/oif
3vs3Nzg6iQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TWVAd3vZuakptUOe4SlR4HP/Qprg7tREK5KZyHcWZuI4prRJIyFeZ5KFTat8JfgFJYjNQZxQtMFi
t43U35kto+eyadS8hUd1lp14BAHOO/DBasc9vph2b2xCcxsMbIBLtNXOsOlLVqylmByUyppDFqds
sQ82BR+a4komiBeOV50=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
i3hdWV2Btkks5l8OpwnLTliwlr13EwxZaIAf1Y3tcSPZhDz6yEu7xxedJ5lV/LyBvH1uukK8zPLs
Mvzj9izn0HqDESKEPRrajf2E3LHbg36g3K/SAL+uZLuYDo8Rjg4qPZr60qGzcDINBVBiaBBVYaJZ
sinW9hN5toHGqKP3wZsxfdpWBzkFWOf+kDKWRV1ONKUusqD7q9a5/mIpC2pHr2Pn64xHKavTHN/y
IFfznTRSXKoPv8gqv4yllwaZtBPAFZakeoeMwcrBd+xjmTk0tnsXJzsi2qtIvWjU7Xa6vS0b1A+d
jq5ObM9OSnauk4yDCMPAepQm18CwRl+18wG39A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gZj9Iqx36t2MoKbloLaYjl9TNovjvifcJBpm50Bj49eEXTMMiSI47gg+XZa+IefOjgwy8N9yGSWs
up8fFGQd2XZBecmqIdgel7DtmTzveHJd1eWih2agQJJ/CKKN2wAHWVkuxo1sN+dFg5l/gEyjlOzc
xD4WVTcJCSefjXIZXh8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
d2ddZOSCnJp4objvLe64SilUiXTFDb90g73z8MBtePMbmoXnX5glCMa0KIQtm+CKbPk5DYnsMEkd
pbn2YC8EcqcJL/knJy/CgD3l9RTZtMeyH3koJIHeZuccfhbpx1iaQTf7tMFx81NrKmtv/1tphcBk
HM7xXoVr0wlE3XF51G96cxwGAvygKRbI97JtiWystSamKboZRyeAzZpl2Zo0rKOl+/tAIJCz+zp3
i90v3e9UQcn/BzNGJrJPt/Hfu3SdUtg/KjGA1q5Ud1nAOI8lq8jolI5fslaEEC37Qw2gUc2w36Wd
z7d5uWrm5mb3oJWstXofS6QSqCJBQlGpl5mLeA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 132448)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/range_r4.vhd | 3 | 23876 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VgLF9SQuL8ubHd6DljnNG4+eaR1e9MEXa6G67x8E0QTfOU42uPV64nkjojUZToHgjmGygLX6jh1M
RiYW+a6z+g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
d25+ihW3VWcv/WefE+0DSplprclRpNZldTpUJhdlxdjelaFLKEBdSAHxAvKsrcMzH7J4GQtz+FSn
CSqT1MiS80xGWVFeaLG7TH1wh47fHJ3sHAkDGQs4dQOLIKqtJN1IgYi2kbXCVXufuVIVgTfyszyX
Mgne1FILpujIRiRsopA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OC4FYqvz6nWkX1yavh1en43k/G17p3RNySfr2knBCH08ybEvEe2wIap7JSbOnKmiWimqwIarOTdO
7sihil5SRxHZti91zMFIO6WKRGqXP4hIiWsjftqJQ5nDB+VkaBvkpxPvN47GdBDuBrFVBg06VrpV
MP17mzhDG7mrxigmR50+CaXEwTRmNjmVY6osa4XZWEbqwsgTeoVXwkWeeMfmKqcYba3fnWT8wPkG
+E/e5xf20r7BEYtU2x9e7TxNsa/lXJ4CNYp4/4WcKwINwzVObtKekWEut1iyJNTGyodu6/Ufel1D
c+PbZeHN2lzBIyCvlEu55Qab09emofZEDvUN3g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KZgzPa3GJuatlJUFqnIR5HDEDfOtjJ2vwDgkpJRM3GhE1OjV3S7datl0Ilu2YZnHekPGwJ2MSUVJ
pB9Q/DKIG8mdmLiANWH7j3+x2KtnqmGua3RkJLzTuvuuRVDP6WvYsAeI5cUYCnRRnfx3bicAWXx/
iFnTm3zShYs+5wm4OKU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XUrTm9ScVbSF95zhukCZ8cEPSq4dRlCr1p3QlVY156GTMZ1N9OC4uPGgS/fu3UUiD3Wh/3V8MhwS
fY9+7O3EMCi/HdfNwhyjkLM/gDn6bbqubIDe+wE+kklXHlKX7181JDou05jSutVg7e8A1iqO+kaa
j8jDluqYuvXSctVR2FRzzd2VeCnW4L/XnnXUXIz7zOR5F7yymvOVPdyJaNnyFRa8gsUHDZsV0/2W
EbkviQa4XrL4J96Tg/wrGJi/ReaRCkPmMjn/ixqONvUUOO9d6cEl4sP01f+X9hiVO4T8CO8llOac
xYk/SsWha74w167YXjR/JZow6PxfGh3mi6n5kg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15936)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/fir_compiler_v7_1.vhd | 8 | 19272 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZlZZEbsr8EhVd/tncR+1cEEZV77IFLZY+fIvzplXRCs93q0cyeKWQZAkvn8AWQsLGSIJMGk2mWWJ
yt6E9CVURQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
AxzKjNAqYtQSpJLW4HSciagPL5oGeA9UqP/0Ltly8yk59LkgBgJtvcTa7JCTymNQrHhkzqT0eEDI
vl2pWBNqqdco7t8BfBdwQ9nmMjGdtHCpk5/7cNxjIz+kXv/b1/JNWgPWOHKlomUkICzqt0rHCNEA
9ldMUvZVI2+cuyHspLQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pft8hc+nqcwKbALc8pF1wZBrQdQPrZQ/NHEIBIGPSVclhW4YCaw7w0KAPKUOGnIjPM6yrtbIewRE
ptqaDOFHxi/aRN4dhbIhUVt88hQb0yqBkjTIT/C66i/BrkSKaDeDLAJlH8k9Md/Okv9apNMK+nQD
Y8EAO1fKYvJ/t1TxkvyEGsnGhavqHZZJIoiUOSrATYNhQ+ojuJeBvxvfoXtgZIRH6n/ozGfq/3XK
inZxE4YtiwOBTu9gc13ClT2Ikd8BUFZ33D4y4zPHa2VJteQMMdHwzlBA6iHsM2ce5qYAPYnNYv9R
3VM9pWxn/bEqcaLBdS4/oL9Uq4e8wDt3PawgPQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EZoQ7FNLvkWfRUb8qspmN+hj163bKAoBYZxZ1e20TYiOHxSxWpPpUoKQRSME1BSBbDJM7uMlE93N
0/VBIC/ewR6C1zxwoRfZQ2HXRdyDqBP+T3j6626ysaPkVEL4wywKP84Yqsy52WbP8i/7luPnRdHq
go/XYLWAkjhPBvsDUaU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mAus0xc2NAYyI73+hUEf8NDNdNh95iLthO3bD88dryvAb7qqqhv63dJi+pVhmOTgFs3JE2CUSfvH
pZ5HeSef7frXOBONu3cuPmwp1UuNVT2Y8VIluVjB/bSSzUQ3let4oFx3UC6zBkr9wxssksr1dOhV
CpGuprrTX/RlEkpWNtB42n46VcTSIVNInVuiYDAi9DT4uw2+58FLvolj5bTzpW4C8oH21OzdbcNA
qQHzdGjOePo/fJP8LNy2tNt+/cY89HnJuE3VtViAKIthhbZifFa+QzCy7z046l6JL/LXgCTkZt7K
LyEih9c9bzd4rh9p5brat7exyQETnUOsPG3/UQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12528)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_bp_lr/fir_compiler_v7_1/hdl/fir_compiler_v7_1.vhd | 8 | 19272 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZlZZEbsr8EhVd/tncR+1cEEZV77IFLZY+fIvzplXRCs93q0cyeKWQZAkvn8AWQsLGSIJMGk2mWWJ
yt6E9CVURQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
AxzKjNAqYtQSpJLW4HSciagPL5oGeA9UqP/0Ltly8yk59LkgBgJtvcTa7JCTymNQrHhkzqT0eEDI
vl2pWBNqqdco7t8BfBdwQ9nmMjGdtHCpk5/7cNxjIz+kXv/b1/JNWgPWOHKlomUkICzqt0rHCNEA
9ldMUvZVI2+cuyHspLQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pft8hc+nqcwKbALc8pF1wZBrQdQPrZQ/NHEIBIGPSVclhW4YCaw7w0KAPKUOGnIjPM6yrtbIewRE
ptqaDOFHxi/aRN4dhbIhUVt88hQb0yqBkjTIT/C66i/BrkSKaDeDLAJlH8k9Md/Okv9apNMK+nQD
Y8EAO1fKYvJ/t1TxkvyEGsnGhavqHZZJIoiUOSrATYNhQ+ojuJeBvxvfoXtgZIRH6n/ozGfq/3XK
inZxE4YtiwOBTu9gc13ClT2Ikd8BUFZ33D4y4zPHa2VJteQMMdHwzlBA6iHsM2ce5qYAPYnNYv9R
3VM9pWxn/bEqcaLBdS4/oL9Uq4e8wDt3PawgPQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EZoQ7FNLvkWfRUb8qspmN+hj163bKAoBYZxZ1e20TYiOHxSxWpPpUoKQRSME1BSBbDJM7uMlE93N
0/VBIC/ewR6C1zxwoRfZQ2HXRdyDqBP+T3j6626ysaPkVEL4wywKP84Yqsy52WbP8i/7luPnRdHq
go/XYLWAkjhPBvsDUaU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mAus0xc2NAYyI73+hUEf8NDNdNh95iLthO3bD88dryvAb7qqqhv63dJi+pVhmOTgFs3JE2CUSfvH
pZ5HeSef7frXOBONu3cuPmwp1UuNVT2Y8VIluVjB/bSSzUQ3let4oFx3UC6zBkr9wxssksr1dOhV
CpGuprrTX/RlEkpWNtB42n46VcTSIVNInVuiYDAi9DT4uw2+58FLvolj5bTzpW4C8oH21OzdbcNA
qQHzdGjOePo/fJP8LNy2tNt+/cY89HnJuE3VtViAKIthhbZifFa+QzCy7z046l6JL/LXgCTkZt7K
LyEih9c9bzd4rh9p5brat7exyQETnUOsPG3/UQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12528)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/mult_fft/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_pkg.vhd | 12 | 18863 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
caQ4kDNpC+yRhd9rT8TQRjEh5dHwq37lgHnP3RI5sQRwfA7zsWXwbZRhGD9ikfspHeHU7ayi3OmU
WfEoUTW8pw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VFnFlmJ3J1D3IRh9aa3aLQlPXF5So/7159XiX4axP65bRTF088ez5OE0uWO8ayvK4YW3ZqYiTOOw
6p9P2epqNjkH/N8i8ZN5SsgJ0WT/dq56xwITEDoGQp6E8y1M9iB5e3Zs60VN8QiK3xTd239Kb2Is
hT+s2ECmzEqJuVm3TI8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
24B4SGNCPgvOzh0vhtLN5279M65nzAa+XDLRI8Cw2pv0wf4YoOAqzpljAP3KvdJbt7+u5dUe+Abk
0bo9eO3SfhQi0EmJmr35x3y9MUFrD6V0qKHNSlcfavPNdn59fAyIql3Drt/x+RVhVZWrvhXBdq95
/5O1Yh2EeLrqlMpZtUAX3NuKrFlVe0pq950XXav0uroscTnf4/E8Loc8mG6O1sYv3UsREH32oL5E
V2Yt408Bk3rr0M8fm1mtKwXy/yHscGX0bfEtFlw2yBf/V2lqnPdBkOIdRFkZ+hc4vmgrL3zC+u3c
FSfumsObF4ymosR166ClBdZcC4XDGZtq5xGaNw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ovx259cNDtBFa/oJJBICxm0yvz+h/4r7qzgrTH4KP8268kcBQi/sVCMnbjohNqICo+/7l8gEaAFO
fqml5lkEdgGR/HZ3l3n9Ome0tTbBZiNnyAZ8QsE5/wugnKRozagtWPFRBwBNPboFN5JFDfQCNnW9
DNOUg+hIXZ6UYpUjvT8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
K/IQQ0giJwfKqgjeL4+9+HSBTNKM2ovuq6Z+1C9A+q3EeDaIdaKKu/T+ZpCeDPYUyuKubgaG1HFN
MVGYVReTc8/zcsCueJF4SCCSjvLrqJpGqI+R1WxFRfqpheXCnilqSVpW+QXRhAznH/pS4qYWp6Br
JkX58ivBK7d0+qWjdNaVIgFAPQwa+zBDnB1rFqFki0yW8C9cHai+7CQXpP437jSGbX4UaE7vxDc8
7LtIslDy9Xexh+dRqaSdV+vbdqT0/gzea5XE+qxW4urG1TbURNc1dsqq819daBkpNlzlbTWrQi4E
NCnk/sVRC2oftsggT1HR7Wow02cXwEWoKGzwtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12224)
`protect data_block
D8z/iPofreP1HyZNSgeQCyLknHrsGZVfg0k99mSqC7j4JxqgvBrRci0jpFYE5wv1oqRqAYheZuwb
bOi9B12CLlfPXuU7zXt7eiEw640+56cqFI9wut9XOMs/vuwRLNJKAyEpGlaycjPhgGfBHR78sYZg
smSp2EPc5PIgT5c/PLifGilSJ4g3jcsPhdgOhZWdKnz9gU75rIBuqhjwO4P9ejGriz3B2/MYRv/C
7NrCkQWG5ygzBc7ofO0MERZP2kgB+Gzkxy51M1XsXJCBdVL4afrJOGAGp2Wt2Mhvt+WbX4Z+lBsk
rv3+y4MVnXPNSSBExdYQE+trALLK6zFcoF710JjD273HCo5a7hbonrOU7k9ln/LLdwAZPSsiXqGS
1kiO1yYtymKhh4uHPGsXiqVqRCCaL7lZYJW/iRZ7PJlIImJSoM0BZVVbdJwTdtIT+Xs6w5xu62Az
O7+lN8VnidNspmeiMnlGJ3oJc7XT37w38L1NNrGiQ2vMGewpjBFcv59lFhbmIyWV7dNo1s70MdkX
79oaSSYpVorGvrGyMUv2F6be7Nz5QhbeIRDaO8IOTJQwbpPFjgaLChfGruFfDZSS4a5Zk6yzyDoK
Hn/bbo+Tp8SkdLR0c3TKb+h2CYGQ4o561AuTDXrfwSgwvP/FEDiipGiy0ecC5ZHW7x+xyjUBUuok
CXch73tQAd0djnMRVJL3q4RwSoLs84l3jexicgXtyEiGvuvjplO+tGgimUeUaC+Yp8w6QgzKspHV
jHnZRNiDcmhjDRN4FKeHzTnhkiyGaAdNN0K96YT5QozsHAvhDIZuk6g0rKsm3FRDqnl8mUow36dm
4+iahHUbjwOcFVd5Ef9RuEoNth60SAT93GHpTi78T+oYZH05yTRzeYlEu3wXyrOVwhAIMyrssETK
pN5JmEWTp0n9FJQja3LNBrDUn18M+Oyg6ag4R9gMFMaguxaI5ZQd0ZMQLAoXwiniEfRSOwXKQWky
R8blj1WKxUSyt/H2B1NOfgUCJchv1AY/4XNF0p8yCQgD9tK4ZC4uSnKzZit5o0ykc5++NZrpptSO
Ks85cLWvwmYDuf0+EaPU15NiIiRq2j0WUn2diULLk7TGklqNwYPEH1sFpm0PCIo0GovgfGil1Y0E
5KQUtABbS0ednB25ZjiYAaS8Wd1u/6ADPs2hGzT455xOUwsgAV1L6UrelERD5SEvCUNeFjvZEwbe
a/5TmmJ652tRfSwPLh4r1IaDjxRoB34y7zwLq7olRHfUuhGRrCCClvb6RUPkjIgi2GhYZsxJYuIH
vbhZ/8sjAR4TY+yCJcfBotsDaxANdjChO70gncuwyaSvXSN8RPmhqsJY1b7Num7LeiEXqyBlVcnI
sa8w8q74h7JhCjvPUUO+pvk13Bvwhuf/RgyEIQ4PEhE81bYgaiPu9OS/bMtxeKuWNlsGGqBGI0dZ
wb9lsxANHhFR/jNHC+9IiMICov4NMj2dyulDP6TXuZNp0tX4Aq+Nl+E5cBK/kL2mibu8eKKldiSg
6OuEipcAyuw6Tlnb8EEnm3hLlI+ylrZLIw0p58h48A+SNuvDzJaVYY6wtau5QFfWCEo6ZMtQVMGq
/RYdzsTPmXC6bhs0ccX9vMpqyNAWsPedmcSlCMb9ceKeTT/rdNnuy9/A0p9jQqz2pwo9mPmVGmvN
UY1X6psi33uMGbWplOoSdn9zGuKzAhpKySG1AhasXHajc8yGVECqVC01LG1RGw/rSuh4FZJJhnzp
XraH+PJQIexvXAIUd5nLeltcou69QtAoURm4aqNA7TWXQrMzpBgBF08BmTrXS2Edf7lk4XME19hm
P1gfEE4cnHNjfITE6kcoxRIhZ7FOxVsS6H5jGmG8Y/hVy152cpRHaXaU7gqjwblzmhuc7TH9AxTk
CFpOkxhAoBUkIT/sw0r7XykyvXWcghyKEmEfvA2gIjdFN1+PcGy8NP/9HFyJQFpjA88/6nijAq4Y
IyQGKAV5crUQBnEKik0A2Qo1GnsByDwV60jRZ3ZJle65ItRbIH00G+D3mEllKramr6Wgc/Qsqd8d
AdOwQkoIzGbnwnSywgB1jKhmb2sCipIZO66dl7Vlse7qoI/DS7apAF8qr0X1zYtDSn2wP6QIwIie
Fo+ogiPoenJx61SEcT3MsNNhe4bzJGrw4Q8q+Nc4v5ErKgocd+8CBm7t/aIBAmKw1AoHRa1q27vS
LZYi0QMotutUhUSxPb13fxql7IcDHGYr4KYDL1/AQynx79AC2XbojwoE++1vzpAVL2Sdg1m/Urji
BcldVuINTvjvTgprhRXpip3h11XwgcF4m7JORlmEE/xSYRNMIbhC6yktDScWSyfPlUYxlklUDxty
pjFO/jyljDhHF7oONAVrS8kApnlSKGpdHUortm/V6o9m3oHM/+K1JvKt98nFTm8dEwxMnri2l/J7
qswVPl/GOCD0OfAOZJJ2BIfiHA0D2wdUAUmjWy1JoxPmhdqlJVHGF8dlFxaFPK6TTshpT8VauS3j
GXZsHlCFmgS3uAw/czXvi7PRngtw4WVWfHaiqVt4gG2V2iyb361vHjVRbrZefeRztzBNVrb2Rxy2
gGhpuu78wMEDnTD4G98U8+wecWODpY9ELK6VBjI4nxtEOY4ntXCjoT3Zv17V3QlxmFDv42kWhgOq
B4riNm12s2outsN/hlVBGE8CJLUIPWxhIQaFIHAxyrOUuIyxdtOzwF0eiBLmVaURvjKMqQLWEvZU
qGnsv7KJc/4TXDdKxWXwYn4P+ROrBUh6xiN+RVbF/b4GKhYhmjsrqas0QKWFcyeijFFvHGGXBT49
Pn6/XtVQ9SHmSb1nesw92uNXKhLSTFWhilzIWbv+cbWH/VDDQkdba0tm/5Ispt+57PCuJl/agpQ+
n3bhZRAPAYqHo+SPl+EUEly9vquRR1O6EXnZYZRiFarYCoRpjktBeFZdyWweCS9T/MpV2iFMzeZb
qivB+TIRWAiG9A9EmBcz9lYoFChXSiOjQAXq4OMCc3zw+sDcatAJ2dQ1XfEfWrudBSV50jwAxG7/
EVFFdNmy7b7kh+fQflIaYv1MzaZzYVEG6xmqmb/aHo/J1kjit9cOfDerr6dwk3UGTVjQWwGk608N
1vlLyI8aBEl9ySunVMiRc2UDi7avElL3fGZA+y4IETa1RZlingfAgm4aBY2URJJ3z1hNoTAX63Ms
/c6HHFiPN0pHJjP3EUuIb7ezHQyIw2UpooCPeU7kyAcSHNc4Amyv5E+r9aPXc6jnqyhAvBISZqwD
MXJaPPN/Gxwritz7k0WlWcwqt5MndX02ijg+Y25UX/jBpZCmr9jdRyQ9Z4+TYyuMUeq770IUnNUh
Sk95zxJct9VAqROVMnr1O2LGVcEpy5e2Uibq/RtUVLPN/Bfc8Xbt9M27hd9yVyA4mZTN8iy1Y5Il
rHzXXjHxQpOtAdGU8W63vMT6gXTXOVvnrtfBeRwt8m+tDwYl9co8oDU0broRtCsqPTTCwhadivbN
SM78WAEWSIYiQ5zfK5Yh48i8RTyp79sdezPyz3WYQ5DgPp0tgWe56FwdsxTJK532eYDkpi7D/Tvi
j506XN9N93RuLgS1yHtUlph8w9S4hgcSo/nSS0QUoQtPlFk2hsp2tkL/7Z9AlT1809hPm5jmSbNT
sVfJfVzCy1q3mDEkhX3tAZPg14Q4Wv3wHtSW4PAK+LAbl/WebMO6w69iQtBPVnmp7v04QatMQXPm
pW94cJr9y0jlm2XCgiyx7wPUk5kKt+deMr2CVOeCNlmbl6JX+wMU4qnV4Dz1g6prS6pX7z8olnGg
3N5tDMn1mi+Cj/2FBCfDJ3OTW4PMxsGFKRlAo87/ZoPRfcZ4LgqHLgQ9njq13nYceEEdLq2+t8sw
5JhrqbUslUlgqP4SXLjCqcHbZTAqNewwLVtcW6HBefr3PP711Rhjh4V/1uBkpsF3nprcsU1mQE5U
jSD4bMDYue4UJwLSoSzR/6D5B3ONQFkpFtQyaIhvXU8riZ7x9nTTmMiVzQGyaWrbbEPQWc5r6fBs
Az6zsU8WjnJvm3SesX5c0J358sAhZJHJDAbt+cw1NLHN/m/v6ZTZQ6c3wmM+uniCyI98UVQzZMWF
Y0jAhodwxsRQo9heNiWYZTBGJwQN4toIiu4YcoaOoIksX9QtlEwdIQEVc+stEcgippsTJJWrjtZl
DOJRFcff1SrwI9MppD6Fkrd07+1mM8A1v0NJvKenpE4frs0u9OO/C1FH50+oCdd0tXdxcpR5/YCw
p7qi+lOmlXgExDlHIySYOGviPak/MvXWQz/oCTdA1JhZBZXWuhrCjQia53vv1X4vubvBHXlC3jIb
4aaexGb7KT17XeDqZy8PlCttlJlcdiitcXiSXa8PDYUljQuaPN8Jn6AId6Z/Kn+uTq85rvGdvJWC
SoX18cMJSF9wO7F+FWNLM4F0FKWgNVmFPOTL6H0pKqVYOKP1i6M8Bvsb2THlgUdBjm4KGq+Q2Npd
sQZGbNZrXC8b4CQcD79cnRvUWMDwJy8Acdoi2HuOQmn+Zb5yAWI+CDINMxxInSU4jcmVcwEYQNST
wlLFTYLfUEV2xLkaMJ6KZhanSQZiWAEwgHfMhbqZNnXlmNvur2OpARahQzQCb+9L2i1dBsZQRIt6
3SryKAf1T/ECVu2o4JUI3DTJVYbKORrhiAUntVWXWqtEbljOJYcv6XrI+vazESESj5kCtiuZfOHl
KcurU+FXGqKwW+Rn3F08FKlAOkd9TTdP6g12da8UqvGGRX+ytQfkRpA0YSNtwOA67gAzfUGiVwWb
/9Hu3wo9yFlM8UGneTpdUFwFpShGl0fanaxsjAhTbF9G1N9XlkW2gWY8cYFr8nAr2YLFzYSje4yU
LlYaeSqvQAqgJ2Nlq6UmeJDCQfk7DM1erUP7kGUFc93Bj2hFY9OC10RH5fug6Pd+NLwuJL6ktXqD
YDxIYCCH8OCIypjHPYd8L2TXJg06acWi1TEDPHtrqYE4oPoqEbOSQmPD+RQNEr269UIIKuX5/EL5
eQtpO4ii5ucD2rdg8MhmZWZFBIVT0QFtqZgfMd1WXmxWrgT2IiwoqEnmMdvMbDB1Dbpf4r1sjcqU
fCRpSeKUiuXghQWwX1g+/oz6Q/94x8ecaptMJlZDUU71WJLTAaz4z8cNpP9/yebG83WPIIu5uk4w
2vcsI+zE1JLQMISq+2aetEz7OMPnoRVpk9aoyRNi5stuJnDYzmtRqFldWpM/nmCLMndHNhChkpI4
b2EJOUJfP2rpyYhP/xETypSRA13c/6+mtmdLd3F0ARhipE+CldZ6TL9syUrxm5xYmL/YlCfHLsTi
+Dhhq6gz5byXyo9mnQEJ/yIbUdSQ3dnxCgBUjOzOpieeJWoaI8V2XV9MDu09TqxMJdiI06q7v7a1
0QyVvb8xTxyB7ILOPJMB0fnOrWRzb3hJvKf/qBLcD/SLTiImtBbC748B77KKw4Sz1b0pnY2891y2
MsxJZ2ZRHbNymtt2K24aEUZpqcHBwoz0RMolMb1dKM40sn+QhJpBhEcWMkykPOpASO6GgOmMMzkC
e+RXbvqFVi+0ajmwWS/Q78yJaVxvrNRASvbgolWLz6uv7uUuFAxz6Sa9Ve09tHwwmoWAn+nnDRe0
MLYcfhN6YH3Wo9v2eHNI6n1odiNqbONevXKQSiRNAdZ/4lx8sIOyL6GCrfd4CQ4qgsoclmCpMq28
u1Zo8D6gIR1GT6ZvaRdY7npSpkybOdQlQ60Ke/2YJN8kB9+SgVq8Q/wG/cd2jpF69mtq7upRMEFe
raiIxcOW8HiB97sLuajNJk5QSH+HCxlitRivh4Z4tnLxzQVAjo9Rv/ZZ/MLGryzjxQg8TsqorKbx
csQP9bjO+dzUkcTZPe3xHAXd239k2OrC8PF5UO5KzMCTRTss3bf/WcbV7djGOaWAA6q60Cs6oUFu
nPm4AYnzEVb8EiaRJMv5fjt+fuw3NTgB6gDEmRzsw0nv76ujZcd6w3JtyOzFt9B8ep3A65Plv38U
Fr+qX+3Bpobtw3Njrl0/0T0IbPRzBbEiT+gLAfNWaBjie0ulG6hKXr0/fc1CknYw8RaOc5bY99uU
bJh9PUyKhmDAEY4SgNK4nb3Hhql8JSYD/54hL/+IwykB15sAubZvypxcMcJ7IjiewwcU7cQQpTmE
6GdVta5WHMKVATF5OCU2f6fyhLkqYh6INuByKwLuLTkhE5e4cbyBEMHrw7Uuw17GaeK8GJoj/P1L
r9oVv+j5n2zcAxkGdApA8/m5UTFP5etlV751yaayNPQvLf0elXWhjnQi3VXJUch8/1PuVYnNkucr
ifLiztNXnzfGRJXDCnQBlbuAwb+se5DVAWRekbiEztqidhW9aJUdbtvVVUQejqpuWxGL25OIQcBm
asnlx7q3PsBDuiJQMdXRtlEH4GbFZNkD8NhhS/vaoPlf2xDuv8hy0s47Os6MbTjAWTXARYxdJO3y
pdeYBb2N7clZPE3KlScZrZLg/BJN5MGCTdVSwozJoPBOovaQTDZGOkhgHJMFpBS398osGC53AUz1
ItAP5yMUreyJCzmGkBd0Bjc62XoMYNJbKLjL7peKKzukssfcZUAC0bDpIaVXzjD3xQ6VflyHG4Cv
I9L6hN2kkjOAF9r/+aasdqXsH5KhdRMhxWDikHJLM4mqq/8GUJjOTU7e5fX6jT18rZYMi4GvH7dL
m37QbNT2ESMWe5Sf2RAk2Z2wgISjv0+4HFFPidbZtmRQDPHDDNwQ4TKBJCY8IKYg1diqcMbIO2nL
DXluNqZb40RTUeSmYVY2wesbm7g8eHWuXm7PvbmEHyrTk8NSA9MzIydpMFfP9C8Juxk2CbbPtUnc
DtT0tZ9F3Y19CdIGpVdmQvz36r+azMQSr8u7MnLpvklJTa5PZCHjOYM9vCcu15oKxQhgdcrAzZ24
ZUi2KftHX6lysSwYDx6vRNR0X41a4z6h9mvcRbujqCMjKUBKjaReoDaN71rkPWTPwwkJ2POHLtRY
E7PUwPkNW8lLjqdzRa3zr+O3wUk14M4aj8I2gRM50qZDjjiQ2m3Z/nI2063U8yFEhRCucfGpHF7R
GXaX4zQU3pLhi/VkLUa5yUQ6q+eU9QU0MxNPykBA2lfMbOFQleofHjz4F4vuul5At9UVphmQXlBx
5Z3GMqDG1lMzu7yqcfd5S6P64FvFjiuM65QAVa/yVKgWIokc8sztR6odV3kyiFDH6/EKExCn9Ysp
Quw+nEjhCETS5qf/A7AGMhEliOLokyJx+cnwy+KHssoeEH8cJSyPNwOmIH2FHtMb1vJD9gZ1KZri
90KwtQBfido/Fk3Vg9016gJBHvW2MP2SER0kER0zfMhwdLEiYvAL5x1mfVx3KJG4CfTfsbnmkyul
7J18IMVhfF9oUBgA1yI0sujD4vjogQyJ6JsX2Yaw6DWmnmhw20noPBIrfgrrfet6Yv15OT+554HB
51l4vNZZdwzrwF66Y/GESiOc8iQwpBbMR4Sr3eYFNG52yZiPeFn/7auBsvPfx/qN4AoLmq/JcZSB
g+8JMb8O7hhgu+eS4+Wkt/r2xwXgAuSDa2f+LGe0IZr3ZOD61c1sAU+vpUnJjZP1kdapuyWKZS5e
l6Za1AZNNCCsovQ1kzqpO4MUgrHDBcudxNiQFnfiYi2pXg6ClBMUj/N8PpUHMwp6oVAYesaPwPty
Y8NeLNSlBeIFcAZ++kbUsQdBN4+2dWaoBRUiN2xpq8Da0iF42xCZhf4fWeTjtfntk1srPY/CDvpU
mxkN1kbVVvxcboNJSImomEQhVEkQKGMCVPypVIDjQIYX6Yr2ZWcxkw6rVodk2pjNP9OOBNhGdjJW
kpTZxOhtOSjsKyj3cz9u4+XEX1UXg2h7f9sKf8fO+tjQEQdv2I/c156Zd56QJcmas8tiLXEI2Vtu
EMnTGIsM6ze/d0OjbtbmsHALcmtEqWGiMin+rsiSUhNhsinMEjrp2j3YtmOvW13iBGOVAIPNJUJJ
5aVy5nU08uDOzxQXJ8nkaxgYCczoCncNPv45EdRNFQly7hTchy6HpFjtorxm8YvSZ2l58L1ByCZ7
sCHfXThDtJ/dpxn+DHmPh6iuWQq9wnjylgl8QtqhfEY4fd4uC70mYtDZZWKIQgJXLT1J90SRqwkf
wR8dpMr1aqWTn8gF9b/RonJyUfWGu1MmBc79GfyA17w6cHZp57xYoCi7SM4XGHtXg8rRVI9qIKzS
MlVwMkHBXgM+4s4CC1hX0OL0spClaEJWjrBGq3T39wj4EbKO4BZAYTHNUGP3TffctIYawQBNajJz
xnVIUYZsrK2CzNgZx/LACeaiDV7MvOBNS27u/jBKAiYQKNOVjII/l7y+T3fMnbBcaPxG231U0BRE
NVOtSDmvPWuXbm3OK25zlUwFTQIlXGYUadXb7cmJpmE3LhAGGdqYzBhSxhyiZ7rUfyhKxNG+ggVQ
qoQYVpgz+5uD2X4YAQW9Fx6rch8uP2oaYU8/mPGin4OY84cjOHL24DMzrao47+M6/4PY6vhsFL4H
sQSvo5SsLO00IfdReog5WSbAbIdlyhGtBM3h6tVA+kcMznsR4ajwk7JFJK7KZ/pC73/R0fNcGzej
icCMTb2CZ6NLQiUkFMqwtGsorgh0v6c0xEIcDru7muEMtE+CDbY4vZBP0Vhy4rSvfLx6jtP/e/fE
h0R0Cz91nLkDSXV5Uh+qK9SMy7XLmm4tSKI1FDQDroJvCH3G+bFYLS5hu1yGOX1dtivtFbbfoDeK
z3yvmgoGIAF41NGjkjBmjun/bW9ph83UkSdURWn5TOfsJE9BT2MgJZH7YxgcNWk90ms1aQROBkSh
00EX4+NaxeR+r7WZBS5H6x+D7F0SV5SBLpFjW4etfuCU1soTvReZEzdSZFWGamV0hqgj7PtRS1X7
Pko3Mr3TS19IFeqcHEf0pQyFBJN3VDpyX4++2gSOrFSrY+0v1y/xn0vjpXxItb2m+zxYVCmYo/tZ
TywW29l8tREGHfOW7sTKbbVvToVXlqppiPhKiLv2Q9AM6hcFbTHlpeBJujOUMDpBgI0eqihXc1Z+
HhSyWukWkPVL/kDF+2/PsvAAalpLYhaRg7RALzB0sqeJWLtllDn6XMMTb6fcZ0TPWL0AbJanrNUP
dVre0Bep/0p1ZcZuDAqoGA65ZiVtSHLCwjGQHHAWKxPIyCUwyZFIDNiLC3KjD/SqSp7ZnyBtiYwh
Ad76kuuqCYmAnC3tuPqgErUanikY7FpsShiEa18ZV/JfXBmGBJQlrB2OTmo8xN5u9rOVKwYHfqsU
/mGKqNI38w90Udq9IoOatLkT3GhbR3IaLgq6DbgJoDluNZkJQW+tiOOulTlAUlK76O6uaaWFmzQR
ZqB3UTZFxPZGM57AB/DSiaHhHGy7PpDkk5TJePbWMkj78VtuVw12+fEd7OOJvunHf29N6czV48TU
a40GUcnpOgJlWYW5tO8yHQN2ofXEyCRP9UvzFmn9ZrPo/xq/2gR6DFPS3Mk8GzMSGjWv7I5Grf2d
Pub/YaH+mzfl0miwejGW6f5QbRanr6mzcfOuhzyMTADLtWOc8How4agUTln2Mhf8vcnLqdtE4sCp
1Y+tgQ2PvcYr4ksELS6AtniovFHnbx2mLZarWWfFm5BFgXMlM2C1LwFCqbtfk/a6aL+0+II0aK57
HBGFQ+xV84to0qWd6q4RT7hFXq+XIzoDlHjl5RGgxZ9RW7yMxZd2GQR8MkHXw79taTuCuCc4xe7Q
wNwkKShmA7R28lKumlE6AVuPG4vhPLCbJhMAoL9tPgG3NtOEcLDIY5gc8jJzCcF/GlhFIeYF/U3g
QVRrCtB0tNOkYxhPmbLZb7nh3MZgmQLs9jxUdvJqbuiMK8calWkUvUV107zs8RISiQ+Vv1h6DMRo
3HLhSA6/Fv828XB1BkCYYKVq40Ul85iZAUXhZ4FtZLEEVs3ABUb2dH3oscYHxDc0oSwQ+eLMT9R7
esmaXLJYMlIT+uFDk5hCK8b6FNuun1GDnyQN/Y6dtN2cmaXojhWcPnsgAc9OsW7cf/Pu738kFqG6
MWLVwH6TvuX3sYLHY1YHnzhHyx8Vo2/r5/p8ST8nEf78QkEL95Z9o/JfFTZry4zCYHuK8XLY9KxG
8Z/alm80tWXc7zJIzPpeq0J4UFSOfoqB3nWDo0aUmULlbLNUFiJeH8w+9KxVLvGhSysDYs3r38h1
dG3cawZ9t2LqgGKUcAlBOnVVlYkjbrZP6O4r2m3sz0E5gwNMEbvBGzi1iMxotBvjEZPaii1nzrum
bSr8Rk/d+9WehBJVP6T+Cl7u2KJJxcV5/bTuMVNQuznRkh/XzNiVxaByZpOZgEwHFXH/oHfsbKfr
hEAT1iqOvKHGMrMbKWkSn9mGUoTo3w0xRkhOEN/9NuBpSe6mInS1XuZxcfW/edmtgE/ZhL0U6r9R
yH0hXRjKhBON6BDRf73Qpae6k0my+LOeemC4YGsXZ4ZKTbOSWGVg2VnvbNej7geNMP49IJ0KSI8J
3DzBVWU0pGKb1PeGYyth2tNUI3rUFi6tUeKea/C23C2qUrkPgSKeRkinklB1f9KYtSAfjaizJtTx
flRsuVizndIbHisVbpyzXLFC4PLiV/1emvooiU9AZr5TofgqqhUuI+iwKi4BRi+MmnxgfHcIcnLK
rYnAYszVNwQgCIdOOrHkD6NjgnOVoi9PnuCQsoLzEQ23zITGVHiJsTN7txQdbu5Rc9dAmLzzs8IT
4zaXPtN5LF86IhOiWcsCk4jhfehSv6T/ztTtuZ1+3AjCdQqJdrC3V2rGRR0wP8/c3mfuuIMjBBzB
8NsOCNFSZIqaSLw4fyujDR1KvZWwCWHbhcin43JvjRwZACGew6SS/TeOKSNKfCt17TGI+YPWIizA
fqs/Yf6gRvaCGT/8A3orUKG1NS1lqGBqNHBjnYHFT4zZ5epIfufRwT+ZKTAAm0WfYEJAZESHvFUy
ADAiNq/IxTs7fptMo5qfG+OC8ZZm9KaGBzU39/joa7way1QrHWOyGq6jmug4eJzjYnL3Dd0tgdEL
o5JDvzL2fs7tOvdmaNVqz8SikimWZpvKU3kqODiHQRfjQHyys3jJdPlG+qAdeYS16w77Db9pLaLM
peVNy8hdY1SrE6jAXnikKRCGtE4OF+jJ2QxqE0+4Goj1CfD0+Pso68OzEf6hFn+4CKynTI//GuC/
OGDX8OxqH4Nf9R9ksbeoJOOygxUH1o/LQKSsjn3wWSRcNLJ8GWkHz6SDML4hZC5eMmtAxL6jPVKb
OT0DzexxFq+FMjJOl5/tkLGGQRUmzScVYysOGAo8cfRnZfybb9kWyvgXJpSbccWUnI9wKKFPFMle
bYK6AfB2ICoBTYyS6NHsT0wTsNisSBRIAohY8DnCHi4cojqSuGINNSmFL4C+FbgIYgcLpgIUhhNw
8acmqINgrdxdHw0KKSJNSSQQaeTU9jY4sAg6ZoxLSN3+rgq+8hjekx9M7Q2kqDioEcpoKYS9o/4i
JSjSjp8I+ICNMKI+YDW5RK4LmbjqYahhMeAggbTXfEWp0u8z8uZLZwh8dKj6+xYAp0dKEB5B1O8h
6wZKqbBxhDaESC+mm8J+DEZiHVdNynsLfOllaIbUdt5hZLykVP1ymEjfeEz5E6uLbUeFZ0ikiVtV
m/Y1BF3LOsfpGdcpjxCgGc59hby/XXD5Cp22lch/7tEwbqvUU1rDxUZcY6Gj2MXYjTdeGVphp/ZX
WRad0KRJVIGB4Ca3xmQWr9KlS1nxYQ/2w8ygaeNqNTB4xsmOS0OHN7IHQ4fB2ud0chsuO2Z41pqx
cF7Bs599hrLeVJSbxN118Q4wzv+52dSH9ahFC5CuXsFkU617oIDB0ufgF13n4yP4ZFBQQKzxET1L
EUXzgxi2MgpHW9xqrrxRSd1vHOfkpyoaUZ2tiiiyGd/nrPD/aL6UwUUu9AG1juEmUgXE1kkLd18r
4owSgqEL2uQNWaCPQ+wuvsbAemUP/k4n7PE9p51fCG9vgnGHeHVQzLlzGifsCIfxVgD7ybxy/sDi
Cmm+g2p0xezzP15pOtgkDsslAiiVoD0ZPlhpeYHkHewOhrzTWocymbaEoA21Wy+S5VGVHw15kOFg
efFBSgxLeakmy2MgwHz0H+v1zZZIDPh4gAfAhaD/bAfureZx7wQTpkV6la228L0E5gChZTF5C5t2
o5SmaCAwgsmJjzMuTSMUUcAwv52600wLWTVssDrfGncK1to7WddycdN3sVK+AEM/7lljDkwBAvyK
CpJ4dp6rMVnV1Y1OMNtGYgj3b2FNb2smfgHg13AkyLYA5EjdRO7bII7/KPkH865z8NCNI8Th9o7u
p78lo65P8oFPvdUvNnIRe7Tfu9DSL9k4po9i76EjQZX4B5+e2oa0kY6ANQdWdIrjFtdqQexzUBXh
5bs6cX+RICZJaclUsa1un0lqaMFBSMCsTyuHAOV4yumRXBV2ARtv12QA6/m5lOoknVKN5dTck84O
g/ReBa7GwTSbkMrg7wctlDNtXfpjZVK8ociiVpGs5rC2nMEr+vLdzr0yZ6+IPSyTkR1a8BpKKEiX
JRo1jsjpbii0uywwX5ORf2A+KKmIGJ/0s9VmINKM0k0u9o8T2J9u0B0PbTy0dOxHYTayDdkHB/+0
QIauNbSJbKA+U0P9Ohy9dYYcuoD+mM9w6kjI8670E/ZOcF4AdgZ1sTDAP3QwqkteHQypwASYS0AD
JU9dwmpInuC50FXvGvna6WZQR4oVvWbd3fOHzh5ge7khB0VGfaiwXL14NEVwB51HPS66bKlR69su
IDfsKA6USVYlmCwb9l3pY0Zy9idVYLv63rZbuRCjWoH+tdHlYxmodGqi5oeH1BJLWD5TAOpJnTc5
7iUNnEktZ8j4ZWE7IowxJOYRzJzBXTDmZaSE0mJT07nPhZwbfQgAQE0QQ4EjpWaQ+LYAC1RIN4ib
XaQ9QcMtttYq05Y3jNRbl1d4C0jgOwGplky8siX4WIvJHhsVdHNCdWQuGcN9wKx3yJRhVG3W6Rmv
AcfIf1cddN11UqwzKsGs2Hz7ti45UHhTDA741jvjdLvsotvGnlkFbnVGqZdA7NTF/W2Urs3bwc1q
scpYiyHwEm1rMDxpNuu1uNzhW9HJ4c7C+0JNjujPoiPy/cwF73Q+VhmpeQR/GN62G77dpKPzQXrI
dDEDHVJZ9dehHHjaHPJNr+2I3WaEaiR7iQXZUQKHiGajdxrW3++bb+ZsPS5P48agw9s4PIVgLeH6
l1hSeJRhKpR9IE7NHLfnxOH4bznDFjXNh09WPwRMqLWgNRuYKyvUKOTxm5fU+eylQDCh9jdJHP3L
EOFgJNq1rmVLhoC3kXVJ/qduGDsiwlJ50ZOp7hZC8pb2Ykq1uOeM9ERvlDXurbCCBxYBlmMRsmvJ
7WzYWQvpWugeQGllh1ZUYu6bDJ3vPB9Le573z4PdYx0g4VKMoLbJsznJWdrcLAcYOzq484aTnq/x
t0O/5F0ZmRlQLD2dSuv7opV4bJQ5lOUArnFNAd+T0e/PjOVczUzt2QHcJ/Xibvc4VvKl4pDUF1cm
iifkSkwaXoLLJC1ZNjxASlMg7nqyAzj+Tqz8m7+zyjiF/Z6Zxlp+ZWiGP+DZjDsBtqzQujTTU9zm
zCh3STXH9V6+NvVw5ZQjgfRR9Kaj42X8EzVaeqVBd5X1Gj/xg3Ttw12dVmxKi8x257cCdxnifpWM
+UMiNJsZQjlhBMe17rXICVqN9yICiycGQd0cesh3abCKUACJ/tiFRVTz2X9HRMaVY7ez4aXUcj0q
52gUEohL3pzPeHlBznI6dcgCFrvEzuwvF2uhRSAV0PiSVdZAoXhrru2G4NQwU2JwC3F+W6D6OpCL
v0OmyvkPaou5mV6wyxGTeVXFbB76OT/V3GgXP4AAnvoBFylLbPSlrBO9LGnPLkcpmTLyG4OGCbNp
EMNA4t1FOoOMLkH3Dcu8etX+LXVipDSMhs2GnEFjtp1PgEV3MEAs1VhXniiTATMPczgqGsGgbUgE
DkKSXpgoxOTmQvYgQuSusroPNPTEuVtakSUHGL5e4sjjOP3fahdD9XMEVd11b/spgnmR1L+2PMRY
iaR2jIInfVMBiwUOYL1keGD61HH8ym06CZbwamIqKidIUEKz3zS9HHEBalndWy0OpVTVvM9qzXL9
S6ldeKu4mxo88fmUbAX06Zzwd+ShWM8e7Qp65KzcmMqcemgwe4gZyrtgulQK9L82jjf17Qr3O7NW
jgRfAe06FCoKtkR1YVCTE+1pt0X/HVd6q941JaTpuPEG7sOg/H19tF+09tRr2EjV1r08jJXf+3iy
O878uowagwlzfLtN4pcJCPnEWqDkSn9oWkCRKJx0IRtKjkk2Z4SmnsPw/+3lGebY3bpD3s+n0ms+
diHhynZEUcnTEhRRpSg1DIJl0zZtkTWTqdERfwvZyJyFeoeUYKsZUlgF3YYywCMTlehZPrzeljQ3
wPmx7rPKO78KQeozoUZW3ZX5/ZI6mPJBn0T18FaGI/3mR0ICin+iXOrbnsqigfyvdxai7AXZ7Fj6
H37ljFU/JQWOfCmG+xURRXMSE0/BEnm22zMLNHZ69xtxctE3K4/tLbP90NCrhoP2BdeAYzdqLwhE
ffAUQCO+zNpNB5uvpAFSCynZZVy7Xunq0MdVJrVkyQJ66oe6ICDDL4qNGwUtbNKZwYXWU4bKyKL/
H0OoIlfsZxg9fQZfSj++Ap1hi6CLzzBZiPx0qQF/3wHuQo/gfWz8+3q6LsSzk0LkslWmt85DOWiu
ZreDDZVSaNHd0VNkHn4SpCmR2atWs11Jlp6xKCxLVCAllEmOD0YnwO3tZIWTg7NRpFdhZtMeZPI2
f+BxUwMGGPXPlj+BigiOHMWpIX7iBQWyYU0bHpYNiB8lqPKBOcypaExRUzyIzebHHiBueleJauok
HNCB5NcZQ1vKw7P+6MHLESLAAeSjhsvweQLsaOYzwkSWkVU6iXmrxX9JPRFNGjgFJnQifZgQc/sE
JT6ZQ2Xb8cA8dM6kxxVDe37T2Nh2qqLv0aWngcxhMs05/2TdZWKfQHelIH76tWl83+oVFq4iqKl6
YTqeUTc2J4Bgk1MuSvMkd0pb0EnemMspe0cSRGPk4rkBinNRw7jmul8xh4y0QiieazoNSMAlEZMk
/NDlvxO9yNFT7Qowsnos7h9FQw/GiEMIoiqQY/59pCq8a53oVoQRo5dYwjufKxNjoz8iTm6CJe9E
G34nWK8AewuMdhZroADilsUREAwUeyqLvMhAUYO70ljX3vaYOcua+G+KEpOTU7c8Nxa9HT8uPuhh
JS5wdwgoOkNCifo845Pxa8QL1agQ69WiZznpt9ERRWicxTk9Sh8wkqiVWx0Smk9NpVVQ4YdVZJae
XbVV6qL1YDjww0nqv+yDPgQHhisssSDkz+8jgN5lAEu1Pmb//FJpOWpJuVQgL5VrO9JX4bHH1sSp
QL3R7ZAEtLOe2w8s7/0SXC7Ba2ve8Ub0iWOCGqYQ4VXO6w0W3jAbIwnUksC11O25kvPVIsnruh9O
wbT2Vcf9sbEm4XGH3GbIi3IGB6fT6Ka0l4poAf/41J7m1gKSaVlpeO64XOxMyoRpVKCSYNlfHaKY
r4qAG7+lc9mwcNSRCu6w8CbJfj1TP40z/LV0xOi4p+mqrFelSHTcN5Fs+MYnr2Crd3UKNIAkecKj
7Gj06rjKBCrQqLKmV7YfWW/7egqtCm0OUPnG9QGXAR5DdMTl+sSfpNmEAb7oFusEhOSrQq6hVhuJ
9LvtIe37UFRk5J1bKLzSsiVF1bo9I6nylWWC0J8+2KQkwGFy9OE/mMd6kFA0H+XbOOZXjOx0VkrM
eqmXgpjJQMJcSNZ8CULUcSPFV4A8RKF36HOOKDtHHE8mdkF8ufTWP0Y99fEomRtUVFYuZO//zeGW
H/WgSFY6Zscn1+1/31wWShgwVacmUTD25bvN5abFnOvMUvYCnzIZW7N9+n4cR//4RfbkytxR4clj
GFzdUmcDx6qF8EGguWEECI/Zo+Ln+kixJYFbY8iUdMj39fFojnBTKKGvN3kDEX54HO03NxzMgUBL
lL6Xwbuxuft9Iv5CFLxtZy/ddUkIlJrNzhNVZ3+5BTMD0Ebw/vtKveeX9odFgA+7MU6iTQcZDELN
XVEA4EB8dlY7BacOwMCGxX5kIzTpishx/vGZ1hdW7osJSckv7IP5YGx9LgPZmwqK+f3t28p6J6lH
EdlogOjkggVRIozlfYVVfcWaHQDwuk/NIfPSxh98/jXvWeXFxl5DCi+isVr27+N3KOy9IVbcszjj
Rkxy4Ie1O0YVsFmysrTwYNbK5LvUklV1K4A=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_pkg.vhd | 12 | 18863 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
caQ4kDNpC+yRhd9rT8TQRjEh5dHwq37lgHnP3RI5sQRwfA7zsWXwbZRhGD9ikfspHeHU7ayi3OmU
WfEoUTW8pw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VFnFlmJ3J1D3IRh9aa3aLQlPXF5So/7159XiX4axP65bRTF088ez5OE0uWO8ayvK4YW3ZqYiTOOw
6p9P2epqNjkH/N8i8ZN5SsgJ0WT/dq56xwITEDoGQp6E8y1M9iB5e3Zs60VN8QiK3xTd239Kb2Is
hT+s2ECmzEqJuVm3TI8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
24B4SGNCPgvOzh0vhtLN5279M65nzAa+XDLRI8Cw2pv0wf4YoOAqzpljAP3KvdJbt7+u5dUe+Abk
0bo9eO3SfhQi0EmJmr35x3y9MUFrD6V0qKHNSlcfavPNdn59fAyIql3Drt/x+RVhVZWrvhXBdq95
/5O1Yh2EeLrqlMpZtUAX3NuKrFlVe0pq950XXav0uroscTnf4/E8Loc8mG6O1sYv3UsREH32oL5E
V2Yt408Bk3rr0M8fm1mtKwXy/yHscGX0bfEtFlw2yBf/V2lqnPdBkOIdRFkZ+hc4vmgrL3zC+u3c
FSfumsObF4ymosR166ClBdZcC4XDGZtq5xGaNw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ovx259cNDtBFa/oJJBICxm0yvz+h/4r7qzgrTH4KP8268kcBQi/sVCMnbjohNqICo+/7l8gEaAFO
fqml5lkEdgGR/HZ3l3n9Ome0tTbBZiNnyAZ8QsE5/wugnKRozagtWPFRBwBNPboFN5JFDfQCNnW9
DNOUg+hIXZ6UYpUjvT8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
K/IQQ0giJwfKqgjeL4+9+HSBTNKM2ovuq6Z+1C9A+q3EeDaIdaKKu/T+ZpCeDPYUyuKubgaG1HFN
MVGYVReTc8/zcsCueJF4SCCSjvLrqJpGqI+R1WxFRfqpheXCnilqSVpW+QXRhAznH/pS4qYWp6Br
JkX58ivBK7d0+qWjdNaVIgFAPQwa+zBDnB1rFqFki0yW8C9cHai+7CQXpP437jSGbX4UaE7vxDc8
7LtIslDy9Xexh+dRqaSdV+vbdqT0/gzea5XE+qxW4urG1TbURNc1dsqq819daBkpNlzlbTWrQi4E
NCnk/sVRC2oftsggT1HR7Wow02cXwEWoKGzwtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12224)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_3/part_2/ip/dds/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_pkg.vhd | 12 | 18863 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
caQ4kDNpC+yRhd9rT8TQRjEh5dHwq37lgHnP3RI5sQRwfA7zsWXwbZRhGD9ikfspHeHU7ayi3OmU
WfEoUTW8pw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VFnFlmJ3J1D3IRh9aa3aLQlPXF5So/7159XiX4axP65bRTF088ez5OE0uWO8ayvK4YW3ZqYiTOOw
6p9P2epqNjkH/N8i8ZN5SsgJ0WT/dq56xwITEDoGQp6E8y1M9iB5e3Zs60VN8QiK3xTd239Kb2Is
hT+s2ECmzEqJuVm3TI8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
24B4SGNCPgvOzh0vhtLN5279M65nzAa+XDLRI8Cw2pv0wf4YoOAqzpljAP3KvdJbt7+u5dUe+Abk
0bo9eO3SfhQi0EmJmr35x3y9MUFrD6V0qKHNSlcfavPNdn59fAyIql3Drt/x+RVhVZWrvhXBdq95
/5O1Yh2EeLrqlMpZtUAX3NuKrFlVe0pq950XXav0uroscTnf4/E8Loc8mG6O1sYv3UsREH32oL5E
V2Yt408Bk3rr0M8fm1mtKwXy/yHscGX0bfEtFlw2yBf/V2lqnPdBkOIdRFkZ+hc4vmgrL3zC+u3c
FSfumsObF4ymosR166ClBdZcC4XDGZtq5xGaNw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ovx259cNDtBFa/oJJBICxm0yvz+h/4r7qzgrTH4KP8268kcBQi/sVCMnbjohNqICo+/7l8gEaAFO
fqml5lkEdgGR/HZ3l3n9Ome0tTbBZiNnyAZ8QsE5/wugnKRozagtWPFRBwBNPboFN5JFDfQCNnW9
DNOUg+hIXZ6UYpUjvT8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
K/IQQ0giJwfKqgjeL4+9+HSBTNKM2ovuq6Z+1C9A+q3EeDaIdaKKu/T+ZpCeDPYUyuKubgaG1HFN
MVGYVReTc8/zcsCueJF4SCCSjvLrqJpGqI+R1WxFRfqpheXCnilqSVpW+QXRhAznH/pS4qYWp6Br
JkX58ivBK7d0+qWjdNaVIgFAPQwa+zBDnB1rFqFki0yW8C9cHai+7CQXpP437jSGbX4UaE7vxDc8
7LtIslDy9Xexh+dRqaSdV+vbdqT0/gzea5XE+qxW4urG1TbURNc1dsqq819daBkpNlzlbTWrQi4E
NCnk/sVRC2oftsggT1HR7Wow02cXwEWoKGzwtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12224)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/adder_bypass.vhd | 2 | 9869 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ESdAC7+pK+H3HAQ3xT2YLn9Cyx4Nl6AW+pv7C/+yVc+7/ENZeOYXQUmilFZCtf+q0JIGMZejO+lE
GRfiABQVew==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NyIWvK1zs98vrSJkrubI7G1bcdaxda6WPzvX1aK4fGBgEhx3295Xckj4kUjo5YojxpiNsPIfeY45
m44fjEWfevcuFx0fWzmA1DoFIqA8H9ExhRKl5sC5JpI0j36B0MjWdrJba3aGLBwKzOaQw4IHweLv
bkOkZE2XO4S/nuoxsWo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LKMO8MAmBnAlTAuvyobKCa2LLIXx31oyvk30C/V/ACVoiNm07fYP+7Vd8dsraqHxWj/0aZ+uAVZp
oS5bJWTOKLz7T5yzsn2sA+VxC5I9SwfT/uHZScbqHGewYrUetDHVsbOlGt80WKAW3zKWyPsekH14
IDkshwR6M3Bp2dY9zuCkaSkYhPNuHpfeGCH0B5mAHEjTa2Vn98uf04fx/lK+O+v5jW4FUASDko6s
RkjueEX4qMuoCW4j7f/D4r9vf50B0mN8JTs8eDf051uzNYDfbey2E8tQssFlU0PT+l9My9rv8MGm
nCl7DsNbsF1Fr4qxkYxoH3kLu0NfiDW/WORDGg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ChVUNhLOz+7cbZqeDC24EIykw4RAs/E7lxN07C68EYG+CVEd2WUqipLmBGwl6SVp53RNIic8BXfs
alDnvVEx0xb36s//7XaIB7KhtzO8Au1FWCvK1z1zQxZxYoqzSkbqI3IdPFbW2CZzf/9/6cbt61ru
rBeazK4ODURstnezS4k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
no3zknkaXg9ivRAC954VaRGP2CojPCvphl+kV3XD1/RfzdBlJLGT1NQ/kbtf7zX482PFOi3ij/I+
9y1YMLE21Afd4lIMxZfyPoZJTzcTvZY2I+VZEfuuj7G4K6FaQhkXISLM0ZZrv09wxWQ/ggweHjPk
YxyjhDSLukSNNmdT3wWWUu3wJ/lgIzPdiKhVstrxA2VUlwaG8YVCtM8++YBM9G09m76cFuVWGY3F
LtwsiDnB29DxNny1eGAoAjmWdRIN7RxyiW3MU0Xwimk/hMZEzYzoETemJ51L5HFQQfB6UaJpl9Bw
ztvv09sGpNuamFiztUEk+tmI7+SVw1o9AJ2S2Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5568)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/floating_point_v7_0/hdl/shared/compare_ge.vhd | 2 | 11320 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VWU5o3jwjByPP3mNVjUSsiRbgTW+n6Ckv/AlyXsMPYB7721IYzfrJAODD3tCq0NY423I3XH+XkHB
6thEBNsz5A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
W25Dh3x6ot0yIUCGHH/tLPveKi0s3qMbd1g3j3UBkQZXA7Ionn9qaYHU7W4GdqQbsDeTTmKB8jXp
faq9l1uXnZ+tFj+gZ1qmdsHW+ypIVYxME4IrExrG4O3wJXJylQtHsd4AU3vgPygx/ppJWqfxK4tK
ZFU6q9T2QaQcUITSRMc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FaMBBG/jmrUMe9x8Us3Q5I4UJYDtvorvCao/acoVXebknlwDoGlein+YD7QNkSuV+RFnLKPQ4HjJ
35N8vMBHQ0xKb+5k1DjBqrAS65FqmR6D1E+LbH7ypIOL0gjKKH6xNioNR4Ww7Xr7ICTpvI2lP3b1
Gavw9lpib/I4GSJd30LJTpdV3DLnblIuPbASKAsytD/UM9wBjKSRvNesVDL/mmQh4hogMJgHLh4m
jum2a6roqCJ9P5T60Tgp5kg4aeu0n8EK7WlG79pWjbeWsWhVdiUPS3ELC6ifGKFNjY7qhS7bvYSX
nZsgVC60Y4GXohLIFLBx9OLVNEFaO+LJGmzU6A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kRO64paStaY0XIefg3dna8iNGOJk3DWqR9c8RZkiQL4sE+qoUNKucO55kbeqDZxaCsjoEiVZ46Se
DN6aeQAbpIkqE02jobl3goix6QoenbLFu5n9TraIcx8by2j3Cf8DmlkJWF0cQFRAmq309sWkYH7g
ktZrt1J8v6aj1n0w9nQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cPLZpFAlx6qX/+ZdIlpBx0KyLh5FT1vyEinqC/Xx7KpUcIBP+a4xSb9yAsPzzHFgkLYFsHORwF1y
yw0gNP9ou37w3fjJ+7Ec4N1Aa3UyxOLcGwBb9gxqjpkBEQHidtbjXFiiPngzrk//8MF+iRk/zfCv
/W1GvSRZ9v1lQhQVobsZ9/x2+KwY3Na+zUUnJ5T7xow/20ij1e87xyEQEbvTtMUuw7Egx0By0prL
LVJvqi0142GVV3xzqMRfxj69IeYOHqO7rihg/FRzzx4e2gSUkq/3K8nPTPrg/ZG9BDUVgZ1TEHR8
smsjHQ0JE7dJ5JWAoMoW6sp5BXrLwd3Ypax13A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6640)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/raster_accum.vhd | 4 | 51369 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PIphAbRAD4Orpdg4Nz3NYGyz054JG+E9346gON5imNj6Gf6UkIWhj9L1r8qimRhmBGtFR3gcHbn5
e30nmb6QHw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BdgV4ODgpF8mcRY0Pep7kivn/HsdpRnGH9W+tf7STMQltIdi/5QUKa5QwBEwOUEW49TBDR1XYpye
ujeTh8Hig4X6j+fmTzaqrQ5ACW7UrccfyvqV7inGU/yLm8HZ+2eb4dRQbiWbBSjfwKh8T92fyfV4
K4Z73M0dBWoKNIDQpJU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KpyBKxdUX6Nw8Vt2Ml+WNqmFaZA8QHYnJVSwm89hAXuRcsO+VX3+aaXIkPwUYg9TYu55BzvZ+i0T
PxNTu1CwMIgYfyNWX7TAHT0EwCtI7XPKf8iN1bGnIKjLtHGVkQKkZz23lA5E7ADycxhJd3Tqk8i0
8L1RGzBkZ0u2T96gCHbHH7LkZShZ4UlQKNcZVN5Qp6OQtyWQhiFb7j/ThGSD/YeIPchzjIANisqO
I/A4JWrpQrJfgVAgBAUrsJibTh+53tTNGgBG+vcr45/xSmFzmRyvUfnMfvXvV2zcC0aAgS4JLkPY
MVX4gscitJ1QeFHat3QcAaNfH6DUC0peojGgBA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jtMS8n53AtF22CP44vtjB8ZUug5vW5t1/siQuzfuYUrdSQcto2Ly9A1v0DkKwwiJKg0wkGzmoz4O
mgK41oBc7O2IywVVWPOzisbXwieodWFRJXXEBH+XuGGPRGbL7AZYKxyqSj6XzMGC8N8nzmVxZ7WN
9RmYvMqC5fzRC5XuVGo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EU+i6oT3soDkCfeKBkb2IZmkRW0um29ZoprJDKV510I9D9wAzhsk5YEK8C1HXDV+nHs0t9kGmev4
6brDgMcuHuwA3ZFWUhGNn68ynWUimbMSRCT3Ei0RaRHcFu+MySIl7LP8jYtkH4x0g3jFgO6RcG5E
8iqsfJ1a8geZWGwd9lFqw/03jOIkbX2hiIChdfwZqCvHwtjnWkMg5NCGABTqr+JwKbHQsAW9TZ5d
41WJk3Kjl/acpeDVSgKYPC9XH7wKpXZCkcxLukMI38lXzuHr/a0EeRt0a1YAazjuQnRD5RVblwNN
Tvt9Y7OxqGNmBEzrAVVJPMKclZoJ1n5FVXHn/Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 36288)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_2/part_5/ip/dds/axi_utils_v2_0/hdl/global_util_pkg.vhd | 10 | 87227 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EJ750vgR+Xwh+WgXy5K24VfZbG+gqA3GrqM+MAQg56FTPFNdUMkOxD6Pg4dbTX9PCs3L5ZpZQFjM
X+aHlkGCqQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NAIJOrDOxPmnySKU1cjTiJM+KTDDuc2P5lsw0tUQQpK9ouB8I3ISsmi4IWtb6m5a5LtbHSEze5tP
Fed2DCg7LuqoFzcBfiuiCfJQS+YSkpsjG+1XLe9YuH0p1UnBWFHjKneuWU76xQejv0NLfN+tKaOf
0o+Aohk6G+pMSODO+G0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eL3yuinVBwvCg8nCCXOoU+nTB0OPHLLH5EVXPf/cCdtSxrNX+ID9GfJXH2iYiBGKkeqVfNoNkmOA
RelbTqXxiweXQB5UR/IdSwPDoUT1frdnwKqTWn7f9I8RefKDhQLueCvNO2sTb3R4CGyDgtqo2f6I
ejTCxR4NiCo9HyGA6xnVSjFMVB1sDkjS/JkngjybEhfPt+2ni6yiGChYkYNl2U57jQTw8qo56SpG
Rnvwpgu34KOnVdGJFcw5/PFwhU0dR/Ff1rOYALS+yfIdbAu/K/9iIBT+U1KxRWiiAFDquhwT00d9
iwpdizgi01pMlI+9sSJqW6VmFMly7FtUQGTHew==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A1JbrPZ8hKzlKYNHo9L6+ccKeHk87ZqfxOfRS0AcqRDwMh57eXEUD2m5hb8LDEolvvPo/i67iJPD
sSQ/S+uqp2ZHs008rHSeIgSmy2jufYZdaqy4uIhkF3w9tIFVJ6VodSG1npJ+N52pY8u0xvkckLkF
RN/+TKGD/m1BqRoqpn8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
B8pV/mTKZtYd9M943EXPtAqbTDKfEpgFrcYTfyE1jrH2+TkNftRz8FBUYOsHiQ0U7B0t6l43hxGf
7aA8APtBc9AHPzp6KLCdqQPYTkotJznRbsc7aTDDyOJKfG4T2he7kr+DAm+tOtytAI2tNka0WXep
xdXQtWYv6H9YTtGQdnDXfJcSkiOvwlub8uP3B1zD85zXv3kfK03DyK5IxHwTGsXNiawuAYWsIldy
fQKcn0CH1pEKAFJwib+VqYK449nRud9alcZgU1txiiqK+mOh8TBqvpGgBSrrmMPyoUG8JMOHXFQv
er9BVocWwryEwtZ+yt7WIpHgGpzuUrIF4+jJGQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62832)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/xfft/mult_gen_v12_0/hdl/dsp_pkg.vhd | 12 | 142648 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
peElgUBnFYE95eKYTfZrpnIvdxmsESRHI8KsUslKl3wxGUHo4Q350QpQ5Daeisknn0jkGzHu55GX
rcWj5kY+nA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
foXL846WnMuQyS+KnySX+Um8/BzYDJh1L/Vkuxz872SKIxAGcCGxqYVxF57yWDQolsPqtbmbTxiD
2XI0fyevzAuClOgGeMP5ZM88Vm9zUmlH4Rixwqs38I9V1l2L8Gvg+NRN95ddYuuiy10Q/Pt4UEEs
qCjQhrRbXX1UTL3tnew=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
S7LVSqsd7mNTg02/lZZbRNeWCeanJptVPdmW2TYWEaUfEG0f3QgDXN6cw/ZtDCxyH7QM4o1eCLDV
RxW6Rj+XGbob/LSYNDUSrRqgqf3cilsMzV16ouyMdQzKDi+/yGo5EbTxg3o3GyQMx7rclF1gU476
Kqle5cy0G5goKQaLHYAtAcuu5IyFw62vJJCwLKeyLk89phhJigrHhEAfHWqibymGa90qdDo172bZ
wzci461C/JZoOjYiTJSPfBMtWF+CQn60xf/t2CPjlSGdrCt+lEUMkQNtZUjOFas7Z2ND5N0JOffg
Oby33ERGSw64g78gh717FBsgC3DWgp1tEQ+Pcw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DE4yvRnHc7oO0HWP5V28l9C8UDq2AHTE+Xd/v7COjBqDFLp+G8yc4rfOTqjOcSMNsttRrOwsbcba
7YcOCAiaOLriUv1Gry3a7kcYiqvBODr6cEj4nGbLinNtjT5raCIA9alFqfNOgSGkheyTfqzDuGa0
z/F1Lzh+WG6J9HzTI58=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
reCKS58wk9DvCHzX2jTuq4IGql4vvQcZraOqLFE0gj+VqiVbOC7zHTOkYlRpG5HtC3W3yZbAF4YB
CbsiltQhm3AfPuNR3vYI2FGLfud7FKeiL++y6CbzGTaysnARY7/FTuNDhCX5jAVm3MFsFVB0Fn6h
m/iRfUJGvHOI4Maw+HD9o9rbNphlJF5aOxGMoI+JxKNMsk7o7W1F5Ce7gh/sReh39pbvT1zX2rjZ
sSrRI1kvWPBRd5pNUI0fINF9C/+wgu+qPRNx6NKuNsUKzV9LjYwEHd2rmNuPVZ2NADQdFTsgp0aa
4oOnxY0X3MMLNsSk5palQw8GZMQRc0Ls0J4dUg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103856)
`protect data_block
2SL1HOB6XgboWv1VFRDStWRlAKGMbHbk/5iiClYFox0GSbSNI8E0Jga6Tlg+4qTcb72LHcmGwBeh
JjchL4v2t3NVuLhIphCefaiVM9oDO12qHvILHf5GetQBzARStpUIofQECvmlP9f9MSBqVu5/OhlO
56BWK3hRl0iFR3ognZk5M+Wd+GYAlF6f7PIr7DgjzySCoWSzW/tQ0Cp2/ChUu+OYQkPTP6jMNglq
k9u+4WBRv2dqeT8H7cOHE/tvYdKlo02jxzlULC3tlLEQZOyf5zF1XmekoqliMM7qjWagZmUBoLjW
0zbnZYzXksX22Mt7iAqEqO0Cf/v5bC3Q8+KyMNzyt8lIHeKcMheuO7eL5rl8G1iX+OcV27R8qxqT
BM5JIixUKCwcdezToqAdTibJgZxrwdNpZloV+oTm0dC6cYWKCqi0wPJSSzJB0/+1xMZpHQzlbDdz
nxOdVJir/WoBRH5JOOeH+kam2R6NDWDSPb6nmn5DDJkGHtfSZQ3KdA3HtnNORuC/Q33L2owJq6FO
ZDK6idZczP+Zj25OCG2Zh+fgkjf8KzzCQK/qOLHSMcpDU0GGbkRC8IpiiOcxd1yWFGzGNgja3Am6
VnbU5PBbiocQpQuuLGnYCTFsIgQS+HVfQ1/RpkIippBzGLyMfNO9izIlHf5Wm6V9duc/KaFix2UM
b8K76hback4pl62qCgNJGUCL9kwMddkSdl2natCVQk1IlEJ8nw1w8NiJIYXv4wmwiQq7T+VclC2r
G49rp1Rv3CZphS6ZH8DKcXQQyzHy0hWI/X/VuefOx252EJFhOA9bvLzqanezBO+7QzHA6bYGr/Do
MnAHUR/bkma7ymXpdRry7SZsyss4VKTbPbpv8XQz30AIGE5tjw0KrHOyF+n+X4BHNcXetRELCWY7
Pi7fthaCDfqq35RcXqY6f4rEO2rEVQUUOmZ8TQxd4TpdcCBcGLEQNoxBf6cN3CsmsDljoNDzFmx1
NPHFcVn+R26x+BDjpa4pe8lnODsblABxI+zXqpKn31mdmvMib0hziViraOCEC6GGw1eOWmnnnMVo
WRoRA99OmlMZBRZwG+VZVOg0NR3zZc4nfHhuGxpPw4jEjfxAXkUSaHS4vmzHg5K/Qp910BMD6OSB
lhhCcMIBWLG6g0Q4Y4g0lDrU7qBFAOfp0zKvypledlCwqq716epeF4bRc0DXimEpVQs8DH6Mnuuv
Ze/RiWS/TZfMHWmUqtCAQ/Hq5u3KklXyo8+FB1GpmowggB8ZuZgZ/t7OngOCkBFo1azSa+6uiTa/
HSKzL7l8WuyuCiVKfew4k/naNo7XQJEAe4q2tNlztC/1ue4sdcSDP0xbo8piQagcrn24QYxxC/Ir
bqM27PN/vECTvArF0Ryfv855u5aSb5d4S67F4f3m8QWGB2W4CW+Hy/Cz3THB9T+5UeGSk90+WC1U
z92+iCa0tcpttptGYOH7FXIyms7kfxJYXcAC0BDaHnYTgWJYoj4kaAw26Hs4d+lN9VIJqqreHEhs
iuafwwJ5bAy06JhH+0NWg72QFJ+RlABivF59xGmogU42v8homq2q0Tld0Z8o+sxn5DsMWAjJXpm9
eM986HllQK+BxUVduue5Z4atP0zt36/NAGfSl0Sc27RnQVg84KQY1VZC0SNj6vJqubIWtu+kP8rW
w9ROVvZf86SIq4qjg1D8QZMY+nOo7jNL6djac9Ei/6jZG5TYBtwRVZfxyO1ZyI97xAkKxq5UWtVZ
uzOOL+AqODR4/Ze8cmhigF1r1+FPEq9jOT1/94MD9RyUg7yt91R10QYDqma+ZpW70nFoR5C/h1Tn
rmoj1ABlD0nywt46GtC9jUxPU1HJPx5aylkKs0ULF0AdF98vYwWiJwXCjDijzW9ESDHgh+bZ6CZU
mOyYCHzAG2JvWsT9/zk65yKZNI8KREC6JQeRFA6c3SlpJTZ59Faoj7VQ1gXjwvnGmzgY4Q0rAY+f
IyK53fCqhB7vp4B+F9I4UkCZxiYIJlPyJq+rse/8C9KypCWSnKdtZZgJCutdfBljjr+aSoBvdM6c
FaNX4zLKgram8WwOyoNTJl9An7bFMAyfr9xvkBYdw76y2tq3okbxhUPOoSRLscWUu3st94SOsafF
9mGppX+4mETM9wNjz8UwfOFnR5uP0A8M8iBj8+14bDy6D2KZ5eGNesALtnfO1jZbwJBuGHBCE/jo
ystyQRAwSCRAYAi0+uVsRtQdEP9IQFlsdyvSaAEn9QTm5NsuKzAhgN8D5v7kQCFbEN2XwqZxL68g
9Po6rkYpBh9LCrpBH06J/Ucde/HLn3kB7JWNBhpkK5S+loHZqT+80KH3/9iHaBbYeqvxgjRfsRMG
a01ZNEuTzWsjeONgD/CYBjg/SOer8/dCqs51wa2nFRGYVTU2v47Rjj8assV7HLOEZNoeGXb3/aqr
+O42VFxnDG9CYay1KU8Tb8PjfKfbngciVKk3grnpbBZ7lzZ2hofrauUpWmwShIkYPgCWvC3JRksr
C4njak62b+MqF2l+SxUFfkh5EbR+Ijs5fd2vZy4d1HCAqMkCXwxfwsGeXVMfuyrmS/yoZy+OO7C5
fndTnCZv1MpfsHESPP++BYrGL7MLEx7EtEfomq6w51CB/+mKwJgI2S6nCmwB9bsjkLPNaNd5MzE+
ikIn+JOSa5/BNi+B2jOwZT/TFgZvKXtLoNdOJklS4lJ7/++38vejSSwKqz2LATlEjLWJuTxVCffQ
bkU8VILwM9UxKlHB1zi4Lmx8F6kAx5gG+Og615wAxI7800GF3pzZZIdJDloJOa9apHtkV/7WKjbE
rsF2i9CMeO9wGsG6sCfV8HYOUh0a1LHve/dMvp2DMt4dG4jQ/QsuAY+g83nmMEkyCdpVpq1QUc2e
dNS6OqvU2ePMwbP9ItvfkBvWuJOKlJcu+uZ6vBNEJAnw8d/8Kb3VbCwv9WOe8tbdnbFUe7flB0bm
mRyl8r/+5EnvYGlsDi9GWcjsezdpLmsMNAKkKQpxzvqwddLT/9obcHV3Bfex6pTNBgMPv5o6APiA
rU+XuzImof6FMMN4VaXNwLjN/ZIBYEIht5U5vqXuSoT4YD5MswSN7ngrsTWXVZ0Yma3+io71YSl5
q8Tm0arLBoN7kmp9QmYNW2OllaFAOgpYK+aHcbCdlcDEVvjr+1ApCY8NY9OVRsXMpSfg6l0ZO6I3
WBU1js4WYirweNO0i6VjTde2VOPOOcxUeaPqIeTxwiXImQ2WSUoPDDvOB8Kbrvm1SLkFIZ1Tflrb
678+UTaSTS8t8Y0w50wIBgHahdqeJqsmXWpKtcH5L3yOSSb17DXJdnotQLy8maxgvHGDqpEZvICj
YRVQCEsfWl2j738RJfMkUQsR2Gg4VDDnzgUP1PQR2xneGmD7eFUeV77+IhSOKIEWRe2v5toMWhZB
E3uU+U/gu/xuYlWjiYJlD1HL7dgmi/4kkborBMwwgnVggOVkUmTe5lAjxdFDErqAGNtMl5VTNia7
sWIdrrWFZFYvOK63rtf0Ieq8MHIYm5rs+ncFA6vzD0b+l5dGDpzfU7cewSCWBMXoJFxxlBrJ8w0q
w1K+SDTDRh+nQD73iin4rzqsoWDNoxAdDDwa7pfwmlDYRRRScphxaSUZ0oXTC8poWmK+ZRYDw7wj
51m5kCZbnejns4J/vMtn5X502dTE6MhBLL7+imi9+GfijGe4ZqmreveBliDym4udl0ra+BT8s4Lz
srpFGbWMLXoOSntq+Vrjzq2dJly61YlBL5Xoj7EJb+NBd+p/4RvuZwi4NAZ4974ND/fPI4Vdgdbv
6DfY44noqCMiuw8SLOkFIggxofA5nycK5nt3I0CyodMPeCC0uhIaZnMxOL6Y+1YAzRLeoOk0Q30m
D+GgPWj2K0zMtUmmkwXXaAA1azfWbmn4fYdHw6XF1UCIEW9dtZw08Cb/3AW00Rm+xY/Y66p1RCeI
2oh9x/nbppzP62FUVlguqx8A7jXOC6Lr2GMlQ6imVwuYtm1nx1WRHX3uxWbWF5soT73lzGjrST1J
REnH/Qy9GL+e/WnWZWAwaeuUqcZL+jgFWfDmZIvzdtRfjvIhFCf6gzLuy+r1DdJ3cB68P8unI37i
0icX/MPtkVzqxyVrCPXvpyhQhWeST58z1TE1sbnj/H/tkvpyf3uF2PVCP2QoCUIi8BKrbfJhF695
vE9nBtzxigbgm/ApG/tSv7CtnSaxUj7KVXe1w7NBUXT15igqjjIHgxdeNSXTzDaEz4bNSc5tabpO
zDImICW9A9lAxlxtyNhVrY7O8ipR+TRemFQi22oRj6S463XpH83K/gvJULb6HGCBHLgh5k4T5z1l
g7yAxC4gYwsltNoS15D6NB9ltk+9Ho1jvJxzfmRjFm/56lv8fYgl5Z+jORsI9kvfICjBnVrGpbxo
BQeNigs/oNAs8EigF+iwKvW1WmJEASd3HefmAMbG7Y14RXkdkIzePBQv0+0dS112pE52O+9QFMNs
/OhH+JiLolomShrfE+JT/ahPdTnMNxz+ajWpLJcoG4WTnUV2uW0ezss5obHxxud5zAwbrEJQAUXr
KBd1GCNCWjv6JefxxwP0kj+lus1gLy3aQJmoBTc/5NJ+n76DWm95Ahbv8fwDlE4VS4Fs4oSofWrL
T0rdJTF09+4eUv1j/FWKjPjGmh/iai0Ad18PgDPaabIkVXxxozNIMqqKMQHInJFKiHWk0aCn5Isw
TSXifCPz/2CH2o27jy/x42IxcEu7V4rMuwSrIqHe02tO9ZWDu3OmxR5uzKMNwkKuNYncUmG/BmQg
F7Gebva5Y0olVjglYPW+IsCf/CPS6HIrZGVGBffG6ATjkuWfSh2dkpEBPc4wAlMpiZXqge6TRioL
dQCtbdrLWipC4exBejBWFvr8pNDHoELDW2ld5WvgpYns1kKnoXg7Ub+T12lfXogc6mBkOje0dQUJ
N1ISUM2fBXB4sarnPxhpJQlEWvm/3tezCUIVYauNuIot8U30xhhgGPD37L90MHvEy1i+KE22iU+U
O7igciA/m97DIGJ9Q1WsMOamSZOVEMn90NuTUayvJHYLLrh0SvurvxkXlAcewAQNuDaw3usAlb0o
6pMT50dnEKOpOWLFhxXaP2ZozZgu/GAqMo5wVIMHPTd3E27AIdkyD2UVqMIt0bZbB4Bim4SkNSwj
2a2ziyjqPginkN/80pdd+SFzMzsKTFxK8H5V8RnDKNMtXhCyXecThpXdwP5IgA+0BfwoYq/LGMrf
5WYExboH5zF0b4XHg1lJ7PRVmCSBTzxX+r4mbJJsib3+DOpB/ntpKp8I1+n0IYGLPZvLcj8BON0z
N4HHai2fmzh73MskUOphZcedXRe5wTeQ29T7s2sAdbliLUOu5GE4yaPvQAWgXEbBKk7U/pvTlJMe
cZms2exIrp0MD/t/BBuGNhUPJ1wp9pI6d/l/BIb9FCe54jjoyw8N1C0PvXbwjwrdDCOprHwcUVDz
Xar/v7HJRG1Dvv3DC/n5eBdUzfo7AO0+jNx5U53dmf+aUWniG/z7nL3cZ8atIO9sueHPS4ghTdGl
E2iQV9NYcBb5iqgrYwypDQLp8OMN4JmU/eM59ElfrOclFDBfkKScvqO1biQhXo6FgnUJNL6GKlHL
60OffyLYUIS3xPHN29lm8irFiA9wLTjSjNW+jBJHe0Lh3SG3FQX5XHzUNE/p3as7oMZewToR/Kbe
uJNGqOgFZT4zvFnGT06Qjw3TYLTciXe7LClXGRQ7J9Zzbb83c6MUMQQRRhWiSYyHrvdHepuPPuIu
oi7DVHU3tqnYQOuhLiXdivMwTIkuYIOhfjzhzAvpeqm9BeR5zDXUEK3Cgeqjt+/5/7rYNPsxU+/J
78bqz71nI1uYTEoe6PKLT4sv3nCi8HakObPXi7JDU8mvzQseBB2nK0upFi5V4nrMQBVvwyMMOpQS
VeGFjfTHD9cGtcO7/js6psKNR/GQActxAaaop5ERWUKsYtp3OQ9pwlHTtvkOMxC6sf5FU2JClFfs
IpNSylox9h9AzFCi2GmsLAJGWjqLntdHfCRULt7gacitMeqUnzZMo4j31wO4slv+BfQxlyNN7QeC
xKcE+0nv5E41gr+ZxRyoOMmIoqDPuRaxnb/l6Z0PZJzJA9/74bdA/Kvw00h8hmuyQZjuzjPtaClA
AF3wfHXYE4PPEk6Nhu679mDj0kBvsJlu3SCCuUAbxHvgWsXMzKy1Db7gkaJeMA7YjBOv3V6JmwRu
uRXrpWXED8b5Ho+DuoM2XuYgXyg2dTs8ZjTB3OsDkur/T8Lo1d3PneyNyl1CIGVCqjwQ0S/gu5fO
IberFSJN3EB0DPHdt0n4Qfm52roGIo3t1MYBMH0ArZHQT2f9vpeDsZM52s9w9vI9gyc9MAVsDad1
YF6kLZmzSojb+k1gyPu0VBAWHTComVCXFSJJFhH0mb/T07sLfRSkEcKLxwwY8bi+qhNWh10OUoz6
BYG7x5uneJNVE2zSBqP/M7n0434NqF7A1HvjCnuyiHUGI4zqt3UXIPZzzczKaIqAz4m36dSoJqoP
sVRmn0eFddudpSU4eA40lRouhSNpvOo+3cupkUJ6YE2hj+phRPsGTmHDyhlPj8exw8pzBVvw1wU2
kMCvSlSQe/NSbZODdNYN/WPEg0TAHNvSh1RTLcOZOCZ9K7+pDD0B1HE4JHk8iOyv325cyNLch3lY
yv6mJMZ+eCn+t/Byi3fIEy3Cl6MUAcDvpaxoDSatb9/hrJX9oOp7WXvd3zIWXLdxJjZR11sWHMXm
qbtQCubQ1csCPZe3Bq3nzlp3w/r/vQHKubMKtufBPumjR5//Vm0lnVMTbn1hErRMYGySJJjIh1am
aqOkhS1ZqnEuZwW7lp8wx8wHUW6XQi87R3uNhb5Ljvd0+yehczVurBZBqC3n+3JLnhIy+cQSK5ks
FjAS3u+V33nmBn3uPEVG+llzO0Ig1blpv9i5A8iScnamPNef19N5qT78HbYeq7y5xJtl9QtO1mfD
do8eXyJXas6xmh6UQYlP5n6tC1WO9kDp+tjypVVu/prZ1K5XxodiiWTfIuiXPD6+m+hobt4bTmya
hs5p2RVIA7e+NNCuQQ/301QaDGfHWngdkReuhe9vRsMSFtuRnTwJvssXpqlehRBinc2YtNrXdsY6
InBNjH07gV8HdBUr5dAyUBROvsWoClqtelojCpdjU//6X4mxsF9dh6/7zHVIRaWtDqCyy15GjAbM
g0YlIn1qAhiUZGGJrptXU2OvIHRcogW3lad10ggYFMhAcedyFMShYhOt7klU4zdeFPSwK03dVi53
HEFAzrqZPs/W4STois/5hN//CzM2M9RVEUO3Rve2U1MQtnYgGgJ2mOXtoedSrzhxPkcZgk+jt4EN
nFHWKF9UcxoDpikeQqgnSglr8PD10RAuYQsFiWq34KWVHU+w3Gsh8tHSWWTdhajh7MMUpPDm+k92
MKDMYXGonZwHgmKScZQXebVKg+d8mo2gZQZxDKQIB55xKqX19bCpXvXPglj8NHUcZ+4/0Waexm+8
RUf7XOzyIKZH+7ELNzHWKaoe48FHnRU0ti04iiauQLflinGkOay4bYdJhcpkDj+sr5FWKAXiuTsz
5GpZTqJBq+Obzagfzkl3dZ6qf2MYQg1q3BJi9CwnXUDObC75VL7Xr7LYJuiK57xGsuRDCopJHUjv
+SaRe890tW7YbrNouG93WtcM3xbzKgqFrusfJIUZ8y4QN3LK3SW496JfS3R3UqgHbqQVz9YvFZiH
LEMIVRDepezAPosNTT6/4IuNwlGpBeBpSuNeggEViIStFZFB1EfrL93AkHqYQrmh2wFVyijAy8zg
qb56iUMIydLPwP2PXpQXAxNzahDOcahOuDa//cjeVGHfaf5ANQEiF6ABOfQ9f3OjFwRdYVFv9PUn
Mz7uRgVEDGv8l3dR8ZRiKGeoO+54Bsr6M/7FC1/bAV/MI6axYnnu8Qp9bBQMAZyZ66NME6pu6j67
dSEUKt19QsizYGwCAi5ri+VMy/CYHGzcV6b0QOUpvPbA6PUoihZKu0ck4lIzVPJHzrKJSWo95lfU
YCGMyZuFYlDmiCVgad9tjo9uhxJlGcUpWrOlBvYDTZLYjTERDudeGYn/tMDlw05HGZNemds/qwr5
Zov/gAamrIZh1ThoQ6InK0+4nMR5edExFlOPuBn/jNsBk2bGmEKzB9uxhfRP1Dq9pnOxjjFTZ8pu
4X3U4bCIE0VgIdl+VhA2cVXaJ83a63UKHO/Jqt98uMv1MOE4IlWH7UuXCO4JLWqHI6eZoA7cFFN6
tOQzKSsHEY+t+OcVZwbYOax1KJrsuFQ4XilW6k4I9SHnzchEkk8WBZzkiw1Qg2eoWOqu1af72Aey
DLxB/zounKqEZxAPmaJbRN8PW5CE3A/8InZt630+Xx3/jdt+x0j9UPEf0ISi/ChedN/5qr2oKzVL
DlPKkviZEYPci5VXaJO7OzYDtl6Ku8m7RQ9+elsKDdvQbF3zs5ceBclr8fmJm5oYxshw597Q174D
y39LxgFseYnZyZfvvZ2eQubblzktPpc1l5E3SaXgl4BTY9KGtn4SmWUgVPvrMlyRFDPVbQSG7dY1
7RfH9+BtHmV/Xrru/BtpLAfmTchvwcvuo9jRFtMBRSqDuUXvqnvVQZWE6teDKfwg6lao+tifYaUh
hqNC+e3l/d8NwMbfolBb5Ds/xrtei64FWxGD/IdJbyAdQloyxbDTA4Od9wfn2nrdEugqTv4BctRZ
lBieNOMIrIbcJPX/UC6KBaD1qg9sjPKo+4U1+45uJhmC6I0xOWqok5EeTOFlSaUZ/0vJP5gUV0Jq
Kch6cQiUPqiL2BZD0GEuRlKmLZjmXhCcqJ+qrV32BioexgN8Zw6jjCHH3vZgd8qkHHSrU+6iqLKw
ea1xTIMYvM9mBWG02PTM+1Igj131dVxUGC1hI+oUrc88eE9M8k/nZQuZ6HlemyF320L12jqXe0Df
oDHhpK5Hs1ctPSPtCXzWIFQJkU7HVfpcKL5mBr4cfL460vdWgwZ5MqAuiZeBCDvtZQB3AdJ2ytke
Ge2c0jaabuCUD0MrFq5IfGPhSchBcYNJUVYJsyL165/I/rciCCsF9md030RFxQuBKfJSJs8Yis9c
mRpnTB7SRohCqHqWVvU7KptR1S4mlZfnzMbArpduvcvPHcNutvysc2cDPkTkvW3SPOlgQCr7fxsA
Q89IZPI/9XLyTFmrlTGagMHM2Tos5zWAjfLi+0VjYO4f7taQC6KgpNSDC6sNpaDb2ttDE8u/kw8L
Tpfj1B6sGvxasEpcUGVsxjxOEG5ytk9s/a8iyZliViGXKqbY44tyhv21CU++TBFBS/5PW9iMneqw
Gz+smzlKgR0Rly/Q0GVfSIvEPm83TtU+5QdJsmwMAxHcD3hEDzvhw+alr/6zDcgG0xqxOpLNFx1P
ANwdUVIfnhkA3E6+D6iyxZKGpD4FFXs/++2D7M16ex0ZXlqpZ7VoRvb9o7fkUtf68L+o2cyIkKF3
dPEmqt8nZXJ0NDzJtZlbPI+3zMi/QhnTTd4rdLhixCiO990MiTmdRvD+FtNhqAGzQ76uK4XIBefi
K3X5qD/GEt0QPPgYgXeQrBzgGmN1XFhornqH4vJoqzqMlVWKrwS6vWa/Y9JfYN3RNwG+t+J4eUkz
j62NW03nX/P2ro0B2Q3pvYCHglTyO5wcwLAyGCGnUbDNJQPd8dvgnHiAe6m1ZDNpJR9YUPVUEU1E
MzPm1BKfZUsRDxrmmZ90CKyvvkdWP1XkeIJnj1qKA3k0tRQrYiM9BRdYMbeuX3gz3sNcPTbUxG2U
LXzvZ4LOVo2TGafxRwtwaRStgW2q3WH+7HBx4WIcaY2Uwb/ybGCO6P3WombKC+8jPOYCp6m75NLR
tEgx0EXMsgNfnFhli+fRfJn+Pt/ovS8vYvwUwLOjr5W8jxsTXltFqXVJ3YdfBYMN6MddqwLCVvZP
2YB826NhGMQz4BNkKPC3yXnIGCLhc1gpoE7NGdIpAjpEyC6uJ2Cqg6clmqO32KHojTpCJWbF4Oqw
UWCejt6nFacPdRuMO2/5CEthN5PRKowQA6BVAN/H2B6SnT9ggWa1Z8ER0fEkYXCn5O4zyWBjPCKQ
TWx3VjgTBpWXaNGAcMUWNDzEkz1W0K4+fGG46eMHVAa91RAjxTLFp5CLoIH41XyXfXxHIphlFuSD
mSJDeh0dKPYGcyf0Cuf3Gka9muJIgzJbn4jhL1wKJcr7H/YOp1zWALM6b9hSUaluBhDafYmZHhXn
WJgOHVlF04M+c6OeTuMDhpiFNpkBozcAwMxfCVeDG+ObEC3w2a+P2x2uAS8cPx5zkJ7bcoIvAM38
bEJMzQBwCcR/pg0VDrVNf/xWF5ptxlbUxFioORKsS5LdjDmrWScT9Q+KVxtHa3OMoOLHYSO/DQf5
gwMCWjZace8P158dRbF7UScfVd+ovJ2rOQWyfxvfKdbXGyReh8E1sKiAQaUVVYWEsLX8+PZfugoM
DBvCHwXNFaFlsEWy/Sw+/wXELi9fl+3n8DT0YwYHUKXbaqSA3B8YkgqmACzfuOTQ0k+BPetVYkdX
iQfdET4wLH3hmu85St8TuhgZFejs0klPUeVDaKVLWM6jC9q67RVbyoz5KA83aR4VAVRZhgXAixvS
Vay1mCQT0cxUeE4rmNgY8Dtf6pWu8WoTI1O/4uQuVVdBmrZ/FMEHai8eRW/IrVy0vI0fsQCtOQQ7
+EBdC9eR+nSJcjRrfNBoHKLWc5gi8/1zyqyfAUW9+OYNr62Em/24IFPD2f3edMnZgqH7A1U2MI5r
QXYUegP4d4vkYrPMjJyntF4AFVCmpvkxeWWWbgxQ7zMjkiT2m0AptOgz3jt0h/HERLgLZGJDLNk6
e8i2HNmm00loHoXOlAmMsSGVLcIOXYbgF5/aXwQoRWte09Mqjj6GvQzwAN6OMAV18uBlKElm1G3L
KtBphYGgnB+Z423gC3PvqEebDFxvcVlHgjOKQqCqOSTwrxE1NZZw/vKmHt+bCUlBeRjXeXFYVNf5
QG7HBRXbj9k/BicMMHYg5FwxuFcKvJxU1HlyXGxuAgV15BPwta1MK0Y2q+E2G6zfk5Yz3oW/ukg5
wzTlcGSTcSiwjYD+c1cTO3i+Rhay7onbRdLO7ItPHvOOxHWejOBJFoV8Rf0HWZE9XE8VCgR+LhXw
DqhLalR7ViLWMenSjfM17VepfM8e5agcFc+Ocnb7fLJM5EKfMd7B2caTX6DLGovz3jSHTSXtMt+P
RxALRjuQ+ZnnWhtt2PEf3kESH2wQTlcd8tHgYQU6XCfjf04rVqXWOO75DZv3e29AJoKtt7eyQil0
k4Rk9Z1hHbdf9twfDp/FqrURfoSw/5DG4u1XLmiFf9M6ccG9j7c+fACzi9W2dfoS+ESYF4cyfXBz
kyWv/1BSh0HWYFGq6cjjQX3p50k5EclaewRvdOSe295g6K/ZoH6xXlwPSpcAxFJ2cq4umq02CBm8
9PT9K5V+xYsKUvFY1iqxm57ESsiYzDjWXUic4OIgQP7YPYPq9bKIN+yBxHAccEVbr5kFr/EQTD7g
yaohQOlI6r3LdmPtqEO91KoGM6k9XyOVhiKKvBJC8gOlxK1+QydI0c4fF3eyKB6LE9upszqYBlbM
Ld7/smjAs3ZHBqO6Oj6LNjMcorfhNifWPXBj891BsoE9+MlhR8YRz9Qinca9jQlic2zEBzuWKuHi
m+mYTeMEwMUWC1ftybQob1Tcv32S9a+bbeMoaY0DSY7ogXIhD+pdV+omQX5tck+RKcJO8xrCBUF7
EljzlJfUPaxcoJUbCuLxWBubh/geIeWCQcbF3CHug7YcQUE4TiKoCReJbaVBSxpAD0p3+o1poHz2
WrcnDIXTQ8EQ7bssIUpBQZtWaHiCu9daqW3muL1pbihUY/7V6m7gIGhuewqD1mjHh7gHz2B3oPVo
72tqI+Sw5sFku+DK/3A/Ds+aFhRvtSjUokd/llb0R0jdGmvqocxtx0c0kzFWb4mKaAolhe1kW2WG
lLM87VkLTgMebK/jDpZMaDYCjll4AKvIi9u7IwpQAzRk2WiRf2jmpmK/e8N7+IDdaTN+4rqmChZl
anD/WBqwPZq3MeBUpeArK3J/IFFNGLEW/346uX6/FyOJCqDoVK65a4xmMjcjudVsL7O6JG1qnUYf
7hg7990c1WkOKSYFs2Z0wtxgvBdpKZJ4g7M5LFkoyFIlnXsD4ugx5MzgCLHyAZkE9FRV7ObK5Ib+
+cZZ7v/sungt3fHtJ6OM21XW3tRpfj9chC41PIHDAhZS0+NUQ/da4AGAudv7vw0z3vXLmcyL/inl
wLSDBseri2EwyEictzcGZ0abw7z9bNKyV4nyk/pBusp2eYR3qFgn6jyWrLEou79M+h/dhwbY0bdt
XPghaiXVxC1fbQn87JFFz6ATM9HfQiUMb2V7lk8JdFFr4c+lR9u1I7fHijxbQPOWA+IHPaZZqEgT
3XE9zDErio4YASIofcSVfEF9rWx3vUs344vblIasoOWraMcYGAaCGt5sBAlhGEqEBDbg8JKR+h6n
QmSF59Ejm7K6A7TEwrLQQFLFKyxa3KnsTVRQhqnnLxE5uV8+W3ro/1nY8DKkcm3a2d7qRGWowuQ5
+tGyWHbjoVGlYwrJhuKiinS2/19V9AZwfXyUU5lIKUSlftaOJDVM6YwwYidcU1in1NYYaZm6N1f9
lA3+AVf65dbew8RY4Dn4+h7uicP87VUBojll34Pp9Tm78uUQLM5Ps0A8d1E6e4HMaHOM05zgd91/
/kQVJW2PSgyS8++Hf5ykEzucFOqGHKoXX51sjb5bgJj5jMYBicqR0M5noe9dy6KuIHAasBVE9o2V
QLasms4eejnESE8oiah3UjYUCPlFE0WBKQcrVkbMoa21BEsGCDMrEcImSB7GJCkIgiSxi6GHPXv9
/1FPWauACTTE2+ru84wMeQZqWpcZuQLsa34RF6VWFaAFXUQdjw0ywg0zJ5YQJeuduh1/pZK4j4qk
KAQl0AOVuWQUImDNeDrhKXMkTF1x3B40GfQegYS+HIT4aZ30Yi0tTeTv1wTarrwZ+WKskTZCymz7
QkZB2pblKFLmyHhPZnSlX5sV+TGymcqcuGEbOSIhqzjfg2AA4v5AmTdHa4j41VAbasOZOz+M0kbU
xG96vY4slJoghwJvKJPBsvFnyD9LSB5pWifWxT14Xd3ouunwoxCzUmDZysWkddpcWQgZ9zQBCUKN
2cnY/eVpwaHNYbgKqtYh2zf6AZ5+F3TLRToHxjMQFttq/JioC1EtiemapZnMfHdrwYCdEur3kVGS
yytuXqGVS2IeWlLa3aC1u2/kd0CkbUTmi1wao81zL+gT00mxod2Z0rChxAiAnPeQDEo20By5+Fik
iiZf7BMlyyx7o/oRcPj3VdwzjHyXrBiHJKChO0lA29hrpYHk7ZXqwRWyt3+YiqXjsrbvRR3eZXG6
vaVo1Y7kmSLdY4R++220r0hoHljsfGiO0bdaXaB0a5zaGV28do5fG7m9PpugtvddPSN+IVJi96mh
hmM5hGhJQcfRZ+PiQ+u8l3dVbgRUck/xbp+X9dWdLOpVz36AemyKUumASP2g6fR9s0vWqQZF8uFI
tMiKNpsy6/yX0BqWgc7lQoF4UvFXy8RH3NfsOkqtBLPJpUaZnB9GF3aj+xKbwUKgM29k7l4LDSpf
uvai86DSbJDj794h1V6+BqeoC+03JzAzOzOGEW7pl/9djFLq635i6q/WDQWP3QbSW4bwajcGLfhu
LppN6y3iNg8OvZnMvx+/ngMC5a9yA/pyYBJ7DRwatng7VIRW5t/E9HlwlzNprVmzYMlFlCm90/0z
6hMB9F5jXxTRt1n10AVwaBcWUvm9Es3V80XoH09hHBf0BRSpTq2M7DCijuOxjM1j5M6OJSzgsICv
2ac2RgsD0/0IXuGSDDF66zL8Fqt3ms6p20Tb8To6+jh1wVUG0RYBSNbJ+1sb9SLVtroEz6Iv9A28
La+FEfWiULwiWkBItLgwNhJxzuGEXh0ajoTYdp9jvHT+sXfWqahD1ZoIjljYt8xvjshQmu8yQuwL
/F+Fat2HbHP0RXGkv4Rtt7QOM4D1UQpcmff/8tc2Ig0QKO6UF19FpCCbCYr/BjL2ubkkRLIYYt2D
agbWlWR/miuXKZyr0Ho5udBj83oRLCkrSVIjWAs7PEPkn7QFf2mYjV8B/TmJFZQhgy/SGcjUN9va
F/0wYrjFwVouXgKkCal0Hw0m3KSHHlhM35ESwaLqPbQhGDJP3Hi6I+wTboUKeqFn14Cs+16QH8PN
YFbSvErS5W1nm/g6hrbv5bvv7vwIcpWCkjDppbImKjAkoE3NcBHcsJgltTFqMqWLbWGRqiUmShEO
sWBJ5719Gbr4IUc03rJaq3rFbqc+xOGW49ZdCIHF5nhj8pruaoFXqWliZ/h12ZogIuJdM5yMMELe
jHwnbO+iS9FsY3f1pz7pWll40vVtC1yK8OHLBnDOJ8Y29g5CFTJ8NgyYW+aNUYU1D3xBfbOU0Yji
RAWDY2/yE80ClvgfT6M6ONn3yjaocEVEyY/ARVYKJ0xEOIzE6vf0d883TGE/QSnOOAbnUaSScSHF
MwIgvm2fa2r/DQSDTuXpchb4qKeiWqMHw5hMfm6BUnYjBZFRvMrs9zWJLFBGvtN1vHRZYzTHrlGF
l4yeEEX8uYKY6KABxGovo8EKa3M0n+Rma/FTvq4Ro/4I9mRYCxWX1FeGBhhp3fimFZL3Bx8MwCbp
V8Y2asg+Sv5lhSSW8GXspTniYUAEaQUwJgdE7ODyR5KANaSAP9IjL+PardpSw3hJwGav/95LtLzn
ffl04ukOVCSXzbotJBt8Ypqy8SPg1ZSxKHqWo+OAf/TrRGRLq2Who0AzkGFRAjeUs/7/6oocqbji
9gJJaOltIArSEcFOsbVp5wiGBQ3xO3lOwDTRsmA8cPMkadnMARkSiW/xN2H9zU325R4sZfgztDNR
zPpq0XLoqutsnb3KRPKUq5FoyIfjnhDo9ifdoKRkVThE6MnCG0XVOvuBPOYJAgBpy7NpQrrkbDMm
qmnwcl95GXPnAK5H3gKcW9q40dQdLcIBWtXNaKaJtQ7vdqi7tAdGEWewQE+sqnJwVc/c1koTsgKe
6psXNe3rpRMeub8GlbFiO0ZOOHjRkTcnFf6ppbzgNMb9tEy+0tFjkSjWdezV4E0maPRyo6v40/7X
dLpZhR9pmc2o/1ZWhf0cKFmw2EzOlKQzR9hD3uMFJ99WWwgLQTWsNAdRKVmgwc7Jpb6HmWzy7Mww
4krG/cTlot7d5yz/Hzen47wPt3VxMfA/fBRo8DOwcwYAWaDvQRRY9ep8Pc9VkQL88L/G+kcMNJcP
vQdS8umpO8bI4rRWKEIzj+JLcJTY270Br1iFOrO3G44AuXnwYnBDeX9lk0cEOiN5DQQ/P9mrK7ig
/n71GwG0nius05M6gc8HWeANx/vHB5hzG4YFPHh8CPPNCv7mqABLYzY8AZtztq6IX/Z7VwnIWbMG
AvmgQpysIDUyOetOgO2IBxPq7LTnkaSF7MhGqjDo3k/eJuFtklGNXTFZltTITUGovWpaMIRMegKv
hme9IAFzrGYbCEJNez/wZBHu640l/eOQzkdDfFknN3GWQMepk1rtnkwrE8cP0N0psMIKahEKbZVa
/FMBIsahrz7F5UyuPu48z9hjsVXQuajS9t92WI3XoBfcPmSggNA1Ril5B4+6fN477gK5KFd8OBOk
5sEo5FceP4A2PlJ5GpmOFU0CK6/4FU3ZWiuWkZd83aBcatJGcALlsjhe8D/93ZX4jMqUHg+dQg/a
CULlsf1lCX2KORAIGWEQyp/5h3Gzk3kCS0+jCmXRCbvgTc38QHyjFBwumj5y9o0vj+xJNB4j2lCn
8SX8tp6HJnUNkvyXv1l7r2yb3wHyrhGDkoJq6ttPBuNVFlTFkbLPPOlC+NXvj+d7udv/dz+2U8tQ
UIzwHGodZv60QneSfMlX+GCKjuZt8dyKWLGwzpyL1y0giY3jXoG84BNLALryjSJ5zLYm3jsRSOpZ
LF1UCXVEE2V9B3mcEK4P3o1xF95WOJzD6TxkeHLssskFuR12+b17Gt3/8wlSY+FZ7SRFICXYnYHK
u3BnZYA3kzGvvrnomxO+G/YLBDDRLK4AgMYi6pNXOkaBljoK6epsOf2708AYV0MWDBLe03TehKWb
OKYkopFexxCLYpdE2U+hrLbW+Xvdo++ZBofZQxbYOmaz3yaIuBMXJYHG3qIPlgYAxlCT/ipxLnlU
luHHWGcaZN8woCE2Cpc7Oa3iDt6eDz6P102qO05n2a7WBvldHPiDIwDy8jZwskZlTr/XzLgSMzzf
R0NaNqLY5nnNOa7mHuMPxsnQXIw3pzgkn1T96xzBtTkBFUQEQPvpBK+blNVfYZ0lTGHRHbbhQXhe
DmyjCVsxHNllPJpDCaRx/gTaV03y1vtA/OjxMQW+JAsy5UVG2OxlQgr9SKHkFTzLFnCkBgzedaCk
+3cN6FVEuBhVTbTa5Rv5HxoJUiurB9b6YVqb1hKhh7POEKUL57ylNiByNirXE5DqB7qpp6SSinn6
TbNw7wGiw9qOrcNx9VRvecOw+bO/GWWzSdaT6jZPDqCH5iwEHDV8nl3DTiXynkDynXyTCSfIIbpm
kNwM6qXMi8flVA0IMmBarSMM0yKjJuykK01o/7BObkN4Q0A77lRmhWrBc7ptbO+2l5jGVu/qAvrt
Noe7wF5py7r91dZD2/DQ3pAXnRVs9e1+a2Xdy5hiNIuAgaWrrY97a4Vjcjk6Vh163eTFHWXOsI9y
s62hsmE8CH4s42JlYJRERqyHbkIxv67k2W5d3KZcsFPkO52WSkKHL/rG5iR03I2zmaXFZwHLvyQl
45tU0w+kPRjG/G0zB3zvs4sDYm5y5gviP5w/XQzyl+Dp2lqF8OoEoKRqGHa8JSFVxx2uQ6N5wJyj
1kLLKz1jRQAIEOdyUHSvnjMuioUDp/bgTPNs0D3Wxonq52r+clgMhFHffx7D6Aph/elUcj3mGy82
73fFgTkfWQb0LiGxo+HqR9XckvUt1lfZPVasxL9JvfYYdW0u2yvBufdYxqh6hORngbBkNczw6ESN
bXYS8IAGdjn7yLYGs7JfL9+Y/l3ZYnB95DSUUkeEYfcK1pZ8TgtZN97YwR+40WoZ6tSNhHuQUp4g
ZDzwoebpXymVaqyH2p5hIO5iHUENwHGlOufJJ9gCDoF9WTGPbIpPMmAStVrx6SovDR0lSeKuqlt6
Y4mY6aXhd5iOYSj25etTu7gDTwP7ur4T6Q4Io/Aj+jp8WHt5Q3QU1e83aYk0M5mp4vFi5xbSAify
MYjIf1kM8GDO97J9HMw0lvxeGzCiuPx6QhjqBth/JVIJtp+pdhcGHmtX2oIO5H94CSnGVsXc8NSE
Nc4lsleqpkduRbD+hSEfrHspthcPha3VGJnIE4coRDwddsaIIPVbyaQWF+Nndqmdb0xaua7Ur/h1
/V99iQr9O9q8ty39QPNcQhgsG7BfoBK0eHu5cEhaUj42CQmCTeUNW9qoW8hQYJxM4ZbOeq/CC8rJ
rw5NxidsJY0s8eRXmlvSLvNBPDG+wCc/x8glSlUOTiWaRlhWb73DtlSRZmh77vlU+Qvp7wlJF2yw
+2dQM/bII2Q3YpSGs3YujQIEXeqI8ZfcqqIp2UCHgdt3028i4dZrEp4VMUZs8KEQsft47O881/3G
hZEuQrBo0JxvKqG+Y4LnG1SwhLnzm/mZrF/APtIr2yiC/9OXrJdWXdcamKwSopHJc7D15zXwUwxk
zIGVuVHNNymymbEh8OcLLS4X7aBHhHTkQVEPi+zU3l5hhukz++WU8zcOIPmLGSCaIvu86wsFCnh7
GFvzncjAO9pczL/oWEHQAhe5xgM4zW6vxy5A5ObW3HRc8ZUGmNBCYcC22NvzcPJQrjLcb4mBxumL
m9tc95Hl9+eUeUTrp5YUGVMDolKsTkNHM1F1WxpX6XNYlywhD7KxZByYn2sFwDpcXWsBuNW5leDD
odGCt4RDomTUARFg3yNtmUqmVwOvu5Vy2atJK2pwbCdaHMhWdpy3a8gM5aWI2Zp5rRxk9+17evCP
DvlIoEqVqg60c4ODDijClDQhiW31PoiYsMUJTWpDITrFH4S6FhwdgQJ8SnMaY1YCrYT+JJVI6ufY
YX5chOpGJB6Yw6VnUU91Msmvpv0Ahlt4TB9CRavGwJv06YQ0mkFFiXREmFRr9r/80A6cO/B4Sk4P
yS3k/mOyAjOAjEVOz96uI11RrriqRuiB0KqM5nkcrb+Mus+qXI3YaH+4N4y1swzxWivLHAx/th1u
hsoii8QMBC4L/Sed0is9YVP2/lVoFLdA0CXgPi2o09p6B65KCNdFdTLw8/2fxDreuJP/ZXZpofqw
vQ2/8aveYOLdn293t3JHF2z/BH0ro1qguJDj2Tz1N7T5nFa2PUcAzEQ12aj+fpdZMa/fv/FCJ4HD
JIoeywSoE5j+g1Hjw5XqKyZYsvSb7Lk4HlSZyVEC+uLuLbDSWu9/l0BQxnrYlw+gQA5EmziOL7Cr
gUkLHZTX3b8WJNNNMdzdS0BBKk4l3VtmPwY2ezVYJwaC9aIWNPS92m4BZgGsUiV3HDbVKzSuzLBW
QQBbZ1xOYTiuPK8aB6VK229/jYXybmG+wDesYOt4pQDm8gQTOLhItGeAhP5MdGcqwMqpAcL0YwES
HfaP2ooePuBFmrbfL+67a3sYEaB02coQ3ht3o/W3qsRsafZBKOkV2iV1WXy+cjhKU3owfJ9/VWij
jzpcsp2c5Arvn35EjQo3iOdZmjX70qS3pyzni6xMEV52TSPwq8YMJqaXLSmxEcaarKOT/sP63OLu
7sXuLMsCzhJeRag5KLCq6BHJ2Ltp23bAaJLLeEJ9vqXi2kQgMq+mCElysoEFIIleFclJJFuBF0zh
i8YxXAc7a4I+KWyE2RfHmZaZ8qenTseAHcgvwQ6O0d4mB4aFcvB53qFid+0nb7Z5XKdzDQbCM2Dq
0K6w5LSCa+at/uzPe8mVXMoJRZh1fS70rLAXYrTosF+lQILrGXRNO1BJZe+htDwdIKa9AAiO7r0X
S9ughyULmAV2rCs5kOLpfYCBW/EOvMB0lEwKvElClfLMNwyYqIM8mfFh1jABmzYtZg4AHWVsEMF9
DOns9+p/7FuHkv3xsmRvP4R/7e9yev2e6jL3IqJ2aj2AhVZvAjFMGQtFrKCyP7ofTu0xO352CmHW
6OsTxjaVZV9AqeRrXqJ6YKoRE7kxyzc2B37p7ZkoB38IcVKSiWCzeG+uFtbg5j2+GEl3D1W0K+eT
dhFxqfarmm2yMt4bnq5uzJ1WFP8WG0jCGm5h/mx8jZxooDtdM9nUjRqgn8hzJoq2ZzubXnd4betB
vd0y7Jy2RzisLQCZ9i2h67RJicaYsJOE/9doqW+9HRyGrv63AUwJEtGEAVcM486up/VJV0Rcfifb
6RGpGkrplvmAHuiUVSwMibOH2fTyUNtnGFJIuZKFTesPiCtS6yn8jRxUehOq0oG7KiBjq3fiQHWn
0K1QnpQp9qD6JVMvCE37LDeODvWZD1lLQv3nrq5Qyee51h46Tj/vG2P5tBssdTeHydi7zgcgu3vm
PSfR1PcGAH3gpnFOQQwV5YgvQ05UhSrkL/XEpKHZJTNCS9JSqWLQSMSRuUA7RpAVNXyOGM3e0mUE
XFTr+Foh10UgQ+AywwNWN5jRin3bE4o2lmG0zPTPsU49JOJ6zAs2WP0tP0+uetAKnh8KiRMYHzjr
1+D0Kj3rG3cw4KM8GastqNYcibjh0O65rz7WcWLaZaCMiDUA7XXhuHlvaNkDeZ5+ZKmgsMVxP7L0
+UPA+DlWdzj6dmFU/08kzxyyRmvclpfkT7K83PsFhHZUzCnIH/C8+cd6x8NkeVpN/O+vLQLh62hr
/eq8zHmXGge1vN/0T9B74XXpALPjRzyxYQg/1iHMoWlfAR8kGH9vh7W5CG74Xqkr5SBOfukZgp0+
MiBNdpqbVWzQzO8YDHyi086lY1owi3VUSZBThbn7wabCb76TR5QHQ1N0afTTXB6Qj3Fn7PlIjQTP
EEt0Uva1SPw+sV9LRZCguL0zRD59rTJ3rPJrVMgQhE/HiQm1ZwJEtNQESaJjy53SOZePAnFajKe1
td7EP32/q7aYI8xbrbbxn1jnGe8JHJpwIDF3rNc8cKOsoOkcsT6FuHwrvQBs1TXPHbDL7RfMziUN
t3PBOiJgRlSrve7LsEdmiC0uhUqEqHa0AKMzr7t9MwvxqUYmT2kCCEhz9Ozv9Vw1EMIwYH5rYWkm
uTMgr6VQQ2MaT9emxMBN1leftqnSKsIEF6l+o/E6BsTSUoo4HvEBb4x68g4c9a4wtFDq493IJ+XN
13Q5skRsMctICSljvMVM10fcVELtHYJIzUT7woMSwWbth/KvPeUEE+nNs69bw7NDK30+ngTbM95q
w4b+VZZhgMNz/bYbwpWee6Eh4tF7jKJY1lVq6IDusHuxymFK4lDncbDY73Xl7Jxr4sN4FlpfYQ+1
2Tiz6yT2VEbt9z83g6TzOY7DStcVOPDSTp8PGA2o384/1vmTkjIXhfYhPpiAlh5z+m5ZaH6tsiY6
MWLbB5U5DhBJTE1yExeafhM4+Y/y6gZkyeRqTJeFYsTMTqqG93BUm9BxJqhTdXfA8cLIWgUCnfls
zQr6lsNFfvBjxH+e2agq3BPcLBX7Xvv5QoxzymRIBD/ayTAvCbmd8e6sf8PTYXx420nLhhddUPTV
2MA0NVaOLoAyEllbeUA0etaCEfjceKJ7f31eux/lN1WhNFKoXIiDL8FsSzB30ZISq8vG+LBOHFJ+
pS+qtAZHBZw/AIRfoiHyZzFfNFBpzNe1fqaZ2U8x9XrQGYXGqpwwJ08OjJT47WBSVcU+ob3xJ4vy
r5R8mzW5MM1qXMuJ1zA69hZDaqX8O2VMliOwePySN6vveqFOSUv8Eiw8AjWM0beLH3q4NsOD3dhM
ONGyqT5QQ1qqai8/Hm2mJIhagTJpbjRtlrz5auMUwcnUv5WrAwpCzFIyFremyHdJbzxMhPn3vhkV
wuXiraBTQY8YfmcIUyfkzrt5sjr+NftCwSV41Apf9TYxUBHt9RC/f7okVEwSqP/vFqnXm74hnk6a
LiL2I2criUYAFOFfPUtDy9eZXXVPAjqxL31O9ZyYQtLSvt26KJdZzzlRwAqXoobZr0a4hYs/YUal
mmH51MuNQnyvsReoepcOYrufM12rsxAuRt0TWoizPD/o6z7MMaVx1WxmsyR97OxewkjGxCfE5Od9
Dq8ReWrRS66nJodTxvSeBFlCPVTL20QIRFHnGiqf/yUopJPy6xVJ8hF/SE6+B47jN1q/TWB4Ferp
Uj8OyxCNg6NJYwiMD/GWpfGNdUWNVx0NKtvEFl+Y4gssaQn2kEN9Icpar5RJ+F7yrAXaIRu2Xmoj
XH8yT7qIOK29tAHp5SyBzhq2Dkc6WmCEp2kTTMnV7hTAEPQrf8QhZKcoIlFqhkqAB01EA2xfopyt
oQn+e6NDe14S6aII38uCRShcQNVjMoivVQfABtAv9gk3cVIUZeM6MbXj/h0IJ2HnOQNcBGU1J1At
4wPbdmeocDihPIiQQa1NoFOgRDZUZKYwinSr+2AHKe/N3+3jTrF/n4dxeV/8ksoGC4WBERV05ywv
8m6UhTnfMuq2FneEgl9GqK05yDZvoNEQoQMdsKY3wZcd6BaZTtHahTmISHc/SP1CTYl836ddEYhK
f2d17HqXW0irDCjj6hTIS1CtMjKiCKkgNhKK2tuFxzJew/rnAra7EE885jQnxFQU5qz3Q9ocuLIg
zZFbocveOjy/dfgysLBAlQ0O3iQv0NO+i+F2pLtKtS6oy68/VqjIkFu78Q7/XHjBo5Ho+0LO+6hl
EZOlSuWa76epXbNqyCiMCyHFvhpZpUDaUd2P40pAsAdryd9xLP7EcdJ0dQvXprdHcdQPWkw8PkJX
d4VD6pIRbvgPtrxZt//ijwF4F1iYJ8wSXqwHkb7cW2ks/G3N/IWXoUwKTVOHTI44XbSrnEI+Mvoh
EFw49TlezOFGI/mQIxpF4Ju7D9OBEyZYKMuO7FdsTrGsKRBv5kRQgysC6zl9ClTXAqamKSScAgPm
3X5I0DbilJ/V1EfuSqozesgTmgWoBuVeYoUAtLHxjPM7siOZExsf927Vp79E+O4iCLzXV+CI0J4I
7TU9Ir2dBLEprmAP7bKJafoD00yrS7lrNfcRnkeOw/pDyzrgEH2nMcikZWmiAzQqC1EzbDWcqPsA
XqLtzLy9xnyYdToScMKiZpWls08lY7Y3Wjmy7FcLM/eHzTeVQgfXEP4bcul9qVplcIOQqbReV6d9
qYYR9HHwNSiPnkFWhR+6AwKx9tcbaCh7j20il/fJEwI2jMk5yAI/sT73Q9x8MaSzcPN/ovRLMRY7
cX/EmUoTyGs97A+xLW2ytkW2TbSYfu/nD0wllDGEv9JHFzsyvCP8jFnLCzMGOxBuG9o3XQPzDe0g
2ihPeYLW7wDPMYqSUA2KqULKizPuTpuEhfOefTowoGss5MOj2FNDgJfIceZVOiOQrKv7oy/5ra1m
hfmeMb5clN5Ep/JVhxj1jaG1miBzOZaTsErrOGq2Gq01TkxfprP6CPzZS/9u9Lxirqjxcz4run3W
eX3y2YNt0M+a/dcU996pTHLQBsSBz44Pgxl7uKBp2/pVUcewPYOAtSNwuQUn8RquhFyZPghB0y9v
pj1VykBZ346hmmWeHkDKhIT/DlnXeGKSTPtHwTpkWA6eAWzltIpF+8znRGNGlzu8u+vGjgWYRYzG
iZFlKftQhjFhwq2KzynXVMk4x7S43xoh8KTQOWhIOYXuKGMgYcRXQYaY5x3J7mdjQg8p2t2vMb4g
2V5V0Wq67DMmhdoWasgU9/R3n+7bUD1eUai0E4viHBtj1c44pQ4JIgRS9o9JcF9gUr60++sQ8Alq
xr8QFKsBadClYkizD76wMNVpsTt2LkP3adW9P2Qztb96GGiLTYtjPW4x5XQp7gJkGU9odz+z+Op0
a/vWauPXnnf1zMG51jfW6DQgO/ZdKb8sq4e15r9o8/w3WEI7u+tEaxICb6Dsdi/4ytqTfsDSfLJZ
LK2fRd0DVIV9goRGAOBOJfCyPbKh2h8UIfdNTw9mH8vqzOoznNO5CUkUoWPpM6PNcaVgnHwgEoRu
6nPNE3KLYb8KDmDhq3zPP5feGA8NT5KIfFwg4FCko9Vz6wBbO8zIwO8p/ZB48RlV7MCSThd6jqca
MxmN15eqDactn+9baLC4qfyES/QlPUoWuQGzY2Ye+rMi0dL+1Lr1b5M6CPmGwZdXDCJlVNXCal3o
c9pVvOAkOZ1f5/ZE1qSCJcbVPobzb5FasXp6NlydAgBVc1xUfwDMOxV9faiuJK62oLWVzu16lmMW
z6bI8ve7zpoRSfOGFzUqUx6DhPNMs5IMkwaqV+KdPridpSh7BaN/cg9Ff3pHdfriPe9B4hn8di5z
2CGUkA/AeNgwg+RB4NgDAh5dQTdvNR3szAP6eTWO93GSCaGgzQuE62VQKh4BRMMOe4d9MlocUc/s
50e0rahrcRCqOk7D+qDOuPfOOcHq0HVH83UjR0IIhg1HGqzRNn4Lj7Hj0R4P48x7wnuKFG/HONqT
cEaLMJmhsIWQkHD5qeOX+aAx842e83lcLVa2V8b5rf9z6YfmMQOoK+jTrRZdHqDVMOKbW9zdSDh6
q1TjIoIwUbT+wJqMWWmVqDsSVTKxBRyLNb0LDsKdtxRkMrzuTpzP8bNKK6oiHB/xE44Ew0IeibpN
lA+OR5j7mI2D+vFE+m6cJ5Pagy7w0gt1ss+VKqWzrcFiJKaTfeF6r0UCiaBPjX3xmtZgw0SVVwZU
jJxFIw3baTSp8vVKL5jLoiTBjYIT1e/Dc1Lr3A8y94JgsBLp2Ms9WrEu0UuOxcQYD23NnMETmq+N
BcE8knCq1XIZR514FtCNd8XF3PWgB5gfvMqDcB9svGECM96Ls+FW/vXdOIxSu0uYl0KDRRnc1kZi
GSbPfU1i7iCs3gIvqhlIq7n4u18XoauEC8X3Kp0LcbtBPrpx1FFQD7LCGcu+IkhDYukoAuyjymxV
1PE91GpF2pd8E6zK6pfvVZWGuzw4GnQbPA8h7BTrAXRdCQZjILd68KYD3PR3p82hGtbU3dkimUFc
SRZ0Vlf3b6dKwsvof5wEH3vyq2RDvoUrBgfxnZxNROmHEza2rPQDCXrpMF6QPn2A1c3sX2ZjX7oq
BVY5v5vTnNIgnke0VTcR+xhtSjMId5FVw0ymZgYEpImKFsOJGVa81qStc3uqlMfDIBKhYn04DuLb
MpOf0uvGYQgn99/eb1/x8k8A2Pb/3uGKk9kXw84W35FYAgah0awPj+zWTlMBVn92ONukQI0bBxXk
UjpHzX+RjPGYSXv1Am3DivHGDvmMeZfvA1irZ3Y47dqzG3y+q8QRxfT2vlPyoQI/Pdus0o6HqG7u
jhXN6AiNEQ8qWaYUf7OsA3raVYRIvPd9F9jOb7y3Q7T8rRb/3Fevm7NQskiCRiEBzPMUtIZF0NWj
svQdVHWG4eWLDuOrGhTo6o/20I7XO6b+K3PHft6aFopIl1vv1dsyMyAaq4AT/FEL1m3k4VThay+2
GqOJ2noZXIFXtkEOZA4P7x7ukA2juY0mOELL0yeRrnlrI5x+w2pVPPwBjtcSyp98AQOoMjNhGlCQ
DoT5JG1bzjYXhLMyQdwyzbjuzLmn4duDYwzsqBM9wN0xUi916cfrgptNhrmTyM08X6UWhefFpc1I
hR/Nvbk9O/5URH14Ew1uEJKqoQb1JjJaAA/OCN8M1gkQcTRC9goCX6lG3ezkv4/PASNGSRQVt8xR
3jtu98bi6QPDp2uBKT47epIxiqZ1c2BWNaq6ROu/slpEr4t+XAaC3oiVlrCxAu6Im4UaA4ucPeHn
Wq+4XmTzSEa2c4hupAn+I+HdqHWgae8MOV/jTfzTm85TTSndOaRkLHFacDqo5vxV/ynQ/wDScZ5d
mUGT5zzTYFPrRuRf1DQcB1bC5ofVccBn1+KnI2m2o3UODzqhxUz6VgA2vk1v7ECa+UKRcl0QDU3+
stI12/qYWqJoSfcynXt6v2DjKX1wkgjz+bEs0e1nZpVWiSXsVLoA9xsRbDGAIm7wX60LQJDkMFZ3
weIsN1EQvaIFK8/Rl94iB+WRIFdiC5LENiBMZ4HYGOyPF6KBIU/SGoJKS4jdfOi6pDhrZy/rPgNt
yiQUwmA+NLnE7rtJQhmtVHjSfolu37DIgU36rTA5tOVxnrchTuq8NiMjeDIDqXp4/O8gQXsdYrSt
RFcx23qAN6hafgGAXTVg5BFQ4b4n2aBWiQW+LSsPL7FSMeaz8XzJLmjuREkFStnduu9wKPpw8fIb
NjK7yOWCEeb1/eA6Y6tqM1m8VRvsJBmFJhlX7JVI/Ph6AecxwN98WmzA2tPZpM+fVHEs8vGAr2pI
03s9LJk916kpyjBjW+LJdxWhEAnHiGRvSYodWeoYqQ9hgQt3pLf4r6qE82AW7UTiCLvsZQUIDk5m
54U6QC3VqDreWZlRAlgVlHPBZ0bNCoZp1cjWgr0qcMStYKqCAx1rG+LJzsFRp5Z7fGCEEanMuXRy
1p+VSil3bfo9BQvi3TeE/BDoCgycLo9j59cCFYIH9AKKJivHi37WvCjbaLL0lURnPVYD2HxOy0t3
hE2cegyy+SWyYH8kcF4FTKsGbGU3AfUKeyRWOSlyMHQrKVWiixb0ngZqQgdhOac2vlhiWkpZWtMJ
ZM/E8zurIAev3BNWDV4xobA8B2LPWP2gDo1Itv//BvE28YRrh8NuuQkn/FHgMwVZLDJJL8CViZlX
D9i94f5YaPj/5eQYDSEjlX8SNr2eYbfBui2RHTkXpGPfX9CGCzj4PmiuQaWpV9LYu6iMOEGQNEr/
SthCRZAIF7aHF+iQJKJnR3fs36PcOy8RgTu27/8JjNfr4ZNEFI32kjYvQ2y8YS7+3gAutrHHzrY1
Sqg3nM1nh/7L8UNhwQUVLNAgo3Y5Su6ir1gnf+2yEGOHjXskE4YceU6tbZ+1glUPNYKN9sopS7D9
QAngvSzn66cYNLX9FRBY8Nt3wsXMkL0cx/HVLsFFU12SCgXPl5uFmLEpB6PYHiaEhzrq3JdOXp8+
ggzC16zCuiSPYfWDtV1PzDUCdmHS+feY2SP7OUC0JNeySPQV/D+dlWxYKxuQJ6uToKLb/KsdmMnH
1EqqXQOpgCSam68WbFegq/xLNOaHS8/XcUXNh3zJSO9UPmXiM2hIeJgtjsVCz+FGD1eoNTIJ4VCT
5rcSgAS1FKoXTn7C2EG2p0bvr7sM+ptolgvumMNHu5DTeNy7xV1/5MDAQBX4RwsDsxok9QCY66lo
XyPL/G7UAnf/4Zu6pOyjGalIMNggiinxL/i97ochUAPhtZFG9hjagBRFHgpKIfDAd8RIpqtatcI6
xOX4HHoaiO9sY+lJ4pkqz9MUuHn4gHgklrvzi6XRx5C8PFZWBMUWTCSmDDfx0zoRNO7nTh+PUaeh
ck2rF5QPT7DFV1d8nPd1GcgEcBpsjTCeb23JZ1zZxmABWKyxXcluCc5oYVrdBotsLOsMMp/7Ifuf
g4L3EN8dGcSLD3M/i1B0sLI65i1vAj6asIwQrMDC0v1G0Bo+vd9JnqmLpWjO7qah6ZAQUNZH+P8F
lcGtjFyPXMXcHgD6f5RkhW2AHqQGkHae1NEYQJyS7B51PIUYPKPpHFVgygiPlar3fDVyAzR7fcZ6
1kRsCmuyZoNni9VUlhilZKmdZ/saZiqIrOym5+SNlupcdeN8HZolQODHxrRl53QpgBWo0brB/BzO
Rr62xC7KjMKg21Qfj8QJRcR4sfj9x4BFXu05sIyW6NjpV9bY9t64T2aRwIzWaznNeCjkWBmh0qLy
idPHxjphVX8ZPe+VK1WxVnNBxgetIwH36lh/E1gpGGTPyuaT7WlyOW+JZbI751hh/fy2rordsOh7
8IezUF7lzaEHDAh4HAtNCQ8QEWU9Qac6zc4lZ/cjh6qGz7qa/3O7FSsKbz6w/+BQarhY+gYsy/DK
HLiGw3/WnBUmwpXvyI1ELLmDuaoegLv/17ZktDDv/aCFpAm0WdNgF2PVLHcO5DVO6wnUcGNBB5B6
2haADHiyG5w16oPePUKrzBa7zQKMI3oJifsezreNO6blTZDa1rk+NZ6LGAFOQ/KxDoS2K4DD95eK
WX+wVQl5pIXuNcFKvCYyEsudThCenYNLdq9i8mWlgFhSuYA/Bhw3Y21UgJu9UthKdKIfxPDwoKOA
oxKgHMou5zGQSZszuy/D7awBYVtWHLIYqYyYmFEz9OZOCOSlOz/4WNYZA0AKHOEzRgc+Ea7XNRgZ
BSsKlA80T6H6kqUZ90L6AUXt//8T4QGNYnEm5dAyK4/u2DSXzVH8Ngcfb+GOsrubMYGu0NsU8UMT
FCBFLYxExGyWcu73CL2eVHQGi5qZkO0Z/0nQd4lCebGoQOPe/ApPvVHob6d3Iszy2tU/M1xwO/Jv
JDonUIAuIovExV/DUJ4qZtUmyVLPk1mGI2EkjXzA1Dtfsb/zb3YkBJsDlMudSTnLN3IRbMVf5XTR
ecVJzlAcyzq9qc772L7IjXD9QbPB072dAT7ABfMxwh7entK2OFb70JcDtzJYzKKV872K/FCRCbeH
6Ho+uOI3RvUB7YXW40P2JX6FxbHGqXrYSaAuQN4E9Z2HbcgRScIarKMiTL02swXXIYKV/7WhnOt5
oRk+KZ8TF9R1qOp6poHo0TOomU/ccmBKk/8OtvE7MTsRYcfYGPjEy8edBsjmOlS9d1LF4TEPc+CH
LOed4z6v5nhNgghejAyTPYP5KxV42ot5BerF/JUxMEq9BPw5/2KlLa7RYnP3D4StOIqbIdXjdj3M
2cPviAd42Ed0okistiWzS/Ou8pMi3MTARVOmErD212377ocVS0o1lKfLRJkSvZu4AEUjvsuo6EWP
zWmNm4rdeNo9HBBUQq6tHRZXXlMx/QIoRXoDA3UliG25x9RC1XA+DDnwhl7jtrYntTFD4Ro013ZZ
mpF/jJRweU+O/xD7SwHarezqqIKDUUPNPpJlrEkltE/lNuJlkjA2ps1IHe/qxI5b/wKoD9YMLIwA
TqZsKv610GWiUrTou1UHIRtr+gqDfCODeum6KxcevbdDJ03neu8GdQRx3jfW2QGSPeV9m/Ttc7Jn
lTbGo9xJYKFbHnBu0uYwsKOKOMWc67MO7iVbbKAgnph9VB3KWO6ChI0hpMIAd5gKZxovzhkVXkAk
8xpfFyoUHOt51v7DM2Fw+C2uCser7gjNNJeSc3XnasJqvBTensl7t7z88/q+Kv2N4dXiPMuuuVqR
7X4sbDn2NrTyMND3fLPWfxlOcR+znE0yWFXY5HD44daqhZF9GFG249MdWqtKd5bQWEHSss93YPi3
18FiDVIWtB28XSYvsleSYpdSTMC8oQUsl0TlVXNEiAUqPTpRuld2Mmzk2xKGRdWVFGuSn8rSMR5Q
Oaf5BstcTjEZ5ePMtfCRUncFrgiQc6H6c9mJfFpsitHpiBcj2ZI+eVkCN4/erXggNmOgE36/zaw9
dNJjuwXY1/zfftTqLL6oNYYNqWJ0NtUjwEm+CrrV6BTW8gk9asKGnHxUPrR//hn5NqqacqRECTZD
0SqpOKkI++50ZetqWsLDfxXTOFJPiySzx6CNhZtWQbfjp7D/UBgq9jWiwbiC+mIMN3u6rSe1iyUh
SBoTf/lY+pCjpcUL82W2C6yqwHoIQIl+T4TozlUEtmh4yWuZbF+5ZgNWsgn6CqLTU9KLjgbHczMj
BbkRs1v9w06f3z899xGjhthp20nuCRVTUDo0seO4pKaqUR6032xI9Icff4DlUUnwH8a/7zt+6J4P
vPCldkWudfna9L7MpsaYso8EV0iKAaMABeWQMCAT4iXnNFC8Lp+K7ofOFUYzkRNQYdUKMoTNLlKB
++2b+iEKsddbumuCgua5Gol+6SMs1DbslIeTM8VYshH8BN/mpCF5V7wKjV4eQdlpJqGy7GC5Ok1w
ct/rPzRQ/O86pve4ob5gQ9ZLLf/x/Jo5RioLbocCYnSuS8LYTJ6aOPxftEgM1Rxsx5utVZtGrnhF
UmlCnHN4fm1zWvTRzOMFz9dw0MaI5mC1vdwMTgslKR2vMi7fLfeI1rg/VlsrrG1RfoXQM28LldF3
IXyKMKZN+F1hrkuZxStaJCoJC2ZIEtNQhU544GQ40qdhfFmZWtVnt8KylQjnYskBJ99eAy0UD2Sc
79HhIXmg9mMJAYjrDT03LhNLKcQL/TOYFsmnGOsAUGEjebSS6U2KXJ36iDfy45HcEVf5gMc/vGgW
Zehue8EN95hk7ttkgrrTmabx4ydWmI97FEgdVecqaZaF0B0ENYpCRGVSAtyLNLTdRcI8AiXpOloW
CZTUcHVsw/T1LNUGBjomkzyDomgMZv/sjC7ydkNW11zMU0ZfZe7MJC4tXjI6DHwxAm5odmjp+bV0
Jss0js+9xRgPaVdtmR+ej8vkjJR/nAiyqkCh7SU4lvE01+nVIVTbTIJ2pqYJZ19U4YphecNmDLC6
ZXPeW/mxkaHWzfoU7Y23wczTsmKE9QQ5ztZyrEOP69ugUNJkRrM4aEQHgcmMZBiaypJONmutEu7f
GujisbYubkVal7OZxe5A8wnxvaH14ZbR3RhP1rbk1zO618u4B6MkZTU30BeBxzDiKGGs5U9O9QDo
M6UNsIrDQtjjhTibrMr+ls6Z+u/5Z/4txLOfSIWbL8X68G/Y4qMubAUgwM9V9RkABgDv6qiuF3uF
lTAGDKdfZqKZSvkcDDuSY9FgeV1Gd3EMc+3E+XLKf88x5cpQX4avAQKF8siWa1+F24KuxK2ebPW+
3z4pY64bsquoTNquDXave0RRDLrgUpw9xs/NiP7xt4kuGLekbf1xRzRqDiAK/v1HwCLgwXEqrk7w
XHXKZOemKtQ5Pgh9uvtLKGEepTPwjv0LI0pwkh4CfaoVgT9WoXwSImLLAp+U+AB7nmMstxCzQrNP
ZVQ9yTVFWxSDRS0TkeHkig9faswturTShGSiRnIf1chgm+7OrWlgrODFma7tzjcdWZ1Ee5mHqokX
NHMZxCg2JjVQooTp6Qx+3e0/yiWt+qlJsUl6r2JUnQdq6lXQR6D4ZRGScs+iwoqwXm5CvTf4btMZ
1P6oos+Y3egrPgjGonZ6IbQeNE5R6vdLCM4g1WO06dlv1nqgCbzJr2prUDyzpGuA1dT9J8x5udwW
W1pgENV5DgFIhbAuaSldRix6dXnspXGTPzAz4BvfwDU2TAA+WSnxu3b/md6Bep68glVT1im9Ph3T
cdvHRcof3leBsDi7OYACwYIA79vCz4KMHQ1Wm4vHJc+mo5F61dYPBtQrDKNUSDa0+sJ9tUPUKOUa
kpBzf7HAiSSMTMdj/23QIZ5zfnWJuAZ3mU9QEhCik1MpsID3eRFtZIWXrWCRsyrsUOOmCtpmITOz
Ip28tmgaic2BkfgQtZNaUS4KtNd1bNalb0TrNbJD8ABL93XtTKl4qM9GMoAHSWSVPtXXNwiKsdec
hpvsDdIi8KuypPlxOjl6Qyt/SPYZOajGz/CIPe3IatrIy1p+yj1oKZvVXzfVeUh808CLk4EoTCNo
r04ZQNWQBKRXtYzB8UoJXbv1nSS/Td3Cev0ohQQiyRUA+1C/KZhWsuQF3hrq3U5RKlsPUKpeMSyj
rQWMoVhTClTHgudqu+YJJsix1bEit5ytFAR4lkZ7QcEHUFFBCoPPUKknMAdoKZ2A6VvJliLnJY61
NYcMPyuwfjtM2ArRJtxe9pSMY6uSDjZXrUXh78xbTctlXHzTg4nhv4FHIAGg1zhwhNJRiNPsketm
Mg2iuj+7L77gkgQJclcHA41kJXk5qoW/aeRvf81IB2gb/64XpCjrzOCCKLxY4BFKTqszYcXRiVfU
SQaLOF0Jw5UPct/20XeW9AS5PpSwF50Yyr9GtfTFq8TM/FcbWwfw56J0Qilah0DHsJpOm5cEfcMY
R/k9oz5fxjVbBX3q2petNnUdkA11AEVcluP6KIQlAvmGaZPCafAYvL8+7Q855SIpdr8wXjdi2oCW
r5U1JCQNCL7Dt/4K8GNtYrnWRrA35Wc3v7P+WkBCqAvVSCao/6qKqF8oG7bq6VMYh8Kpj6NjodZo
Y8CWxam8BURdKk4ep25wwroFeiUq3U0PzN3oBQyImVmr1EOHViWSXhkGTNnqsQ29z2KdQEM5qLwO
zSsGlQg2AyBAyUsWAoVZvyZgPco6Kwh5vms/xM/kqf760sJWPxQlTiqXBqw6ZpZduSm4FqkuXbAw
4+X/L8JmbyGCSl1w1i6LS34JYGgWtC56NF/frf7QFWlwixvqxSCgkxgi1Wtv8RggTGiGpZIPp7UX
dWZmHFtBMsli7azy/+V8oWU7qSwrodFdzyxr5fzAthIf6zZpk7ZTGZqN1jCh+YKmc40+JNUdnKcQ
UQ4cLYcYccC6GK7RAwlbjQpsJg3NMGBk+kB5bIyA/hUfiJC2I/pIcxKF5aIeiLLmPocmzpMDldSv
K2DSpjQkPlP+OMxXzlQ5pcTS9DF0cpMFt839PCTAIskzfpqK19J5R7jChK/0kpg2uo7Zjd+ssi2p
0UZpt2IgZJOu9hWwPBGhCTgllkN6xEU9gc3vjahOlatoWiIf72hoJ9Gjq3elkDSYA32IsCzLaAPk
jkKpvGeJ7YYiQOQojFrMxcOPsCUS32EwNWNIfuytOezZXrWoQWnC/0t6ddOOp/eEQo3iN16k06Em
LUD22lq0Z80AmvlBvQkUOSiKBygUJ5txxLk/CoBMv+pMNNHGnC86AA+nax+OReL/SDbtmQX0VojR
vAuXf7ttj8GMZIp3EIAb6WvDoiyclegvgfo7yLCCWZe97TXBO759UD8XmmA2BnV1ekIVR0AJA0R2
ck4fTCJgdgT9xStxtxHkS5n8I8GJwSjIWZ0/qu3K8uZ5zYFgwphMqKnsfT2vzXsEF+CprwmQ1JF0
vro0WjA5SvoTPaqzgeGx1NEUx/e9mBxm7XWGoMWEVWVqznvnT/GesnMyFXvFA6adCt9jAICsUAfL
9Su0lQhqDylEfrax+phiOo3FQNYGVhow94nqAljq8bMhnyELYVOlxtkOmnl+QAknHFYgM4x9XAvw
Ypph3C9cEeEYSY3t4DLq+qUSMCltS5M90jESaHGMgC/6d8baDsZwuoJkXLFSA9/77vCz8t/lnX1+
etjbwjgkYinNsqmMC56kWcQjvqYlqb3FYPN9WzNiLDkBRa8d5FCV3jEv1c1B2DSO2Q6iW9Eo/lqt
ArMr0uCT+b0z1CPt+UTNktBc3DS/QowvxWdSV+lrBE09Zo+aiKQIE6I942tm6+QVrO1vIhHoAzvp
k1ZbOJDn4htRZu7ShFUHx1lJZMA1+c29XCvo07mGYRH23COaDFN/ZfQVWVAJlvXMrnr/aTMhiksA
YkBWv7P+m3cvvKG07/7KMgQ4pppF6YrHSb4VfIYAF6cDptRPQ1C86QzKOTAwYs5SCQk7vXbUPVLa
ay2MDjyNCMy9UqRDchgadeJ+wT1UcSGqri6rrfb2ZpVljcqpuOugT050XvAUbSeHZzcxo/dzWmm4
5Pu9/CyRER+zGoJZIRUOOZXDtvlOl4Lyvo+gyFmE7vOvGbeWWbYkNCLEg19JLP8T2RZ71HHLX6SY
v/wu+JA4aJtwAMm7eqfT514DJd9df93noEGz4QbVOoOu6Etlu0FDV3heYnz3wCba6cmVWEyhte4l
6RhHtwJdRBHNo4qblW+shCJKt2h4WAP5pjToC9ILLX+3a5epw3QYMstICjBJ4BTdkNuBJXZ00P3r
ca8l+Ku9RNnqkwrP0NZK1WftyTjaxj+zi510l7a2Dqw408FKqBggi7/wx/ESlheFQ3qbLjmFqz0t
h+KPIKrqa2R2A6WitVrW8TveqKAPpysVoivt0EchtTmVdck4FPPKtQ9y6vfAkviEl6OBOViNlY7A
P+/ZyjpUgWGp8935Y5TUDqXM0fPJYV+Mh0QEHAYjiLu7ba5c3uCXGCQKLued5Yn9Z5JrDw72fDjc
MmffQWjwxRKOmw5E1ktRbfsFCkF0dVwMWTbJQn8GwTOK1IE50L5cdSyHaoFqpBVocZutK59c0fJw
y0OF21ycS0BEOcudukaNjB6701TOH1d5FjcPTOgAxEurOJex+B4QBEP9VKgKRszawO+1x9EOUWJm
iN9EavyujgBCMMlsQxsq0z7TVElVaEBbJe3JCZMNZmrL8wzX7VVp5N3ji5Jf/niBrC6Z2MAljAwx
UyGBM8l/ABJqWrOrinanPaCom5qKMREORHQf+3FzQwnZe/ReTFMwsLa7sZC2soBCzToqekpX5u+5
edi26aGee1r+Yt9rsxr+yI/dWk21I4dE7bw0ALSkUGscWDTlFj3Gp9VmSizJ8Qt6Y9mTitzb9meT
jw/bRdowdsRW5RbcxilKWOBosfZye77Q8SN8fxkRCnxYXmd84aFM5346p7Jwioj6vk/1RhyUDWoB
Zgh7GDVODOY09jCGc0u2lpR4s4O4sS/KprwUVa9B1sAhm7QuTXavPWlHmfX3Gxc3lljyBwl5Hm27
Z8I12xHNlmOijHIQ3ye48DZkxLbsusha/1yTaeXm+zQCreT6oyKGQvXYIGyt49I2D9RKei1AfUGO
M/EOfyO+X5n6yoANzw0n51UhpbM+GE6wyug8l/cbE+F1Vr1UMeYD4MyU+KniFPuEJiY5FDHXzs/6
3xEXa1xLWU3YB9jIzQW6f5vlKo914ZzSjYbdZNEaAZkXwm95n3+q7/93piNYOsoK2QomLMaKwgmO
B3b42RGSaNkZrIL6iioyMrd1S4OWcpX7D3aj1UMgcyN2W1Ul8j41Cr+ggOeTHBc3gBronTmBBi1/
MeQ6yGie4Kc+Oj8iiRF8eECc42jhctxnbJgCf9GGS26LIuPWo5MwbCffB+e3wiydvtotjViKifvu
/ePW+dJ7CU2puqF17OVeJQe9C857DJ9doYo8U9fXdfvb5uIehgoeqXUWiXXV8Bbk8TKBMUUX2Epj
biMw3y9vvq2F2IMxjzm0DWTl6LurdHmij3vDpDJog9yCLZ5AzXr7EvApq39X0ByNAOv31q2PdMTO
3xcg04u3NNkIY9C0YWm/6ZxBDkCqgGWvzDQ5yMJWZJyM2lGURTVEYjgo/ONQvKyTrv0eosRKhPTe
8QoSnnFnylrqpWcvVI6oibIQhRZXl/uCWqRGlOUokwX9xlu38kl4ECwSeuArJ4oy6qqqhnsBwbWl
kHNfomk3dCOiMUMReoGp/MTlxg4u4EHyGh35hbRwv6AwYABYpq4xIqIR55lkWlHqqCC6ICTvsRL8
JREHcOuQgJV/AwnEfXacb0yji62+Fqr/gPn/yt86aCnasi+VndXEv6FRVAJCBi7K2kKuWQ5v8VSG
aYokduknnGJh9EmoampzkTI+Z1nSSEtVVFu4HsyeDmb396AYGm4zRQQk5WadhJIdYmyydVWCzuHb
HfE+3/RgRkOh/GG+7k/uuVrjP6vFdTUp4+lcowsmZ8xehvsaO5cQs51Mp2201RNYfU6CVshpoQw6
xPtVF3dmj6SzweLRbx4C+39DUnh+lRGAHzlRVgEyKXSCKj76FXW65AEudjp9f5vkLYkApHgEW+4+
6DgpPSdwu38C9jHf2EFuKiQD0aZfZNtU8rD7Tr8porrYt9EHwAxU6HUSzcvK2CiSkE9ERc5mR93o
n7onK4SJ00SNz4AQRVQXediOMeB/NXg1VP1BTdTGaqCsBTFtm+tVPVjwRBGGxbMuQK8jxnGtfDnq
5YyG95QplU/W5brrebetge5SdonTInX0Co8AnR8xvURoTGbtz0n8352GUXxTRAB4WXQlk0noqyxh
hyGIN2hzrWjnltHR22yqJWI0xuPUHfGNXSsCrIwvEsXcxHJUe5uIgv/bgtGCb5SD0KoGQLUe/EX7
3QmU3m62W+YaRLJfJ4c3GjxCUHWxsyvTaUH6SLJd02lZFUooXPQG/OuDCpynpFK8xinpnvGIYDvJ
SQKY8DV3fDpMXBbHU+HLLWoZVtPFnIIwuJZdbFo2vT/sbK1vS+qBX4zoVsCmS0aGnX+0FF++QAp/
ONkSWNl2K5sL2FKjF2+mBNHPX7MvX4Q4L21SyDdkQDkwOujl3F/nG8ikAENJAQkTUxxfbiADmMlz
KB3wLvHsAUlT1m5ZcJxDC2aVPA0zD25s1jw6cVMjJRVsC/NBx42nfgSxfXalU4LSBFX2WMQ82wdx
ruqvh86bHlmLmVIuCP0AEzW5b1wAutTniKUw4sFOn0tftPLZlGVqGaTvQbLfLVpjsGtW7V8R+Kis
s19eDMhKrouk7qsVngBAbAUZt9L0GYyw0zmPQmh+uWmHn90rSuU7oKpwZI4IaghrtS0XcobH1Chs
sBASjApXy41haPuLhbgR3MGT3QsOwCf5YkfZgKdictbDNHPbkCZ3u5k58I6SJUxCghMqS1mnR3FZ
ag2ZuV9CQRSuGKw5d62n7D7icuN7N33Yu1/E2hLGo5XzilHoW/C0oN3y8tJz/VzwYQunjPvOlR1+
5Uatw59v95mZ/qKNZt5hQc6hU8JTLtM1Tr8gHepa4cgfznGFMas6vOeTU/YZSpQzCzevqjWCZX6m
p1mvjG755vzM0k4PAuSp4qavoI4P/PrT8xMLZJqhfVjmPKmcfgEKr8O2GxM24af0MT690f3drFtE
x11Eh/jUOMhYGSdtFw4viC39GX3oAXwbxxbKueYThmo0TOXaJROjouCMtbnja4FMUKdODLa6hZzM
iwWoIEePDmZ4mvtRZPGK43EZDwHBOEyTZbiPxmUYLZVhb6PWB9q02SoN7ffPe2lnMqCTYKqutqTD
UQgR9uLyvOQhagBqhhcpSmUzYAWnJy2yMHANBIjcuTn/pZuBhboMBEn6sJTjMZfIBQVXk7LDd9fu
iJQBGaC5nddpt6quQYjFUM3Jg5EEJ4VHRY+Cofc98ZizxZ9rGyfPpxMWFATIuoRzNHhhQGwUUu0h
TZBB0J+J6Gxfzed7g840IBaNy/6LuixysSswBc5uo9D83acYjh8LkXBTgIQyal0fxGK1DhvJo6+u
opg4Cgc3DWnw+UMSr4qkZLK2ik4z4Bpg+DwzU9WbwDiN5CftXe+Us/vhDy+VHKbIHrR6UFpsm5C2
/0NB4F2dt9Gh5ayToaDb64uPetvA8bZQnCGDsJ2KJQ1QqaeSJHnywJcaIxm82Pudv/zPY6tOcrmw
XeTYz6YewrEVL54w3bD+TKYiSuz0YaF/OKUwgE3F+21xUkNixodFDWLMMR/sTDrWX3tw+s7jXPNH
PNJx86EJRV+SNA0laJFoVighw1IuaEf3mj0aM9Bc8a+dbRom40IT+llQ/S849z5+UwQ0hF59ZaVL
MXsXV3Tv3zGYXIah8StTalDaGUFuMuRf5yCb8T90DBLzBy/qJxUqBDIjI5SrunTPtVMp+y8jo2XJ
4E9PnDovrUetg2vjgdpPmrlikvIinC5Zc0Nbd+yOAqPECc2+GaJy9Cg5Z+7mkm1/XgfS6PVs+Li4
DGnS3okHR4+6PBkewKLClW2ZmFRJeQa6i3UtxK/VhRiCOapIRFrhK6A/9NiqZEHxDUW7jiWY407a
E6cTM7CY5IJKaH0VEMn2d/N91q6hotVx4VyLda70Drw2ywEt/Qd5VYG6SRChp2Ux3gGL6vjR5/aO
tZXWDBbAZ4amKzIPv5Rc7jlxGBfX0I20dV8vT5Pz7r0MLpq1Z4EjaO3Ecg4QpM89Z++/kAulQh83
WRyDx3EdLpw3ZHlHKftmSy5fziYbZ6VYkOZUdiS5oXg8EtksEVpZpxkQS2x/gmDT5LZIEVtbwUdn
xtnf6aQieDAM3BN9H3HghWs40pcLI48ma9SIG6yqYZAi46UbnpvfVeU7SaAypV7ic7Bz5TTzYQFo
9vJVqmPPJPRizAWqFCa9usMNpu6nIDFVkr0Ad2c8JDSSmki/YrH7dJ+HqZCvgcWnv6CWCvHMkHTA
KV0hjXLhxwpzT8JusiKoWsNWtNQbuGkholaQNrBKtO5utY5oHr8Mvx9Hf8EbldF1XTU9DMA0lB4T
2RDk9OBERBhDIefB7UegbSIHFZo27mjDo7zaXsNYWCBeMEYiZ8PLKa9egVsJsXQOcWGA0ZXExoJU
qKIsuwCRZ2Fs2KA1CrsjoCuuaFLUe8F9/44dDhncdJoErsunBfgNvwEkF8YZv7nEL65U92OTw5+P
ZSsh1/aVve0DJL0xCwY1RsciMYPmC5PzopR84QJnSj4El2zqQvcWvDFZ2xO0w6nH4Nf3PSwrYjza
LxGRgv5XYNl1OrWWyMIrQeemGMYdeyqyHgyGmojBSGSvouTpqkyRkg3HTf9Uzmoi2EkAd0Swi13x
36lscNB/1WobIH6RU/ELFW+U5hBYr9vb8w0SS9YN38pBpdSQy1opb7BlAyNa3Y82kjwSWSdYAAF+
f0eRL7uhJJr8xayhSYVbstYd+xrowT4nCmqrYczyWv4zUjxjuh9r80B0pzw5hxVVI1QTmlHVbLp0
A+SMWatPBWdEJf5t0WlTg8ZDhGaUwYxDT6kzsuxlsCbK3KVL+TyuIj9eBaemd542810leab6bhR7
Z7sHII7Ay2Kg7CiVqjUK33NevaTi0Gho3dN+qjrkTBc1OxeggCq+xDTOJ5Ukcuhw61FriwOGeuj8
d6gUnJduiUuJMg/gLeDUfMMuC2mCHAZlGG2Az/GgpuTV7aekFFnsYSPyO2QUqDo9jYfoTVuLj7l4
F7Kpn5N9wtyY2o9/0JZxe1q1rrecH0a1B9NmSYPlAxYIgg8w+0bK9Db5CzN3mbNpzgGyv93rv3Rt
z/dxZ5ML7BBJlNHohJyS5ILxYfR1t1g/Ht2h7Y64Fs1xjS0YkC1mn0NrLQ+zegPGeVBiplZRwkEb
P6YRHWN2jjnNw5rvYp23MbRzNHXtmTywBRhhBJrm0XewybH7vVVd4Ej/N3OLmLcm4HKbtg0J8NpR
ECp9aj6WZlecocrtH+3gGJPXeHh59ZFjANev8nNm62iiWt/7g152wT+UQfo7lEKbmykJQfmpiIeu
B04vdb8dWCXRJ4NsfnXPbT6LF5a8/qD+h7vgvf9F4mm4xSU57pj17pf1A/dKofLuYlAaxKnbM7Js
JtVjAYECYM7n+d2tkq7MCN3keE/5xh4KttWCbUNHBLDtS7vPzAf+wJMSXVjV5Fg8xq1nE2IQNlCM
XobGokFFWCFsXApoppRdVWVz04smzEO0wW6kHdzSTVuW97EMSu1/CcgURrQNqc0++cSG4KLbgB0c
1It0hUUkDF0AAUleCnABiKX4Vkfo+ItsJHDHv/eyJ4fmoUNRlWwCLDlKPwF+NfXbzYAot8uQncie
fcOjvWgaeeIuL2mmIEf6oQp4isp8FQwqf8oV6Sn7U8wv8TP/m0uoBGTZ83OeccKWDaPfp07Ysg2O
6wmfeT/j3gHwghSMuNlr8DjtyhFcojbpljTbOjA7o2Nugf3cXoc+xs763KOw6bREv54ZPFylqg41
0U43Odn7h4FfUwiiGgOl6X6luc+v0m2++ccNeFn/eAsE/9T0TlBNaAtbPwVXo4V+1aVNEBVFgcbJ
Qi4G65FRy7P084+EvgckWTeOCzt73tvia5svlCN3Et9wJ/C1TYABzToBwP+NSo4oYMF9LdBPCbgp
miyeF2oxxVD441QWodYuH+k325LgDYtcZ/EtbGeDMjFDWZZi9lMALQIqSrFRTT8dedO3Wkj/0f8/
bxT3cI4k2pKwXtBiiPn/Sm9rSSkHVzh15f/qOBFSM/a0Bvun1RT+wRT3rdEs60Ah6QQBJAh6DX3i
rF8S9vNvrDACwsMqN4uXR/BVTNoS0ydKcrn3GK1gBqqfRjO6eR/36U3UUZl0RXze9Ysp9M1OgGGW
bKD6IbDYcb9xLjL29E2lZNv5KYNBpBlF2Xymi0shckxwHGu5bVtTqyyVvFJ+qBRpqpadwzaTbAYp
xzqqm0FwR4WGmNcIKqsE9QbKECjacTMUwcDMqz2zkV8sDiCsVUTcYPuLOfzGKyGpZlVscghIKtjg
31TALGEIbnmHGx0uTlww5gPtUPVibtGFNgxIeTw6k45G2nW+d1ZZNNcXQK/qLGFvBGLUK8Ub3SHe
ABvLKrXa6+Dd5lKYfdjNEGVjiCUzGEXQz70WNdqNQ4X+Z8DwUQlY8SN+E6KlV6E+Xv70+CtsKaOw
X3/T3IAvMapIT2dORcKKnwErgpE052yPzRcta9Pm/vZ3GyuVYBCWfJbj6rn4CX7Kc2XezNvJJPhM
CKepJaBIFKoX7T1BrzA24u3oxcPjFX/dMKdan3b6mzVN4Tof70O++ZPuwn7UTzApsn/mbARlXFC+
+SUTkaPG9BSmyb3nkXsc9TLysGx0d3XjLOhDi81WVpP1sUj3LZGP13Q4ptFg7V14xbLWcnSDPU6q
amRfkvaIAcNasQtWc1C+pi76aMMhOG/B/JBrGxxCJsYEp56hyRiig7v4YOuFzdlbhRwnvRCiX7wT
z1qLRYVajUCXaFallVGE7RbcxDMfDmgM7iWkzqDXBI2a6K7fnLSoJcIYDWz4YSDWcDacx7lXACRR
MdZaAILzlvZZbghoYD5uw/whAUxm9utRshiudzovfGoPfVDaZ4ocBWHIBwBq/Or/29tG+iqZFOK+
T/DUDcdJ/PBBFN3YsOplWeHqE5PSRm2swGaofKHMED+gmH7hl9FCSK0f+zuMs7GuXiGBsmnmrUU8
si69B7AQc81LRXgDAKK7O8KO8HkT3VrdmfWmV6Q4uDPz9mhOpRFKGBwnkHF7r19JoGprzoQQyq4o
ttUjHVZRdNpTlmnnouW1V8tEtxF/n8+qc2tQxjA98RWcu6reBjuxudtStdk7OswdupJlSf0n0bKL
k4FTugZmo4Lg0rehK6wXs+2XDZLhdk5TI0F5KwdToFRo4XZ6M/sOsBqIaRd+ZyFO1uA9S5aie+a0
YPpfo7G1zUROAiT/ywqKbmJCC4PBImWU6fSpQaDPVtSeaxYk9t/t2H5fGD1YmmYs9LOpGx6492KA
5SYHT/FncTJh9QtHYBeN0D6LDWBZoKhdeQg+xsOsHNWwgzFN1lQHDCkV6aKhH3TY0TrA/RCkW8qy
OvVYIXH8IToKU63cLVWVs3Q+v+vpPyGsrMYkZ75kM4kM1X6cXfMRFB43qg02cku76x4nVnOugQWy
7YOXPbMucyRBQgJifBuXkbx82ptCHvCQIphcEB6BaCqhbK1aoYyOrW0OuCmH6J1ioinccReNlrb+
UYO8KTNAX+I+/2kqJ6wLfdAFfEMzBZiStD0l76WMs2RtNprayI7IBCEB0dvUV1ggrqOY9zOrlJsO
/i7UrW0lnw/nQ7kMCU2yI1TqMPGCG0KYzDwpq4tUNNLIh2qjdlaijPaDbMlf4N606ogE8ucefVAs
xFwCrIthQ6jP6ZRLw0x51H9pg1c3N5FJUnumysw98tD8UnNBQxCJM2bm01jzF0maiBYmH+/D2i6I
unRPO6n8Nfa1Q08a6mCdsqz9MX+HuFP+ifwboedhI7VXG9pYLs4DxrgzAps2xMs7E1BsuTTf4wpq
TFPK6WvWFpuYzCkFCXSzC14IAQ9k5f9ibd69uQu/SvhfVLKntX2pXJo1KNyRIiOaBtBtygKOUqnU
9CBMbsonovhzx3w83mHBTsD4ZIij0BnKI2ABTWe2oBcGbVt7opqLHRS+OSfMoRX+QaaDyZl4MKwA
rm5P2BYBkLyedmznyadXvGjDlQxDL9sfqendvFQFcYWRIBZHxztT3AklbA/vEDa9zcF5A1Nv/S21
lZgalSWtvbhjr8n9WUFcM1uyG/PNSU8QSbR9DFf4ikY1are3ePtsmbs4bQ6u5wt6Mid/L6LuoRmm
tvraN4dPP81m0eKQWTP8Uyom9FWQlkJW1To3jra+2gTOdB7POn4ivK6uQjtz/azwwRcPsY6wrgVB
TIiOdWIbHayY6IbmKirdLAb/tCWePaeQyfHzPgVkuPL/H8uJkcpmQxULnzLBSJmwC4+yQzhnWMmC
sw1+H7pe38+zEz93c5wpd9rm9F380zrexue3EpV2sIXV/c6peB0TmBPkEmHB/BPafDsCSXQ8thKp
OImX41Y2zBAsl9Bc/DL90YSDE4jcmXc0o2UsokhRJh8bViVao3QzO3LhPd4rNnJt1qGyXVVrEcvp
zVm7caz9Na/vBfA/IKLWdqpV4cgauPhAm1yqG0YRMIyrk37f3NtqIMLFgdMXh5O1DazwVlvnJIpp
iu/A5kGUVhV2paWWTS94IdE0IxSoxzKi8YbSJkSnymbNe9wzn2YmFBVFliFIy7TnOKXkvgJuYRvj
3hSEd11EFb+0IedAHn0+SPdNkYkCWEVZTSx5VVfDis4RT0b5CiVJ7DKmJl+SBL5NULgBWS0mu/bV
Y5d0teq48SKb2LyExNxnoFAUZfNKn/rwpHh5BhfXH1VwaUKI/MuPT2Gk2SfwSl/HEVf3WP607H0k
//3xB+MPVeGT99WrtEGtqICNo2XnRhWEBSsjypEEPYuHiRYNIUtiohUgx0wR1ItmAW6cVU6ZVI3w
xxCenVA/HzmGbVkgBL3cwZU5ADJTtB+47qEO6bVKTVQuD/hTvvmW4QiOv7yiw//6c5u81vvLUlJv
BRqCWiUG8RCcSo+wwoHlvo6Z7goqdoIBhITum7y9MNJjocpUPrCSZKrJelOVtJ0e7B/8JGV0MtHJ
LrkDcUpDRXnQ3QJS57fs503GiB3jgJfsJHxAre8k/z6kc38+8t6POcap6bGpDw5aKPfxVEovuy6O
es0oBBVBqqpzqG5bWkVuvkNmIF2jnfdsnBSw/G0cw6UFu/Dbyb/cBZ5xFu+hjsWzoZPmqcDTBSEi
DnIG8U4gF255xlAcFqTHUoEp6uZEmo3oNBAbanzuf3t4MItha5Ved0Cvv303vAMqdG6bGVTUupdc
MKGz7qgpEuhulFO3q0mfMavLBChibQZkV41qShig0KMXdvtZbxcoW4s6tc6F5L54YPzMHiF4Dso6
ExGqkINI7Y72O8WjPSiwDb1fW2RTIY3/lQeu0omBZddwx3cTKmwQp2DOpRjwx6zC7hJxEgWPwLvc
x5jkGcLV4ttpZDZYPENC7j+M1Ay7gBnGYdhEaPDRnnda0JXIeOiL2oQykBGKG39VsETin/oQHPAu
Kh8Sp5cH09PSdtmqm/KKatyFOHoR3JB1YZ/8Km51DKVM9lKGFqDB4l04doEe/ryzSWAz6y0kU2LM
mWLm6Ti5w9aHwvAiuJfHa7wkGhjecqkM2TYKEZ7+lpIVPinTu5WkUMqfGaKKYyll+0HKQLd8H9/L
FBarM0rcAupLKiaRgQ6moOHqtsVVch/HumXSulYwIgV5XBXoRsDY6AMYEmLgA8aQqRSJ8ryjFV9g
qgDMmfDEhSm24zxLhb+WiHHwgQaMUUR0+PGKV2r26xs3BiB6PMwbXXnMXf5E+2n8D0w4diG7jMoc
EgKs+Zri1uUaXfPsdON5FcjbWIOvqtYsULxD8W/+lQAZW8wxl/602WQ6HhzLlvDdHFaHdUE2ZNGR
Hp6DqFcjSLGpMjXkrjan8xWZePIIGCFO2r0E9nOuj6N4zIa961BrgyM6WenpRxvXXWuqZ0jvGqCu
Q3wZgekwQC76xtOKzPDdScSeyXUu5PcHu01a8p/qJJdD+OVoKIJZU0FLIhOHd7MrXCPbzpcUfVem
Fd97fKztl+RvKZgYKMk1mxjLUfAnpZiB6m2iqn7JwnLJZTgEsUD5zllCFMVvw8gVxgcnflc2ivG3
3SMzxrTLYwEFxRQyDv5Up60w2DycshsOrHpf/0Qx1lJMyjq6NxKZ03a0twCqaeRw81xNcPKIF+Lg
f42Ag9RPq561WvZ2BD8TTrZQ3LjnaJHxf6l5O/c+8pEAV80+VgfRTwhE8qtzINlgYy+JQ7i/97Cu
2dfpmWoFQri2T7x8wM8rnjuORGKNvxLVSveuOqbxUO/Xhpw8QsGfK8dX/qNo07X6op46r0bLlQU0
bpQ4QpEKDMQ4DSEEELx6Ula1FTpTaxCy31uU3IsWGG1Y4WEY50SJXo7sUidqy6Yo4jA2vveA8QFC
/k+EhgLtQ+Wrj9ZLXaXsgQguoElB5aflaAxz8WmqNoGy0Qt3RcnSlMFNvUHNUNy6SH7ATtfKV94d
bZLg6IyVfkLr2s50//vXJVy0LVYzvabCeIWQjspy/61Cd9Him1nr87MXLJsPt049Qb5Qn1Qf96TA
agOMLm8BiYToxXOBxsvUbsA6tk3zH9atWXQWYCnamPTwweWvjkKIL6JmQb0qYq1qL5Z4dJ1SU3Ru
eSs3YfNb+r2P6s/XWLWpTZILJNX2Ws7yWJjD9TTIr2cMRZitpUGtQpIU0HUe6kHuQrkWZaoQydTo
zac2VC4ALTgIAGLMyG8GzzROGUCVas90HkzRsVQJLAVzhAc9oPzbksUOVv8xoN+C9YwxCxoWUaFv
iZQuzs5utlgaMQaYeOwe4s4wbZ3FxyH/JR0OrV3EnoBOrjaFUaBGpxeHAWJCG8zN/9P0t11L+yvU
D1cpWJJNJ1iuRi04RnE2hw3b1IAchNmGYdvGbpat3RymbQQeK43sINF5ZG6iudQxYXFsFLKZCThX
KvIyVyfTWsyIrRXGtE91cSEJXZDFEtoNdxwwkDMIkBKmETZAjd0FdUXIvd4Z/ynWdWI04sxynFh5
UvupS+qsQDC1a2yV8ETBLqdEPWdGEilxbVi7TUpT+IhMeH2rvbgsfgD5BbvvyoAtDR/TpsFl/R7Y
GnhyrgYFgiMmVz5ffCuCyu72rfuB77EH1HztWEq0JUmPAmNM1jGE/0riP6RZWWlTJkIFPaKMIhJF
B+GLfIWjbTo6VR7jKLINGCpCvKICdVxaBTnhkfUCsYmsQ+GfYz9ukMFwvf0+Umx1Mkw/ql9exIKu
68jAUrOyJjq2rmbHBTDpdbfzgXaZrROZdIRxvHidC6yvkazapPn4AXhM7fGTLZQ0oe2fzmAKkxdS
JobAUL10y4c3COIPdwHhbBV9TC+UBdksZSYjAf84HOHk+9OklIl3yRERm8LUUWnmP1FRZlC3D/O3
QvLWuXpb5xRgFChL6GgBcGFJmplTsKUfekcLeVwEeZl/iuhO5ejTCSgTCRdYPSJjQ1HEfH18K5sq
3OeZnLsu3JNd2hKLEa7MM5841mPA6bEz2FG5FX7zHoxsGH9ivm0Drj0TlJGibN9zXn8gqIrk5pIM
VzEILEmiZx7fbbRK9u5akthahVmMe1pQX9QhnJpEM3M2RZaYpXG6sQVe/QtPFS8jf2UIrQD3ku83
rhpmE/Fo6sEcBbO/XhEklqUaKTneAxUpbeijex5UuHO+clWSuXKydlXJpx1MNB+w/ALAiREXZC63
5fx3qm0HApSHZtEK+Q/7po+t89+UGiz/9zMiwPlpbvEEVYEKxTMtFGYQfmKfrwGZ7pEFZ5qK0Mw4
ckU++99Uov+YA+Q+jVmD5pFIrr8KUqrKY/r/31uMvrgyj79CbIzIk9eErfZrvdTR/d2tpeL2pVPK
DdHzvk+bWeNA/Le64rLa7m0HlWdWXc0Vgf8AF4EbY5XSq3H2QX1G0O0LhBWLCFHkqmng+3rEzMnM
jICti994Grfps33SpPXhYUd4db2z440JM92gKgEb8Vap2cbuFp51u1I2zS0IgcEJ4UBVsvqmClvR
s+lUmTwkjHcFFq2Pg5IAsBwdDwe3IjK3Ypi2BEYFEZR3xEkwdbG5QFZrSDB1XGLfPgaQ0TGl4A2l
hePmrk7Rw1f8I+fVIH4fiuOI9YD7U1vl2CR5YUfZfFrsZiE05Kb8FpHcMCf7XG22/DBalE3EWnEM
tekdk9hyZRsl7kFEoEPzKywuSmROf37Ziy34rhqCcESBuWcUnpGTO1gCxuML4elX4XGVJ4KwQajO
zxiO8PRJTBMAL5aTaCL0QJrzfDj3trzWkjqOB4KlIBAlLg1Vh0mJgE99E5gDKtcsQL3/qvPuLccH
GT4pSFHmlQuEVks5e3UJ/WdmeKs+2ywdR4/3vMu9yVh3nX4NkYdSDd19wzry7ZcNhgCyqpAS5SlN
r6xWTHTpT0N/t6k+AWSyu87ru0JqL5y8duuRLWYvDcowiftzCpLnqWDf8YN6IEqPnSl+qbctAnam
d6ucfNatacKNTIdh1tV4TIZz/V58OHU2QF0xVNa/ciYatXY/O8WDcSvAly/kpgkcTzB8fW1rf0fl
MGiLcmwjuQI1xXISLSwqHsNGdycdHtOZh4bRscEGqPdtBz6XilNaLs+bOP44rrjCS5hQ7y8EwBRa
jW5tMTlaFY0XPC+qnEu8ylp8U/oj0d/UAr7kHsbxNqgtev+fAhbRxExYJkoScDPJLUhOJQPZpZUY
9Qk9Iq9Fpz+knodry5OWz4dbh/P4Fp97OcZ2g+FV6SfT3Vf8mN5SqhQjud+SzS2CKY5dmGUfjatk
D35vvjN0q0z57sWCs+VY2UbPcOcY6R2fUmTdf9OxxS37y9VoZeNWWnV3Q8yhB/oxgcw8qhTy4c6I
N5vwWBrkGnhGTdMZ9pN1tTlcA8xhCIx4GekJTZ9+xYXdzWVFmb5/Jw+imPXSAIqsqx5A0Jpv08aB
RflsgsfH7hyaoU/tCez3zT14Ip4lpQcGla3qKqt5f9mlc6Ka3EdRvo+aL4s+Pq/5VwRZJ6m8yep8
V7jEdpogKYpow6cbPeD7/UDjexFR4vdW7r73vHQ83qOZMYXDyQfQ79nAL3j8X3oTZDK5Ahh/OSlu
xU8WGlau+2em+4bwKY9aqkVEF9TFukAPywpGW9XwJN6WKz+3Wk8+vJDXsEJuw0nZIHPYYt8LZloI
yL1dQePPayep3rksQp8fTjYSIRb6p2pL8WquNABexaALgcubbegYdcYHPmnWq0ZogyPcXdQa6YM+
Y6wCIJWZOklQNUkdv7XqGtpFVUrrLyhKnX5KB/8ILCRFnVPkCr/uxB/yWdYNeDuVKWT6+pYeQcXs
8eiNkBXyc0aAa14EfCQWFKOOX0FUIXJxZTfCJfIzykMDGRb1I73HuKq3nZ91o6rwY+HNrR8ryxrV
vvP1+bijPmTYWCp1Il8+HZ7+vanKipyf4TmejtPcwM72VOuYksr2kmEXJ7dfwliNVT0dOwAh3+Jf
1/D/OfRvmrVwFc5WnlfJJVoAWTW9Vt7PJTA5Q9xsrFnZP7Rbe0hhou/AQZhkKxVQHH14xMwGC+CW
RILJycaKuCSyYzI7rofpkDbj3lM6RlRIZAwCenc/ZEVpl14yFcJ59maI4BH74YpkUqavHsczGfuV
+yMnK/f2VjwQV9sRqZxYeK5lOrCPY4+ryG5nEic0l8x1jL/HHQ9gbgLQ214Pkr4CLEckY5XyFzEM
baw9vRbVnroem9AIohh1CEdN3dnXFOOnMvxpwFWPxcRDOlZy5h0LBFq+TlW2nqYFBPKthiSMR37z
vWmRpZrW4VHX6bOFe3OUNqNX/bOmtYyvygglLRPV2cH1F5r4xX7h/W5w6qESjI3WpcWqRvOXg+1p
ShESP2Gwoef5fmtzFfryw3xnS727ayarHq2AiYeVJdiCEeVqTqGxC410Pv5x+q24PcdFnKiXtB4d
EYj+sxgLUR4QeeDkWYOs/wH5ivhOfKgV8qb/lGF5bX6UxtYiPv65jEg0m1oACR6gBDlpXNY0JASf
B+a17YD/AN2iZ5ncNMf6rkBV7PtasPkMMPWG3F2swozFYdD+oDYTwvy1J9M49uiMinWkx/Q89MVH
Y6zv37a9cuKZ40xengF0/RslrzHdKkTeB9ay4UA+dSR5rh4D2j/X63iU9aaJg5XZqmCdC5HZDsrB
3x5RhsHeUVpHMu20P4SmGnLa35qnezh0v8UCXpbSDdaS9GX5EeqU79OFMphUaIBKiE6FlJZ3yssq
jzgLloBhvHcVIkv0zM32j7CMmgp/Qo5xb8MiItGFcqqHVBP9R8ABf1Sqocd0LHPd38eQHq3TZJ/G
3mjeHcqNMtGdIzOiXRN62aR3HleZ7PXnP052+ioV6vix+SesPfKcw0WGkCAPvd74QXZNI1hfy8c9
cM5GMZNyCiIKJ02+3abDo4W9RPog+KUYcQPZ869SBt9d/qbtqzQIXDH8Ak8SyFwdMAu9aGdTb8PN
u43qoRLWYNYX9roFKJHZFrhLx6eiqoKGlbfw2s6pcSB9VEqocD3ASyPCS77AoBZSxtuf+NYF7YYy
ZovUkAldigWeUdhHW9u+T+vs9taJXz4y139Jr2WFG1cNWz2o7mzIN5sF+lpXafvyIfEgTAKcRJjQ
QnUMIyNwM+b+cjc5Ui5c9/Mi42IttUiqCsIGJF3wLMo3ID8E/bdxbm5s/pP8Cjhr2CWagEgBiNM8
FRYgdTclShI8m+uA1fKULg+EnNqVA+UFzZujjGEVe9K+9RECKK5pWokFvXQ9FdMYaqfLE7Kw3tdd
JXFZhc9H5aw+qI9qtmubkmpybiol514+DPRZXu7N7TTx8jXNx9/wjFQEy0trUVWySNEqtwVh3i4i
kNn+NUDO73gU95QhY0wUfU5TJ47lBOeL1BMZTyVxfW8DgVo5RSqMt4ZKXJwoW3D678Nl5qNd8iEF
7D9qDENE105xvC+ZGXB9HLL+HUy44Fiq0pzIGAS8j3eVCWghHWaZ+BCcEKwaHCoJe0prOEaQV4n3
8oRrm90zgPYbcMt29bt9yQjt4FEkVZy0kedO4BNIbb4xAOkTXFh+J7HStl3q2R6Q5+Fiyg11tmqO
hl9SIxTDmdAFv46FS5VZyMuGY46gFz/+3rheKgvI8+O3zQXzVV/nnPyCmGMaBbWpocTJAqF34URz
LwQOwQi0cOuw2OLOAkvi3QO85VWOxHxRP8duQruAB7ZsaBvUAmSug75yrDXnQxer/RwN87kHWZJ9
lUwRuKjmYexixIcnoKzjT81bxXt4Rs47lAp3XGBVoAgi3t61ecI4P1F9TAvMGJRRjf00sHhRPM75
mVc+o0izLbtrjLvm4UKlogmWPGwYB3/mkHFPnuu7KK0GZIr+lC0T7SKQLNPMe4jAByWVvD16jilN
YXStj99HZxkpw7rcdqGrflDaPB2qntkPLimLeHnlAwuTXMADh0ETrga0hdOkmfjomg4WiB4yNASW
g5xC7stxdebWf46clHgjlvFjjZ31mGerJVuv3GCIFWdWFLs4dUPc95rL942uXBmRu67vaZ2rkuw0
wDcXhHq3eDisLeuVZNoJMAXpaJM0Kh3hOd8ABlhhR2q3IE0trkUb+6YlpsulCUOjBvR+QlXftnT8
COWOOQeI/ZqVBTi+3HEzMEquO26uv/zvqDrEYwcKdSNjvhRVc5NXz5QIe6DEsdU1KvQUYWzo8uDR
vB8XAlnG4RzEhXKtLe/NYH5eXmiOMEW4gWRDf95M0V/rI+YlJR7YQEMP5oR6ApfOg9+lwm/v6eXm
odMi1o3XlPmCZI7J+Y2+kEENBMh3NxdPRRKbFY9yNmRWpvra2sNRYsMNZ5uCoTKMJv2qVyeS1Fpy
7GV4MeNX6hlC/jCN8MOA6fxt8N+zXSeAlxW7TRCixA4hVd2jubS9+gpRPQ3se9BS4YNn4nulz2/q
3R7o2WC0nrYTZCHXbWcjSq1ZR3NjgqnZdBrhtZu4j8Ep0ghMmayAk8nreqsOUJ1Id/xL2jWDm59M
hoCHzUyyXIja4xWUHYETZavviN4Y8vsZVPy3q2rF2Aotiw4Ow09cALnHPDA1SCrnnTM9q/69nRSo
3KsKoiXjS6n37XT6097veqza27lOOjLxxrkBLiCL1W+Gndqac29UQ/BZOFldcTsWlhxRPWt0ROJB
kk3IFamfemm3DkRsl4FiIX+JdtrGX0xylwNcE65NZrE9mhQLaWFmTSxAPlXsvpKoR9Og+vSpE8CB
VPA/12yrCdMxRcYiNi0khQ8b4KDjMQpaNXANxCrVOW+/bDFIKvOwNMCaCJJbnbgG3Ua5qOdhL7nw
OjeVj4SEXJ8SWL7xa0pIQHVi2w7ObiEp5G0NuuwIif4d//vGlIwXBR3TuAr/oNIOU8+AovkkvUFL
blSoK4SJ5g3y5bNPoItrQaA5R0yEmGSFJpia5BZzhZOygHJRr+0JQjklrTjy9sGuRz4V/nL9pucH
OkVJ1RAt9goilCL5q/vbd7tb3AZTHjjJeGZxCBH2+zdlULKCzXWFcZTI2d245COlJJYL0OohI5fj
5ponlkT4XoYDNXtJIaiMlNNMJMumptFyEgltcC8JrX6zY+D7d4B9dOA1JrR6sb8B3PReRjJX2XIa
Zwp8mc1m/WlSt1mHgO+b+YVlEelwc6Rlh8NQ9LGfwSK/Xq9LFHPxYPUjtwOLuCHJq6aQDzs6f+7u
ViE4r5ysUwsW5MgYbzdHJZoafTczs6dlUNpVTMYBQDJbf08imnnDaIOYVz0zx5robHBBSg9U1nCp
C0Xg61Q362ZHyq70kKZ3rK3ixZnWEwYy0VGPXhGdVFXnqoCrNJLJd0ZsfC//vA+McNLO8wz0wR6E
GeEzOVTkuTiIF27/wRH6aMK13gAPHuLglH/6HXN230ctpxA5FF4XWwxs1Hh8bE7oDdf6KDfTeD0B
6bRXPc2jIANU+hlSEVprDxz60ncTie+9AU6+J89d7VAhg+AOetnQLPKaq6Oka5BtH7SwqmB3MVLE
iBCVm2oX8/7zLZEwMM7MhjNdWw9fup6GQIKQcKi8OeK049KtX1l9vq/nn1M0FbMSFAeXjkpXHlQm
2TJz5Y4w4F+1JJWYsQIM4/fukmCAEqyjzeNOomRdAGbaV3u3bIejHJxeiL1WG7v3wHepC/JeiMxx
bbRQ1E0Rl3ZnxbYKAbpWnBWqdUdhK0Mu71WPhVs8b4GtBApwvpRa3OJSu/rhUjB22lgi9E/PGDJR
znut9ztyItugSn1v9EJU7JxbplcLKTH6V8hY2qG6TZus6vBKKhyQ4cA21rlTNQbrr/q6Bb18lwSJ
44CM7qCeRfy56pXppYJfmjnu0Ssm61gNAHRxfLDGJrEufomjuqVboNc9AJvqJx63jILg3pUdMthm
lDfE2bKt8Z82NWRWtVV86/DDj1/EZ+70881qnIZnfCqEQxYRon/GvR+WHL2C0g9EyceMOWq+KkSb
tYckfHjsD445mpIPo1rNlte35Szyud7wa/iPlrDHhvE/8JfLIVmF7TTm1bipyFlM58zMuPM2KUp2
i0XBliRTFY7ip2xOXto52He9muTmYhZx04Qg4tnh2Rbm6yDQWRUURo1PhGsnQjTpjSF3ysuGSVOq
FaVvjst8JPwppSVnGPEviqculIueAAyG1oBFDxslQVup8+ytqYwTjkeUh2et6XUCEApLqVbsyRdC
ZqjXYIRDIN+zjqwO3nB6Wz3JwG6+ZOj02xHNK8DZeMu/pkDC+5vGMnm565hnF5/oAs4Wb1xJgLkB
FYxBGqP2JVpf937hGhuL5n7y2vEGkXqRA8tugBAwOwVyNgR3V3ljPnk/HnnLZIOno7aABIYZTkDV
6Qohkyy0fSazdOzI1RmnFxyD7Qqi7j5fVE/73V7/9t0+eLq6gNjvyPzbgeqJhDeqFsdp8kJptp0x
P4As1FxsMBahfRwwTeicDHVz+88UmUQvjsl9zdT2x6lsBtAG6UBithIB0bCcZ+8j51fCh0CFn5Wg
rU7NgdQ6u4sZEed9WhPWYBSWYt44vPdT6w0cxNxitfrqyqOGqWusWuJ013DHQjntAyw7i9czxC9y
o60zoRI+zzICq0tntdFKbmSNwguP6ZIy1QZfFprEPKj+sqwbqS6uULNpiNzHp8ARI/CV2CWxqmhe
rt4NeRyLJCwEljTnlLJFWUlHoEaqe0qzN904BYTb2kxS3gdeVQAE/huHMp+ilk6OHgepXuRIdj7T
BcZbfMUV5MBpp1d6t2d85JJuejDFDzYx/Bc3jnVsjuTey6EplDH0Swr7+bi7j80jKQLhTQunLH7L
bsSs84f6vUm2ZkFMGpKdeHdXX509snfyyepLwC8Iz32ukh/UseE4IHBIIqpBulwNwQKGXfgowwpX
133AP+mdpfs01xC6GRBzM1YjMbzR2LDYDAsryv9imbgRtYgvYf6PxpIae7iHWvcRlz3vPg6gz2gr
881zMmJ1FQ8SjRpMycz6j3QGidlL1KJpQYoi7vR8eP5VuFmos5QM1ZQAch09ZH2NcIF0cvqwaAkF
Ew3nduOyqmZyLHCoV54vm9w7JMox7FXwTTVmSN6b+mCb0AuyIWOY9WHLdPso/eNHvbfCWfO8NAAd
vK6u8NCOGIkjt8GLLXdn9uEkyUEnaHBx1uNCGtasFe3Omkl9ejvGZx7D6HbdnPjF+jESoI224kiC
YZ48DC0pZ0JyR5oyoQMT9oLuaQL5wYEy+PADTdp4IoxgEBD7Ig4BVkVS2tXPpR27svTEnU/lLu5C
izkh98abT0iKtVznJEKC4ovJJlUQ5mmOzuFmUVqzF3RoX3d7dhT2OWBqpRbcGqt7fsUZ1sZm5B4g
IFtWEgNhYJrFtGNMKYHaBqLF2O3PwZ1bDYYFtNio/mGHj9M1//iOQdsv7ztkyaL/stJBPdnItBS1
Jqv1ZkqJO7nTsgfFcoTCM/pHx7d8uwhVUHXbh01DacAS7/sbqGOGWtR6nQgr555rpPQ5uhH4Z3Xt
BAaOG17pkImjSQo1Yg9nQA5JpYtxkXVrZ+lEnEJK11Qxd+khlTfEcFHTZymts4DIWvleFlngjvqf
OuZsqZnIhiXODFEIx8aTwFDeLBNJa9PhVnWMRITvj5C8shHx5Vy5G5FmnEhygogqPBonEpSXztlw
vCOVS17RItnyXEx083r3zY0/PzfAlQbynBBVNfpSu+TwCZNFF5E55NkXEUKNihHti/c9huECBd3D
9PaFH3KTgp1dG6mmnjglPD5pb4gLbEzCY4/wwP81MUHXsWdXKlmU8vryGTPzYmwnBsbauYgds7/Y
a9Lo3nloQ5Iyfco5n5zNI/lBXKCxPpj9unJSVFLxB8Rix2rFRg7FlIArYouUXxPqdkUVdqfDjnrK
gQsr4UCsVVoYi+/3f0cLrwDHJEhEJb6wxq5o/eogF7BfWOTRpmH9gOq9Gfjszpn9alecz+lt8ZWW
4vQgZcE6ZOR+h3b1m1xQNLrluA9/mCrjigrXzvC2S7Vzoy3iO8fUHLs/wMQum5WNgy+gRCW0Av62
LK3BLYWYEYnrB2/D/YFTV3SqLx3FVTEF5g/jZ0/4GMPyGS4I5/L+TKDMpOYCCQyJie1QeI2JeBfw
qAuJHSqHWgsZM94BvPEWA9nsX0xt9IKj9bNYdA6jIN4lx6cRZKveG3QjvrD+cQDn6zEn3yOKSjoP
eYQSRrWa8F2LqVum9cN4SsqlaUCc8WQmhOnmatSquQZ0wt94BE4zD5uNcBeMJSv6Cf6jOpxH2izG
VJmWvslNiA2baWCzfDJkRet86Zf/Q68n6sMF+uhyrXJtjtYr/Gq7RKNVuIZHUel1iiQM091hHC4p
+LXkTbPWFPHENbC3yMaL5nHfej521PrzctYHOAeNGOurS2Z0cY82pFPf/CtympbDXw/fn0SlI/Kk
X0L5vkRVD5kUkaqspfIIxiboZ5oTcCIWhubQP6JDrtm7apWeizhOuSKFlzaFkQar8/Jt5I1+umq4
9lOJFMU0jRys6uwt9Wi9AHOnmBUqw9HsBK0rAK0f2rDIEMGj9UM1gBnNmMEjIfkgKbnmkQHczf4N
gl9IgzMY2OYeAqu1ENCC3q6QoGZBDDrf5KlAXPGwbtz0O3GgoNkVzbrUmozM9ltk/N8fLOH0XuRn
Kf4a7vJmPXTZqjvb3t7S82ZLUuCqmmESyZSWZKld1++VyfXfytxPWofQkdm3YMtl6FPU/+g90DNr
bPeUuJga9e5B1haf63+aywlP6ezY0DYEfyqR2Ip7BlaQwvpeD+lLOhfRxmb/B92s2o2ab2XqtcuV
PN6LECK96dBadAVHmgfLvVafc9t6U1wxHuCDKxLE1cAC4GKMebz9tewhOnhTQsqa1ma6wilPBRaz
WYPead8GJzJ+bsri8yXxKQcBHO69nU85/3e4xQqKhtRH4hPEgWIpthkqguO5Ih3IcKakWC+724pk
3TA1It9wDOI14fGVrDgCp9wW0P9VSwK05Tw6hN4I/8RJ/oDjXJareYhXuWnpf1yhLoUNHD8MmwKj
7LQUqrkCdRjVblKYrBY/m39P+3dxLuE6kTMNn4EHb+Ta4HgcoNaFi2FpchdJoLYWodSQLuSFVo2F
FDtso8rfFgW+r97MlOMlW+konvMw/L1PfhC3tsbGdGx7LuJ39RwbAPUb/35G5897lUvPZGKYOmPb
yoKMvTgvU8woISJ8p4w971viydWOv7N142+UIrlYPurCyfsT6mavdiYUZZe8KflM2f7pjWf9eM/M
PA1TkwjC2hw5dv/UfypOR4RCc3b1nJExJamzMTzdGcnaOI46nrokaBPsOempqqdbR20mh+XQmny/
gyhBx5BfOXiittD7ytFnn47XHHeuh6dyqa8wLLBoGGdjPNCXoM1KchXulsC2rrMNvzDYxGrDXbWI
qydTHygGpt8+2oN2GTNzrRiR1VN3SSIs2tqXxugODBEUq22G2yg3ysY5LJfygmNu04mcgQJ9yLGo
Jsd0Ep9EHvc9jjgsmNkKOgYZ86RnfAZOqz5J6d12jpt9SmxB066e0n7Df1lXY/mSFtcXboLyKCBq
B+vQ9YjxurD0uY9SAYlMfSbsMUFUI0yf1L1cddZCedvW0vwKUrnZMCigL9QoGTuRD3GbB0zk4t2W
lX/LM1vsNfAkLHK/d7hT0xe/HKKD2YdzjjaTQ0zVOXTYY66BlGfkKyNcZTEIzjIoNu8MGnilHDXb
Ykp5mHqqQ6OP14ZJNmArXXMrokMGvgWHCEMGZOtxJJMaCPMsmI5O4xbttIDQF8rm41q3SH2Gbkrp
NPWQRsT9Ilp9vTGY3xlZoU2KgXtIH+M1o8QAdmxCREef4cNo7kTyq+zFWWTeAwY2Pxr4gWIwux2i
FFW9Ocdur7kEYz2XHgvxA1BuxqO/yM/UrD4I7LJD9XPoe6F8jBkBj2fzFzdQUgzDcPABKePQOn9l
pQBBT1HuwyhD5VECXtjIaqLpJOOhvOTW6Qab8w5vzFtSrJBT8i0tbXynrXoQYz1eWzXDkCmze+1a
7Ap33Sb6QFQEx34C/kKQ6C/raWgyqOXfH3f7VsQzkavyjnteSfxdz24wUvSZrVkDgRHstiQ16uRd
RWx6utyCPBUyBcEDPcPAEK3YdVN/g06VP7bMnzwfOfEup1zRwzIkv1ZCmI+tHMDoL+REMeCxiTNY
Gq3VpKVxGVOfVgICMWoaafdoX8Nf03RTJ+YB4XvQIFZ25mQFbssDUyNdLUE4VNxMjYC4jTsjTmL+
k1LiVhx4kd5npuagS8umF2fWLESyqIYdNdvLFUxw0Up5UJ6zJJe6wBfHSijMYbpXPDDAZALEtKj8
rd3qcFluCuDiVXIEeKxGFjUtg5QhZDP410RfO2/QkTpJR/RA3bJZO4N8yTgC4LEFYGqQ1Ned/c9k
lEzgL/ktmZFHAzaBBusu9a6abFow9Nhu0vg0QQootqldoRP2In/UjO9qPreHrAe7T2kosA+P6ctc
uEeb2O3Bu42RRNiOSCMAaUzv/VeKsNDF3IEYd0ghqWLkUSdqPPq2oi7m6McBcHQvcpH/FBNnzOEK
wFz3TOGWYa9TAbE1fEKTdyjU7/SxtNpouhPO8E2tcFHKaSl5JpWhojTkDLfBrHkEpWmZrD993Wgr
Y6QWocHGY4Pp4ToYh9NlCpE0BRIfZK6JZh43kZy1DKWyU+xv8FFidNa5b6HdlTqTDwPOB/164qXg
xclccJVtsOZZMH/+Zmz5Tviygrmo02maeFZRB3TtUGhzis+4MUzJgJiA4ZjLcWl8yV+JAxcwZOQU
H75YY/6SGK73zFnZg18o3y49ZDQDpOfgozbgNYW+GhcNw9VobSx/wMvrzd1habg5XQLZPv7YdwX7
OeqY2xVirhNSmU3AYh4/SnBs0EuVEp/SrkvuIylGEvvLiG5Oq1Rrhqkl9AteMxwJYyyBFuV9GsSZ
5dvGNiz3AEfNe+udivVlg/HI6tplZ2PlIvSbQ3vzm9ipJyLfnD7y7iEt+KYBn0KrJXwjV90OF870
raIsxyYVOF8SdPhTMoWjI1a/GnwaMvtpuEbNwe5Fi2It9BKjN4jiKopFjFspszEvIDZ2rmIFs1ve
urvk9UZuVO+oyA4Elmig/PUcixUs4BcrJDuKiBZViEpeFpO4pzkwbl4yVP0eGqnPmkYhOS1AK6X4
lfbnFGcfMGClAYex0uQu7ZFXHQcQI3778qVMsAsH7/XDm5nJqBF59vGcayoVCR81Gne0xpi4oRaZ
5p0AgMjrhlN/7BSfGyU6SEa9VR4RyuImghA6X2fsgvAOKoEy+oGbKyDXS9pVuesC2k9gq7k8P1OZ
S3A3lBlWkUqiYKIEfRfU6GGiys2/OyxnuihXf72Zudd0TM0ldKaok/yQpBVDhbJJz2BkkyFP5qQP
OTzKWPZQHprIQS5CSxXWy5VzXyQ+28G5yYo8D/p+DanpsviVkLQKoCcTCdgQZ26gPGcUhYy/tMmr
POgerG4BYVVcHYkYzvGmBPuA+nWdqBva97dXjdd1l1qjB/8tAOlxlfysXeea5zJhWk+E/bN40HG8
7jW1wzobiBdRWioVwBBa4v1i148/UuHjZJc0Pz6/eaXSWb2ajvjnNX7EiX9uYlrtQbynxTiAaJQA
K+tgEJ8exO7g8TcNSDBfBKJrMhBvtWEG1Dxi1K5hkeiTVdxExgHgKiNT1Xs9Y+KZbktAgFnNKELt
c1UYzKP9tVBwJxjo5NEdI4VpkYMufCBMgE/QFAEe0EsFf2FUtyqQX9t3nlSb7gmIQVdxynmmt4cW
57KXwBVU/AALO+SLdb4Bjp+pZs7Sz/CWCJ82CcDLokKQT81waTES84aYvntdw1n4bSG36LfOKlSY
Yg0tDEZyWD6QvcOFMhxafI0gJtitj4LiaEs/aDIeiRn4J+/9A7yw4hi+DR03CQcIFGuZr7cNkEY5
E2ce0QPhiO7JMoQkx4vHY84Y6yjb/7dSGbS8COd+o0MDQOVlrnA5aIZxNPdO5Yz/t7SMShI1vTgd
NQ+yV74qEQHpX4wu6h+b25YwN2hkZkJAS8m2f5+rxxUyDuvAMM+YCc74SeIZiukXXbC9Fd/rrfR/
k5bENl11JEwhe5wsvXyKI+eEObkGI2HyLpwtEjBWMdhXCHsKQhVFstsyWVFTOxqUuT+aRtPAorzZ
cGt0odwvx1TiJm6+KcwObZ5qboXhwPGfnZLgQt266EySWvYatDPk5hw0qi20VUWk4TJotp6GfFVM
QIRI6+IZt9HGOX5tqzQlvJRn/kRuSWQ1/RSwclaIJCEfi7W4GPPFM0gFuhWK3HKBuQpT8QzRl7Tt
2rdJeZpp8CsM3VZYOOgNBMq8y5sy76zW/fwNFE9ngggK9sAv6zPKWBuXXqzBImUv+2li1nEgpJQL
k4qb/5CofAJ/6iDp3XsvBD6pRUcsHC1EcqiAkqop3PhzUrhe6x2xIy5oUTZk23yI4UPdbHe3VDYG
0X+PuKJDPEARElQvAfEnhVrUYWJpP0u9eAaUEQyTsuSSsiGN2ktWcIBTglxAWMLvv/2nJO52JNSF
KlfK8yR8gnC6jZtTH3BqgKNohQ1vrnmOhtXObconl6cPNaIUpNNzANhyFwH+wE9OhkF8jq4+dbIb
Nqq/x0+PbF9zRnwRo19lYBRHhufNN3JhxaDcKXhMXQhbu0M1nqpEu3R9FyARtPpWGurmTGFZqpES
akmaY838uiWTORfcnAMEUuhoqt4CbwblI9msydlEEdOpAgahXMlwFYsrPAztvoYhzyPUHAacjrJb
J1n05wcRSgBZ9jNn53s6+NEZXlivq+We0HJCWyBtYqqKV8hQlCD7w7MFAMmxOTATXuQW1QR9Yah3
KDGx4lV2npo2+JYcB8HqLRirgw1nil1cnL4C1y4pRL6ghty5ZQbPQ/QBRyS+0P+6xYZ9QpYDytsm
6+IAwwSX99dOH1+rf5ejt+z7vyOEX7KC8+ryPEjnWLc2fpUVCpU8DkrarweRMRtyq3kcGFNBo+20
rKW5VLF+JDyNryIdYbcnmYrk0+l9ONY6W4Invotp1FAa62DxN1BYm08UrNiCSsiwgUAKyi1QTW9D
s3CQdY9xwaSUe49+DLGiYUmHGe4MuDX1hwwOMM7WUdApan6Stzyo/uVs4+jF+URVztqFChxBio0z
Iz2A/wc1nAILzcmLr8+m+dD7Vi+mNPGDHREx4iOFjTs48az6PP4/lMDwOBYT3X6nl3eEYC2knQp6
o7tSr3j1iEpL9Njw8unnmtmYJB16d3SCltorZpHICI6/ZSaT8WaSNSCOQp0DpDP9C2IE8nnZXpYI
vLvn+BRLR+mB8m7lUxO+znvoAeHLYrmjCK9hXekEaQjWFA3tYsNH5Q/vtdhuIw8GAMzauXSUVET2
IizAZLR13EPCXeP8I88N18thr2qycayMBF2vV6AKjR+i53N/kKppADxzHyitiWuF9+9Qbe8UMhKA
AcwOPGpPVo8y1ZVSvVlDd+cQmH+j36kA3Xrgw09G7Bhws4ZGrtbtSpwRPyY2ELL109VZ7ngDYTh5
YfnsSrbRR4NOEgNPXuYb024k7l51/BgYFAuFAJhjBPad3+RAbNsW0BJFpK2QnBxQdFEpdk4hHJdx
y7HYlPVT8ii+qZDRtLCglIr1RxvqVqoxBCNein6NZg9EMLtmCqAhDDv4LwTEcypvVmZ6qD0/f9jK
4IHAy7VvlKLYdptSMsPfOuleasoOeH4oetMwFDZqqTMxBglQ6ts0RqzkOSlkYo1UJ7cZUJJIZ4fP
tALIa2QInCmbmPGFM73s/zDksRTsK40PpkPo3Bo67qFuItM/+XIxRyw1jG7rLIkpdHnd85P8ls0Z
l6xRR2j3WlwfULBbRW/KegVVAiXJpQtpXP2hlKxaPt4PvhsJ39iJK+h2bxtEdM7yVAZUuHydfXmw
dB9W+AVrIbJwPA4N82cQEDQGi1vTQfqApa2NfX1RgHqFItUBB/HHvGb6HqrGoCLihKMQ4WkirfJu
luaLd+uljv2QCAn3jmsAjaxXf5rNB9Ofm2IqT0yAcxJ0XExdIsFhaeae7DB9J/p9mRdydEjlEPmN
GBOAiZhoNFPuzV1hES2xdv3utjWxii64KkwFGn0mSAnSG68fz9zFJkUVjWKzZaB/mgqH1m62E/cD
B0YK35ENDM6CobkM9LKVeY6g3q5n9AuvKGo2E3MHcIDdRGsqcwvVEJHgZe5AhtEkICE1D0C+0vyG
0vqdQw1jDrrHxXbgeDZivXMVEZgf9lWYuDQpXXBXb5JhGpa4JRGhHH480fNE4uLl0/m4+cSKsOuR
pEljW1gM/B5rWl6OihoyP0BngHlRUDDmHG/GpyAgV0A0F+Tyc4hisb5+jH8sIL5HmIzxYBl0TSvU
CTvUQk/aB2QmlXH1JHtQY0QFmH41lnFjrkUwAWZ75F0VRFTI+5bw3kG+mSdtY6VDdPd3zyKplIhw
MTGV295pRpMfr8yD726f4l+f7nzjXbH2H5213lkf7HxAIORtaVPBxUtWTn+CC92l2Ubh4o3f0Yh2
L6peDUf7uInpsre6RF2rYNbxKQ65aGygWSeBkOL3h9PuZRfp58KIEMcCEbP2Se9qbbLwZrVFYiGm
N++cNSa39siJiX6HQonhn0spSzy636Oy/O1ZfCspwoJdsyl0R+9paQsPYicMhZ3cUzjKugto2bSj
iTv9xBxsbgPiY2PgAtdrl7Wd8mye/gD6Spt6REB/zLNxETK6kF1Wl/Ki4E1ubaWnff8zH6sOfthj
6ABUdSa85H50wXfWSQLuX+PtHQ0kL1q58AtGgmnMJ54J7dJPdnePA5lLROklM1ixCM9GhhuGi3iF
sdeaXirbszLM1TLpdLoPQRCJlJh43Tt6zSwCClPO8feW5GZRyyvnYAzFLb42XHG6ug70lW3eI7h9
eUwjrFlSueSnSM66S9lPch+/eExuYJQYnaF7TetNzj2UqaeDuxUFgC8a/lawo7L/ZElmQFYD0IaK
NVN8IXPsKPRSopjJdTDN0KZCFx2LGnnSACRsWZfDmF9oE+CPyAuZnavnzxwBrOhyMFSRBmHMtQJX
FD3Jipfz81gvqPoa10zWOI26dRXtpa9yeIBA90S6YlAHAxH/X4TFHda+0Z/h2fIHOwOkH6YIZgsO
aetcxsf1YjyruNeaA2I5TMiehKkaPR4cAv/SSqEQMd2vXT/O7zcuWsixWp7uav6QwBmmlOnz8q0G
d9wQAtpuuT283zVp05CmBnM4xzuP8iaSUT4Tpzh0InF/fWNFIyMhMCI5J+ZshqoDehk7mMgmy8df
0GE1NFeWfR31JRzxSlInylRZ5yE9f6gJkQo36m9Cbh7ZR4+sRnteVOEpQuT9Lv44T3yYNhA97Jec
9+RWy0HwDPLJtQEAysRbs/CO6GFsZkSZjdJo7+5wwH60CQFHIsTXOY1z69y5uJxg3z/USds0yaz2
6JLSFT40ZpA7t3fD+pZPBTUt4IXTLZzV2y8o5OEGcEXKoCKlDddQ2lzB0Ykz65R0JHiGdWx46Uvr
BcO1uhLH5nG+PLlOOiJ2z3y1B7raVRSBshrofQjSkRk2H0uocYH+1nQeZg8x773JKBc6C5NkVBEB
Tzm5TmUPS9b/BC+Ae6TtxThV3xJhusb5vvDtopycgmfh0y8acpc2HTWP5IPI1oZo4m/uCdqlo9F0
6iQxqu2t4c5edMsK7rnTJ8DZ0YzVWo4gwrw07Tlb+lWHraCtEuaRg16OP41DUI/uC+YMP/nbclrt
GIzvgvRGWpZVTB6r6IhBbfeV+x/K9vB+wymB8Pvb/bQZcBQM3MMhSgPgJhj8M4LKPgJ9HGDmQVXz
EV3yfRhRTQydp4mBVbP4DvbZFy0t4PYH0HDw9O/0QtiSWVtynvrdP/6fJAwvPqXB/5Ft1VTBs0ST
ObXd0VHFxPFznhC38ssyBLj/xBMos+vhKSFuhllS+PqnDxArow4tiy0/b+mwms2Lokvftpa5zdaN
Rx+yoK808V26y4fVqaaZ9Sgc+EU3b1YfQ62jw3TOOrZ6lZTSPandu++V9jFKKW7tPcY4s5lurANt
ME3zEoopbpu1nqFomAcA+VnbXhiXv5Jg6lhWwPhz/nIu/ja1CIsSC2XmmOh8lDdSQjwrXCSQwzhX
Dsfav+go9G+oAOxyxBC/S8tAR9FlmQkHJAa5P0bUZzrz0GAa7i8/6cvN9CC4iFC3ZKmHDXgs0bIl
pFe+jaSjTF0YqaRLFqYLPNxWsLVqWAO+s6je99ZxGEmi/pS8A875mmiEtXZkq/7l1kmNkV74Jbab
4toz5t0Dg524yNwrl6K8VcdXU8tf/kYfX2ymcnAHoeqgprt73D6UVAxhXpstwfJ0CyrI0/PVY8ZU
sQWkIZI35K2DybXNpST8PtrLgq/NsBxHYfwwXoo+0EIW1gxUllq6PJKzf8+KYbuRrOdJtC1mN8Kh
GQzjozRKpBi1gI22blxMT4ymFSFkSRTtq5AIESb0cCbMiUqNBEEaFLzx5TsmQEYVQhCnBX4S+WSX
U5fj1g8BzpAShleAxgyzbLr/aauwrvHWZGN0LoqFkuSgbI9k4IasuCcqw76HN8h45Cbapez9k56D
KkUzHBy/XDLEqO3qKlJkN1g2DxXOkrtGKp77AAEU3RxckqyEvUWBKMUbrIcEJASemUpEaCasd6+F
YFneQ1TUJz/wUuBQBwNzC7+/0wG/KBdYnztYOcem0XrX58+O0jMghuaDrS8BTtlzhVtWebh5OGk5
sDTDVqsIMsxjn0SfxlmVjDCPF5vxBNgQvetJb1yVTebEC1eXUGeRBvJnIDWKp3Ab/yQbuTCS+Q42
vqgBb1GYDz7jRvLfIfbyMPwlfyVIT2Pfw68zfg+CNQZgSV3PGa4TW3jDhSpYrbjfBICbqtBiyfuK
Rlw4xJPXnpNJCYqIttlWo9sMALqno7NYtgBl7WcTX3o3++1XrLIyNdkITdvy2Dx9cEC44AehvJOf
KAjy72dZhCrUqni3cv5KNF1XAa+n3ADhs+0ITelywFDJpVv9LXhSS+a14cHk+FVNcHN/gXq13q5I
5MwwryTDoZr/2Sj2OjxmC3fmnUy/ER1DGvTt7tAs74WUxa0FESwD4QowAiwtdMGxs3HsQRXgG5Cx
fTHs5uZNu/ZL76yT8jiFxp4ckK8NSIthppezRpAn7VNq8ws6BY9jWKuKwwklWJZQXAlyZcobcXKU
ZdGVf8u+5QFHtNFlTUVNVuhk25hy2yYAi/E5pAPb4LJtrlEIYKNrPS2qpjy+lQ9wfsusdDCPdlwU
H6pLGN7cAMUeo3widQ5DyuqdeAlJ3Vq8ZeCE+Sz9xZa/PalSJhhPmkroKZfzIWIaOGRgvZdVRzFm
oDIkefbS1n2YiBaevzqJGzaFl0BuyANXtg9aavk7X/nkBeqTEJ1q7IwKPHsWAsUJQfSwQ021ldB5
wC08apiUuEp2HYbPqU+hyUn221QXhIJ+M+sSg/PrKxnk1P4Bm04wzudMFAg7JuqW/vnMtAQtWLIX
/0Pk3RbTSGAvOBgw6iujAgWeCi2EDRHHZTE62gHFR/gdEGj5wySSe5XIOJs6rOm40kPOlIJw3WVn
SvIb4VIFR4rJapOWeK16PCUV+TeIWPK+X0JWyxEq+7VgvaMH3NbfXqom4tIW9j7w4GpCGeZQ3/+Q
NtnZZCVlHZQBBJfeDpvg5PUIugiwCjLgk6Kl5+rNDsvnb0uwNE8HPxDQga8dTShg5GAnQpCLcYxN
EXcpM49TJWpaEKhQFzXgRYcrKdpLSZCfSp99gcoEXGqmAEK9l3g9tVDlg0QGupAhoa79aIoJzv6i
mAsqXgXd3gU8Qbbrlq5Wj/3QDo2bQArwiCrd8anc/4nAyqhPT0y5RN8bA3y41o0C0dj/TpwTOaYd
LEPsOAHjEuoJ/0vz4n8UmtuSONmGukL7l6gw+3PKGEMMol9KMTTaYrER/QAw6PlgcLVQ20zQ2hb7
X/aH5014ovILMxE/055PX+RDyra9pLbwinh2rY8uH66ZanwnK/ORRfmQ79haaBvo58nEXNOJgk9/
31QGp4TbOfnXPEDcaGSlZZK01b5mXcgQTM3csDXAUSmDNhPRYLfMSMEegOqXY6trGkj+bzcLMyFk
QyjUGb6zlXfk9/kmIflu7W0cbtiS8UVKvchPK9wsFull+TrS/MW0rMsLli2GKbgyVBgMSB+glfL0
/YjLA2xHO234Qhs2w5YyeV634vGPws1cxYKnY6lDsh0rnrUtQO7cYd8QdOZgPQdOworz338vGCgc
Rnl6XvDoxV8YMH9Z08VhZdvmaqO6q4Ewoi+Usa3rvPO2jMtZ9ukdXtEl3Vvx8kTbeeoSdzdXluZj
HfbSwPbTty382BBaEGH5FD4KjbPe4LUlVoIsrqCHnC0kyVSsS7k0Tb7TeAr3MtShcEaejfHw/927
01tdo+ua0TdK8zomQeFAVSa2hVnvKNybExL2Cfja4B6WoxXTOvFUX1WUh63tjmDa1Q5P0jOZ1pvU
Q9Igsxpq+QuWuUJl4/7csqTRKK9y3AJlRMvc6EY1SKjtISkfVAsZc23OtHG4C8wU3oQFBCxzDNyq
8LUV/suANdNaUDw690kE6dDc8KU+83qTgSq4UQQb6/zOm/xaG76jT5uMqaNfZ0mUxVGXnnm3YvY9
wNCgbacI4HtBzInFyJJFI9tUubucn3rauVxuSto8/Gzncr7q0j8lwZhAJr0QPhrLwUBdUByAuypC
a/0ydjgItLn6xKsBXYDWsQ3xLAjK28ZEkFJgNxrussWeMT+41rLZnZ7LA5dwaojIt647KGCxtZos
jmA6VwdyhB6Mj3jUiweV+mxOqboVbXO94GJJ6T/IjRyVgj433BrXisd8ux6P2GSOyNEzPCaRZWjv
KGpZi0nKAe25yFaEwsmLAg0deouUZ05GgZfxjyBDXhAqoa3t6TGuXM2jApMxnB0EbCqgf5Hm52WX
DbQ5m5xupzL0JZUcv/NGMXGcbW6AgFB2O6zk0T/JHc/UcD45TyyyFOXceuJruIYVLNYlZZfFKFuj
0NX7MR92STodmWA4e9IKnD7g/IKnxsL8v4DR1u9cmelMnM8fZ08Qk9RUmLEpE5/I4cIMzjiMG/4t
RYTE9nJFZvuUdR2XGeVEOXVlwQexnsxWTimKKvJsw7HAdjsEA0tdgzPUgQ0LUEodlz2auIeThNpb
+CzcPr/MtHOTrmtz6NLh5KsBk3bMl0Xmr13RVW6sshCDca3RCXpAn4Lvhh8uboseuucrHdnw7Cd5
nZgwUiHHwKouN8SnaJ6z+XtKoXSxxN9wV+2P1bBSqAU7KMlKEQT6xVNr8tJTy5G673otB6JPaJ/a
IpzPMGBnIa8+gth8Gt4ECVmt02MK2nkPprPRqqdJ1umpLRHW5ct04k4F84FJyGj4OY6vVtwg3OFP
vdji6ah6AAKUuz97CXQPJTEIpNfXmhO6M8bHwEn7yhu5eQm+nPHn4KVOLtN0lI/48KBlVpkiEyEl
f6bG/BdvYXWz9mYIAAgR1eWJeOnXYNsBqNtfKYxYFus1TjpndBJBIJT+Sw8Qw7pHWvVCSs4EaUJ/
f7aEZppNhurwVoMr72GGKj+2MjZsxDXzwZNbkMG1mOrCJR0SXTGKFfVdS3pUAaHmYYbtAB/Y3a5Q
JiFXOZeLF4WViZP4BEoZnuEB9UORHpHJAnrTexRRJr4hTfU/oKRSN+CGGgNN2PFJFJmgFYSgwJ8e
LCdULckXZjbC+HV0OeHC0f12iiUyqXKLekDVEWpwws5l6CNrZddF188e4rLLJZES3zfytzBQKz9L
d/CtSAj1LsSusB5Z6QuYq3BV62ms/bIeMGTjk9AL7kn//FpxyEJkSLQhli91s45KUcbwoWsvmvD+
wxc/yJFIBhNgLbCB5NysN1+soAmrxfEVngwQkd13d6BORSv4YhyiFNfMiy9KyLXYWHCOOuEw2n3l
s66u332lVQKTLr+J0ykmW9HyY+ehrJfvyuZplmvY/xfrs9ibys6K01APFFZarW9vZDi/BxTKrAyg
j3Mwa+RUvzzIqKjOprCUwAqVUMUEDX7B1zgSI2Ii8QbniuX5JnXp8sIAfC+K2CW5I7IgLSmPQeSl
+j01a937vfEUbD3Ch4xDHbcjTSLrVsniSdmqxhL6wwJ10bpNE+pEt0ehVfIjn/Flup3hz3UmtKCI
36NCjz+7ZiQK/pTi2e/KJ6e8B+fxKQs+w0bEz4VE1JwaiYa1OEHf3leD6685bf+AjfjmwNCAjvmP
8FAhOdt9HFMSZQGw2I36/fdSnKVNI+B7RGpM5GCUbAGN/1whOatinEN/hIvK/EwiCOS9Yo/mWjGc
lqTdpvOoou7IVRBzCWH2ZWsDMv5Yw4A6TzzJPVulCszIeelUUpWdcpOtEtHw+4lbAcNGpWdx69FC
LuUBi0ZI1B3tNMVNFYS3IEDOwLrg32+cK0U3G2cFv1eyMy3YbDyrcZT42bj/pEHppHSm47xvnlDl
Z3WVK0YsilPxUyMY0QP0myjV+PYqjLe/iJIagh+agE/FQNPGDWOW68/DOCDb7tfKqWB8IHOhgWPQ
bes4sQesHHqWiOVARKOkwQdX1CoARRBPHb0mJndvCYIxdXGsysawZkxbXdux46ORrBwdQM8/YzVz
rw5j9qiSvJnf1jaZLRrEZSIdMA3f0Q4Tck11qYWZOflRV6zgePJ9o1vQupNUmVsfMlfurZeo1WuP
4psr1Jls5FMuP7r1iCdsHt9ZRSn8hHT+KajpiPtFr87kS8CXxhC53rNhHn2X1TM9DEZkePkm3MLO
7KT+L3ZkqnF8SlFcJHqAEdsjPrrdecvtf68WE2EfzLFPEb7KYkLKw2Ul9+7ujcPna1yfmZ6+q3yj
a+hTlxoxS5nYT0E8CTh11nxbzNGI9ZqP9GvGXllpQ4kLwCV4pGSezJAqmQ2cIo9OSZsybRL3+hZY
S+UMoJpoPj3YY3eA/KqpOLr2xUkYuYSQkN8D079Ttj860b0lhUdJgW0R/u4QPF96l1d4O9NcugGC
9aIcFDxiGMlgYPuTz02tmD295xc9RBIH49sk/5hu9R0H50e1Ox3vwzfGrwdi/Y9mCFiERy9+mNgP
oCiJn35jfsqm3MB1elDlT6qK3cDb7vhkQcV0BCFwy6HgJ73Aq6Yfo3xiCoVIrL5PM2gZsiC7Rkur
UM7CeAPn/c/rBlUs+NdeUVG/xyBwpr7oLFsMmLt2XK5TYe1pWRgwQR8gYfEEgqeQWl08grfwlG2e
mQoXuDcyZp7Jp+qGJgzn3mZ9vSbYrEWUxn4VjVru2qZHqoRK8C8VEABKzvqQtV7/0QUidGtFr5J/
kyx1PGLI2aN6LLwDEIVFN5gh/3ej3duHjv4m/4VaW+iL01PSLw707OXRllKRki2EPY3Rb4EFMDwG
gI0/ch1k2+wEjEvpS2c05Uv3b5lwDKiVQYe2xyc4Xj+8fLctTUaPIyuc6LeYeBTpVhtdAZ6gKBdG
qlFq4iEScRaFyBLewDQ+jjeRAopF+Z9DQfAC2OrEvfPNA/O71A5hv7SdQhRGpaNgydGkbKcCvZiK
aBu+AJAKSw1Ja0jB5GevVxzDRFVA4AoesO560wJ7fEK7CAzmA2oCguTR0EKzCO2I/m+QbFCWu7Bq
ifGbDar6J8CwXDQEdXMFe5AlAg+HtNA7ua3vupjI9kmroLd1reTcudLpwLhX6waavM+AX9FL9nDj
WQK7cDZfy6UMuKin23y94Jsq0Xfe+MrR5gGgVG2cysNmin1yD73HronCUVgwYOA8CwzhZl2+c2w6
kvGHR4gt6tvu3NnOv031/N0nxYCI8Jw+2ayYXQS82dGB96db9LcK5vQ4AuqVNh/dSlodL6bC6Cb8
UnInSAbkcplJ/JDKh1U/SbkglJsVRLUXdIeYOld4zmPlpOxqYhK910A8bziUx/okqYf1nZ77JPFZ
ghWcOqi8MV8de9hsMsWEL/4HddQsBKSnFT4L6vnZyQPuapbUQSwNsud0hrPPmMcOcvCoimlG5Rc8
OA4e5uMP6Bdge5jkQcQ/MiJ+PCPHd34zGiZ1qeazgE4F2DKbCO2KUR4RIi4uG3P3upW+IuYhZrKP
tr+4uZUpaKpGAINgLy4RaphkRWTdp+cf7QNoUpSHsmCspXQ0dF8cagVDL9ArUIDBd/2zoOXre2lL
L2MM9M2sjsFBkutUXsNYewgMkya+9fFpERLH9pn9OBpD3wBPURXNiUr8OleBsnBVslLO5Ez1hdFI
/hZKC+dOQhboEBmByJm4Xb81cJOCVDmQWJiMjc44/JNHeFYbnBwv9AuCFW4hu6ac0uqNyYmbS4sv
A0oaQ+mdNzf9T0chJ8/J+FAq1CFVlL3WueeFbUnsLfnrll7hx8lsxY5383Gnt/5+NGUZO+wKxoMq
bGX7N2uK21RTNEmw0B4o/AtbAPd5DOE4zSkYYWLtGNbChsURt7bzLUx0e0mo1plEvXlg/QP6pHFo
5xYnJbWber0zf/Ujl0M7UyQlUnlY2qrOkvE8lnXJYEwrOb82P19EdfZLShuq6Lkb+7CiIfAdTKGK
zaNbOtEa1QOWcyaVV/wDs1iA/4DZ5D1OT5xmWFg0Zvq7QGHMawVlc89VCyLSsx/bQwrN1CikWwjc
LaDwZwmpl1am2qhjLaLHEErvBE60eCV//79zL64X+mosZS4O7ygLHiqvLnjKGQKrhudBxTeUn0m5
qH9GrOuU6jfssZz4jrGz40GAdXAy3NPuICWm+msCzeyk8sb+US97NfEZSQn7Mr5dgbTV8Y2pzRd9
tfhM9dCldAK3OVJVHTazvZk5y8/bSSsfy7vAhJrVj2GI6BWR+FGldcPgNrKR5hWyZpIakByrpe7h
wxiy9BXpx6rHnc0CzQqvP6JZ3NmKKG3z1IJkrCnh78QGaVxzeIAEDEAEpZrjO1TMoEnXREJc2EJQ
b6yBnXJQ5j8p3uS2AfpoQtCGCxG0lIWf2nRnsYdAP90jPnCYplkMh00H7Ygq1FpqKA47iBxZ5HsI
CmKTRVmCzE8G7OR04dbATWLeIlJjV567TYMvPyzeIxWjCuuGGY+/FDcaWk4yV9XhEsGX36lL57cP
YiRrmARxmMUQxs1r5jPlAabd/+aUOXqfQHQJtsmNBzl15dFF/SdJcX5fZ6TYk+JIxebFndkrI50n
X7B3ORZ0bnwASXiPNVWeVR5Eh354j2N6l0Oz6eT/fo1iGEJBhBLg0AQKHsoZ/pX2voHibvadZLbm
irllDtrBT2IdSDqTbvZm692f5c2EX1Rhg6N1GQvA9XkS1Qx8//qngfLyyk1FVvQOWFChm4JTRS1j
6qL6nT3VacMHkwvYm37WbHHJ1rGbz/KN2d6QUXgOlQkSq56BLUeteAgLG9k5kag7kp24H5YfuwM8
2/SP0fdBzbsS+2bw1yx87rCpQXkS2i64HyTlEtSq5QbrqBDlHZAAb+SJBVjNUdn9mRiVUvySiNy+
mBUuPCh3O28357N0X23X1KpRrOkTLmRSyE52QZWUS7VkkFsmnvjOqTIEsNYMZ5fubXSLpH4uYSNC
qAz4Cq4Evdojj2fi1dBxBLd2pdKz/8y9DAWRT1kzDAklvyc6gDl81SuqyhubKAYtJNYKXKRohJus
4AD4/Q+VsnND/7RuJ5oRgAXCRHiL5/fJx5w2uBHPa8XLt0S/E0eAmhmkabk3dF61sAn2Xjglx1l0
600eRx62NRSWLEbQ2dO8Z5h55KkJV+2B5zLXFXzfhifU0+7xF6hkEAuJE2vipCU6uPR8I8nTmDGr
P01Ec1ldj/n5f0596FKSSbSOn2mUvLGq4GKCNatFrBNDBIIDoVeAi3UW6G+CZE6H3QNhVaIYlnZx
WqNJd2SFsEcR9el7H3stP3DIRg0vnZDS3p4VEij9RxU7AdPaRlC63886xSFJF4MRRxc5ZiLOoOCW
SPDl7YjWTKNWVtEVUQswYN4JqZuc0KYpehlVu98WDzLpwokAdJaPco+bQaPSh3sBjA+yoS/2+2kF
IzQTMY6h0L+CFPIv7qk6p7pLsG4CDLaQ9SlgMDCPT+H+8Nvw5UA958hMoPJS6JF4xR3aAC9oAMu8
jW4kz6bpy2fTxSikxrQvrrAmQE1jLcP9YWa8y8DgAywnFBkNZdyDO4AUTSCFSE/Ga4kOAt5M0frF
KiaLS5Z+WPLGrgVlxiO6nYLHx7t6TNJkBTdmL7HJud+yOyFXwQ8/CNmxITk4DttoNwL2SJxjQ9kZ
SHRc5FRmSmT9JgYH+2D7iuVt3nHPqpK038Oi+eu0SOcbbgJwf74yHUMBUveZ/8llRnMygoZPb8hx
fGMMX/KTmNBk1L1eibJV3Xy0Wm1ychajT7lssLjK/g9EQasPArc2JAV/63OBqYLvA/aLz3jQ37mJ
tKbnEr50EGC347BkX+hse4g//hE8+6887W3hHLUYVGcX3lDmHd9qAcswclsEn7rumQaUQWBZFKBl
uAklGCr8fMeJ+/GgiGRuo6m71oMabGasCC7mrKT+3XfSVtnEQzNWgebhyHtI8XdRmoxcGBWMXiQD
slQB4TTzWoAMuOU68QcNKzlBHMJ5qPtEIyscT6r9wlQqstVYCWbWKQWSBXbWp0KDnZXVXTuVaQB1
J3eUGfcFKKNcWHSKqiP962s/Eu8bhs2x++2zHxXDrdc2a7ClBU/MftdsWK396Tnnkj15p+vLQOeO
OfWJ2KhHR7lTzWG9+SEnaFT5Ko5pOcXIHPUGBInNoIWoiCPGcP4DPcmkQVnRQIjKlqe5PndjHHZx
RGsDpHwQBsQn21ktdDqatWtH7SNaKUuFNDSUlqRL0CNJK8RIoGH9J1dEYNUM8S9pASmNzk8g1+Hm
rKOzz8Y4xb6W0nShJvmhmMLLWwOEQ2G0W2qJM1Kogv1AeISVclyWV2qokJuGbXHbl+cJNgLfhIg0
O2/jv8kyH7mvJD8nzq5O0/A+iYbvrQMKjLGjiu7La9/Mw9SikjrAbbzOws/X8BXvXc2Fyjf/Xbpi
DzLmp87q4WSkAECWeLcEQkViWofVPJBJpnGfSFtjIRFUygR3G+laxM8a/H94+nxwBAIarojYPB/h
zPFa2xYugoJX+5uEOaVKxDmVaGMNG0IPTwMW143PEw+Z+jCQFD82iU72IFQwQuRM7L/ik8ch2DfJ
vlvvAi8olcYz84ipE3/gRLDtmcDEN+WWvL+KyQMRJIxWdvn1z9OdyoQPMLeuk8PDUsxkaECQaDeL
xgXg5UkLtgfOpDUbFcLArlNuR1o8P7+mpNXOZJReASFsiq419cAOfUC9L3s8cSKynpClJddKa+5S
q/o9paK7eIgG2dx+Xa6k66vg9KHCUUzwp3rZN/0CGfOJudj4ZVCkexP96xrHIQsYFeIA9gaWip7k
EhwY9DRwqIVCnossrun1RoBMMh9PHmYB66n1UK01nQhQHcQm/71FpzOA80FtvzmHCSjHMsNHBVkB
DLYhyaIwBeX8Et1mljrpzX8bMWWgIcjI/RyjsAVpy6/zyAcctGyPK4Omin4hjCcQqiuFqV252fmm
9qGXoZxjbRsDj6RiHBAW4bKa1+qIfcajvysd5tNuHK6cJCrr2Jhs+lFXdovIByr6ftQidfrvcn2I
cG/IXpB0owQk2JyJVJYXL263e/w5va8jnMJmye4IDO3Ay8klqgNWS+JOr52uJC+GGIMcDin1xmhP
wbCdM4TIRvuXk1yRCXf6+SuKZaoz1ZIFT6rCRWYEikJrp9f4edEFwitAVnNgA/FHwOqx82AjdkNk
pEwYcSxDGIuamXUZKWnoFRf+CVX5dBRlNuvdRE1fPKJ/V6RwOIT2EWjcKPcRNLYd7kpfgI3dPLrE
bkcbGpIJTCedPdg1ubG+hCTEzP/4DhwUJIM4kxirMa/AJj1aG3W9oxxCMeGRW7jRRlNdpRVg0+ls
Mh62CuraBx0ANuKF4T55NE3P4kPO0cfzskPChfOgaqEbR/R/gE+Jwd9xOL2jCIgI+y7QnhDvvSLs
Deb7+UdnUyevBfyHxH3LPUDOKbw6d1q7+MlSodo49S9zYluRPYUmqWYrMGvzVTz3Hc7BDCByYn9x
r5WL4iMarB1cwBiPO8+tzgXbmi5H7XH2Mkwv/lPQgqycbfQAeLFnngXuuOJLc/goTgcYpS5y5i2d
s0cyEIMW1RtiNlytkAOqYpcbgtmbIcpG3vUyYCncW7UNOXGa5ILo369qi39ZfsNd4QcCPiOHAPPX
XGN4lceCPHOo5hSu3iUfF9zgrSOJ4xCmL0oZBAgrxwUSzInHootASN+0NZ5P0U8N4ZDsyPt4/cfZ
cwbSkD3mJghRD/UCVeyRSB4CdXctXn2ttxg6wtFtbo0KBL+EhTyN/G0Lo49UuGOGaBZsNu5fvU1m
4ipiikSZZeFJ5uGp1Op+3gimU0i2asZ02+AUlhe0kb6VVK2k9z5oE5KlIObDMOHRcIIq+FArLit4
wOg/V8dHvbNJhiLQOiSQL85qBwKh32qI/r8jEg1xAIF845HxTKTeT+jA/ZGKQsF8L64Dfxern45G
i46EHcmykQQ+DHo1C1OPn0u3dDbjlhCp6cNSEJM4dSxjLRCWMEarouisH3taL+MGHxyye9DCYvYz
+9zS4NqeeB5oStvROlvx7k6cBTAGrSgPXGPEsploFLScYPLEbQfHwth3j2k0hXTpTth2E13r8os9
gBZ3bqk0SEN2N0EUi6+kBijAsXGJhGMdrxLTwmDHKhrXMb1MnPmNHhOWql9oFQyb9W1KgvorUdMx
ieES4IwQq9nCCahUoZgP6kd+ahsvzYreEODybuxkw4p9kerzp8sXvs2WWZki57Jl6xtzoROC/HXo
k5bG4f3V2lf65JGJp2jS43k6jR7opX5m2X7FSwTfLmAgt353VoNtT+ycWyt11aFFe3O0KwnWokb8
61TXtI23h+5oTqXy43kwt40u03FWrSw/AmoAKvpYXsjHS+H3hU49f+79yXcExPMf6HyfRE0xGdWi
OcWkiPK5O4iD9G51V/nql0VE7FMYIgTbCXKsNk8CvCvATK+7PCbnymMAaRoyKIkLpIajOYub4Jae
4MUIVNtlUifbR58bq5o9Vp/nPoar+5ChkxVW5XUgVALKJo6MUkCLiWHVQGiin4tGSIP5btYjcJqY
w0KRmfWv3rlqmZpeKUv7v4mYKd/1nljPZLjnXqa2A8aa/sfnPmbWmZuNe7iDildUGR6WzRn7lB90
ifVaf3Iq1NSZlRJ/MW7MhNhF4Iwz1Wr0om2z1ojkMhY82GeckMFe6G6zpOw7lcgS0O/j0Ic/v22k
oCIg0emNFdmnE7DS4P0UBDvhLn9v/1Kwo7PchYa6ZlAlmOf1k0TApnKQbJK2rEaUfWXmO3Ogjdbp
hXK69Fu7aEL+OB0b8NjPjQoORgu5v+bdPXCQ1wWsbphTjH+oxv697+Vr+1aCpXhsz4Hjvp8fG+gI
Q8yUEDCgZJ75x+NvZ3a9PePwk6ZxI9xumYMnVff2NhfbqMBLaqq/HdL/SviQQ+REHm9H3ifObbjU
M+5OpCbl31T/2SIvfaUnQOmJTannqHSWS0QF9fkuuLoL7xM0kZoxa+wQFa5OgfC9UiLsujraGq2X
LEvY/nn66Pak+S9mFbpM+YjiIV9g9eni1witfpGrQ4U94DnC7ZkM2lQ8ah2Ut5XVf5DorQfErdN3
hlBWwZPcVT3bYxkN+3qWL67YUj87beBAzZ+rDQarLpJHP7jGRiYmGeVybC8Zl5otkeuzw78rIsMz
wmVnoBPs9LDvx+pUytDq5zyvDXjfzZd2BBPUT7TstG/DgHS8YbOoza4wgJYSDenbIULUOwDGEq/f
AaqKN/eu9f1gA4xohuRyYxAe8jARzrqJkOSz7zmyWqcOAI7XIh6nC9eqsz2wn7MgTze4VBykV5qG
vylmJRy4UOOJCsCmkP2T5CIf4PXFFySeHdnNJOAhQQ/amxFTTDdq9W5AiteHJwQyUHnrXE+mqOxs
fDJ/WKNNMJEfofAPQZPubLU4s6x6d4UwmVfSVJBITx9ETn1nQLUgthu14mWA6DzAnCJslmmV96Yl
tk3DzpsgmzR1zHmy+hy/m7smSaYGNR6KKsFZetlgx5yIgsI2XfpdJajRFGWiZyQYjNTUmvQPgGrt
xgP6gIz3VWcPfovJw76KsQ1CJ0j/K0mkRt+d+ODYFltcce3bs824sK53CXNX6wFaicsgSjkF2jN4
DsX4IgcCkHJXd0CBgQ9Oakv9l070hQ+rXyaRdiyfizH/oJ0ADU2eGffMEb24c74l8IMM0i1AAmgX
IYvsDa826DOz9b8CKt/7cLvsEFbGt2KZkMiT9bGE5Kb66ZBF2nZt119Q9hVQjC2PzNSSWgOfIWPL
lCib7GR4z4EsWuIht8PS8erlAHeAU3i6oL07ahDV1APgsSS7OSOEQcwcMVXm4p044O70z0nrBT5H
Rj+PKrtghINIJf3ZrW4XQ2ODD8xLoz0B4DWaQzrTGPRH8n/oAStt9v3tv6B8dACi2b/u8QIPHsA3
scPgN3hmfHhQmjlMhg4mp4thCyhx1YY8woyE0ijZVwGeiXg1/89FrIngTcALiOiPNP6Jlak2AbaP
0su+guiavq4+bSIo0tW0WD5PpgBZ716bPpMZRytSfLR6Y2HzW4jtApc2a1Rfen5RhSjd44AZCFyz
zxpf4dl/5MPyXZ/Ni0KHUnbN7MAq9w8Yo+TF2PIEnaJb/kR5b7jLM2N8XekjfjEGWXlKLFbn4Dl/
juwhGhjx0a3VrkUoCt11Vef+aRbGYJehNhdvmRzshrzwnBpK87qQJSznYje5wvSLZtbpmnIT76ID
kpkYd2G0eZlsJYkwsSwNm1+9iNp3DGGBQnfl9kx+LDb4sjjalElPPLg1U5RMu7RwdjdISCBF8EHf
4yKxVNYGLTcpOYbcewrUAbSDbKQzGtQjCgErM0uDvYkgUCQuOZQVjrZDYYvdVH5gy3sPWPQvxuP5
fwxoWU1eqIkei8LORBmb398wjaK6C0ux/HjyW2YHBv0kKJyuNRP/rv2BCwDg0WILMz8woKTFvvN8
h39zf4U3rg0xPa+qf7oH9LSFSNV1bhZ0FUjObhP+ndv4iSvPjmEW+McaohUlAi3YSc+S8Far0ML7
pmly7lIlKfOQnpJF2Q3IZOMMR7Bej60I0ANuUvLssfTn22dbK8mCpalzxCwPsmbGtYwbbF4jW2ef
AuPms1GH0D/GgYq6v2dUTOW6a9wH11OL9yX9tEUAechIVdM5QH35/OZSDfI6izyXpwI6IegX6OxS
iXo/MS3YpXPggFbpFC/AuONdWgeYf8YU7tq+jG6xgSwDqKE/DM/MpjVNjrs1eVafIAabf6zuLVd2
R70TXFGmn91Zo4DNB7XMp8Zr86bvK45EeQmNQvvKX4+Ro0gJ9Q8CcpkXdYQ5DwmWyDWToZAuM27K
Yp4PhVW7LZhIw0XSVJtE+AsJAV/2HjtlvY8HPYdwdUiuqZ4Lhjs/eSbr6omi9E4tPV8N74RKKAap
VlcRM4Z9Z0WqNVbp6W41uuwxi3I0lewYzGm1czKO92zBiKx20dWoVfeeo8nLhlJOgwKGtnLUxt6a
I77pY+Ao2JK59OrBt+NnjAtGR8y4dXfh8uWcD59ttye+mZyDnCWXi9o2+wQMG4akFvCMKoTXM01I
eCrfRko5FvArLCKUlu/gVF2RzJux1BxrhyuH+f+Ys4rL5lwy2HW1wtODNUTtGU+z9sxrdYsiUzTr
BJRRiW2srVAJ8meDYxFTbmUiwnC+nNsy9lab3B08tyjc0yiXxch9PmMMu/tQIj0SiSJTCrZzugBb
8o5Ahd7r7Q+5ZRyyF0fyzQE2PGaLfv5QE8zP2hu3ISP1hFJXvPFHI0Gd9k8zaISaN8mG4VVL7gZt
l2CTX2jp+PMp67B/Nd49kvNN3h/ophDLDUyGClFjpjLHvfcvkGnw0fBIqfIllNkjQlhxGiDffUO4
Z9QOrBERQgVTicyeytzZwym+lzWUmJWu+ijoxFcIiskacONlEtgwoHQrFLMmD/2HKcE5wtxiFyC9
B9S0USNvKnEct0XRvLl6/LWfILnZs7RcPekfIox5wmPvLGDrdUt4k2jBZxR20rYT8vah0ubpjWZR
Xq4ZErEhHLGY1M+80Pj/QoPws0MbaxEK7BWu18sgKQOojzyapTNkfEYljMaCJLcoBFRi7kRzc2+7
TrYQNGrHfX+q6gwL2vWZuWTO0tl6YBNgwCL4Jly/XmbekmoYyk6NqPUilZeF1ns7RDiMZ78SG/7q
w26dgPaTOaqqYfSDm4XkUJFM0ZW782Lwv9BNHCKe2wmrvCW/Xfn5z2gl7Ls2agxvPyyndgI7blx/
+6jmvQpzvskTNHOFmtNQ/JfUuV+kxi6EQBPq7ZM1SA+5L0C5o1JdFoW8M9s6fiu1TroV4xibx8hw
0Ue9zg+0fwTj7kHHfBmJ0v2X9i5A6N33fJK96/q34jzByvKZZU4S+CSB1scnzIfR3KM46k5/8gw7
zF+krUfD3IUBnTybQvgP08fS02hdW/TSyfzTo99uJBboYIVrwKDrbi/ls3rvzwUW9UI+BRqQJPF8
tiKBmKFjq4fLyywqGAH7bS4E0z65x5JBaXVhC8O811I7NaIu88JuedvWZ9UqFSNGo6MRmE7I1Qnf
O6KwiQP+uOoBqz6HXaNHJNh2j4SiruMsl8HzxrFDlRluFtsoeHtlhMxXRbLqnk5n5mwl4fxbenvW
kc8zmITEKUU59AVapAGjovnRVAy2+uDEXvw9iKevj32mB852R2i8CosXT9J+AyalI5f6QqMflhlT
5/4dRKPHJF4VvqZMHLLTq7Ecr/aBNRIOdX4A55Ziao/9X9OKr/sK9aiHYWg3KHoB64wKq27v7GqK
1OyXSvmxu0fUZTTaQGJXkxVU4q9Nru47WCPDlwzDITseOJkaRsU8h7yZrOZt6ibab9tgINpNjvFy
9WwEosHQOL/ZKcmjbkNzA9HO7R4/e47UNLp2gR8wWz7wneovMYhFvYDOPTWJkrvOHBbgmH5gL8Wu
nsa7XopaBw3Xcqif/S8Z4oDgDfjgpBu5uo5+bfIP5kVqZEY3rAQGpj8ft9GkQHRr4ampBQwY/p2x
67KA2QJhntfja3HueP0ZnGW2sLx/xHLfMiH1e+JH7T19VDVic6+/cnSTyR3+6lIE02QCjvLZO5Dm
YCqcoeLvjXP2d/oJsg9gmA5tNYzWlZqiXABzjR/hpErNG8EVE+53PmodCNlv6UGdYtiNuDgrLpjc
skNWYl4bj625ny2bKoUvUYVya3OZn1Tzkx4u7JB8MYSRgDx9ciEy8XTL+gqkFA4UsD4yOyF9giyi
f7T4kv/w8aNRCIVXCZAGGNtoJhaoiQIpOw1uP2JheNoyPSF5GKaAEGdgkdULxkdxY0mFXl2p+8pe
y7CuIg8UwKotelXYLTDXYaGRmoRtpZv5es/7bPlhLe4ItvvEKfN+oyEl/5IzKT6tMpfkQy7DR7OJ
7pkuoDhlN712Jya9sQW/S0A1+OxrBydsQBMoQyNSLKqUkwfTG6ipfx/Wh1uDtDJ6zd7C0J7WEeiE
HThDbC1/pX2WMNCMNhfNOvmTA4SzoopHtXKTwpwqVcOuJ3uHxxBmKgzq/bBdfSQ9N0oNqgXcSdl/
nad4J8glgWAHpwpsCqYXZT9RDH2nmUoXFa1v2kjR6DgUM6IxRcZojO8VyhQ1p5Qj5xa/REd4LNAT
bCF0yewIYZKsATh7c27jrjZGO8Aw+fCfVHReIT5Fff3GeRQM7RtJGYBU53RVuaDFPfHnl9SmOto7
zrApfwjzg1Xb45RX/TZ0XOww/GUeN1pClizKoieSM1LjKBL1NERh3Fpyjhj5p3UM+iOrLay01SPP
0iVHBeNo3XcfA3ZcJCgp8E6r+nwzdDDMucWqq/eXsoWwfe0pVor324F2ORlpi6HS2Ovbsub0D4a1
YvbD7RDlXjw/n1ciE3fh14ZgtmJBHpjm72G4yKyVt55lUM2HmRG9HnH0GP2p7PyhW9I/PM/4nqjb
cNqgCwOMNXQKLSNAMPWpk664s+nPsOcmgw8toQzHh4/mM2sPGZjvw/NYeglTpAjSlBFMl3+kC7VL
gKrGb5flYUOBIdT52zBnnJnkh21XIc/iib5q3MpY/R+kk4yzca2guhUfkMoqLUhVzeCnc2ED3kJ1
AGDs5LBVEFpxRN4WNB8sCZ7fBNF445Z3Gr/jyiGSA/3uibAQF8vokPPKXQgSrOrQvAERueJlPK+C
vrz0pPg55xQyuhCEG+62XvGgpgNe3SdrVHZYKdsSiLYuvX9kNtySTwfh4/SWq/QbHyq0S3kDmMI5
H9NLBeftoBlXu2s5uVt77R0G7Fk5CklwvilNYa9FRFu9Q7ncjlBR0IcZy3AowD75cCuj7svRuiDk
0P2Z3kIv+UlUiJmdSFl9NMiirK4wY85EgcR2JijaqJe9Fq2FdruNiWXfm9CLvHIfkyrmlvoLtJWY
Fmq4Lg6YO5HvxSTZ0KqOYPtLX5LQeydVF9m1zJnyeSiMm2EhYPxOGN2YNcC7sKtOslxjyEWI+nGc
hBqJ1FAR96SYjtScclz0zuCNYY2lfLKpNeKbQMrYviNgv5UpWaOJoz7qEv3p8Ly/8Ae/qwPwNSi+
w4K3ITJbi0Vq+zdHWwCTrNCqWqZnpLPHHJ6+3aqH9I56dlFCEkzijLRbJPBbr92etvRQozb7tjZ5
OugpPuzwzgoUxyQLIZJrAnni2IdnIE1eH/qcpcjQJ8epHByTszXKTMpcWxQfvHfgNgKO2V2RM4tI
CWTUcee00xv3JnyKaJu2Bl7hp8ANR51z0AuoGR4aV16timozug2/oXj1ga23hA5XPHnSd5jglbHz
Vm33X6jKbexd+mvr3Ecf7KedK/BjT+PLaZTSasMHMtR6tl643iC6kqvFV47YTOtBOGY/YNlz8/+J
yWJUwwyTiDED0eHrJgtUkyDoYrU4UpTU9Hh23Px1gf5Jc0CLRLhyOA/6WyE++Chyu+6NExXo3P04
nHe+aMSh8AxPJ1GRQj4uzts+HMM1vNwplLZUN8eGdrmi8P8jCWJbpY6/+WWbKayaNuvkyp+ru5oH
oc91Qd2KUG6z6bcMLpLo+pjdLtBrBsfWJYJ3JWEc9EKyCJ5vrHs1pnbC6uW/vYd1KSmhq8H2Dce5
VhDfWYuvSl9w2ytZSwQNeJ67nZ7L9ZJf7Ux2D61fLMietuDg19e62DXI3m1Qlz6STBxgBBAohRd1
I7SdQAyfvjks4Qp/yKCsdkQv0XBFnNyDERQsefOa4PgnQQFrxejf/HDJyaFz0DQc0RaAsd5wT8Sb
evalI3p9Vme6YGkbJjZOsJY7P8a0objmcBNXeuPSUdQ2piJf34ZK6ytfvnDJOpNTyY2NWl+8ahv2
JBvuDaRRqwBJI6ghF3+R4J3abbtmKahXZe09nPM1cN3FtP32GoH4Rku/JHqv80Q9V4DUA2BGYlAX
kUEOYzUQwTyqeVzOpop+yi4rwiLTC7Ox+rqQPkYN1q9ofUFT3j455TJyAk87zYCIoxvI+/+T3k1E
RUyfARCT4Do+QUV2lFKy9ZY7HPt+mRQrkAR26IhHI+y1Cd7a3vJrtU4vl/qIQ2ClfopMBY105oha
09feVNKUvV8Zjr+DUQcy4W9lIo8sr5KmEW+h7xJwsXXlYWeA71tVTwjerjdZMcJmUdt2EwSlfnhU
uoJc1hu8mkmDSSgU34hrnfig0Eci5snSlpRw2KjdGYs0AMViCtsmYrYv+Lg7kJeek2/ij4QmcENg
bRMwyAgDwzrDSW/QzTebtdNLitiERZib3vDd9VTdcFd4Vz7mCP1GjKnbNwho28k2cQWxkqPukm/m
vSrW8MbxBBWt+CRiW5T6awTtfxbiUPGFsM4gw8cKi/Ti4u0RYtghljf9YwgZwlYqBCTHaGfd6sok
WrigK+LfRAbAkw4JMftbJx55kQ0qyubpbqFiWJrVaq0YshuJa+i6SwWbUpj0v7skxTuxc4peLrpb
PukgzrnCabFNtEAD67s5QqllrLyWDTgM2MrcjCd9gn2XfauyEIKMW0pu3hfsNhaTQqPOvU75v3Q1
YP3IprSoL4xy6h8wJfeT+LVARxoJUJQ+NSmaeGG/8SG4QXem8yqC+0cr1E9e6NtRF+XgLZa34mgW
gcEU1CV8WgSWc4iKiuRmkJ2AfydblibeQrk16+JMbMIz727WIyIHztvnzmmn8ce91yIaCigb7UTI
GBOozmFYVf+iqz0/0bX0f3dI3EZGg9oKKIHoM48ff67CT957YEX0QSZszRYktEkgftdnYcB/xy64
cScB25i+CbCfbGGoc8sEQ02VV5B+fkM06mZi2FwxwzyFDmKhV/ClO12H1m/KnP8JpgpByms1NNvW
oiOXswKJgQ3bvIrNHmFneV3tzXzwurtPqY7emrgL54p7Fnm+nSJ1z+jPbAYJG7rz8flCZwkvaSfu
nV6ML/1F8GRMY4m2g/VYty5+Q4MAmA20iW5+lrn92w59oJPqVskzVCNyaX9n+ANwVhtVRTFtJTBD
ckuj7V0VzzeAPSIEVuurM84XQDpZY64hHBbK4sAUoqKV8XtD+0z3Bxkh9N76l5MpN/Wd6uZ3LqdQ
+IEjgH1gFQ8rS7OgUhlg2is9hgjqzOlVCnrsIU8nIsUEEV3jWyzpISS+RXBzyTVOGiZrdOMPTndr
o3iKcF4HRQXFeBzVKfos2tGN4qX7XFcTo4qx8V0Yme4D1MoO7gIef8eKoRVPmbbLeLwFOVkgdng9
K1uSBBT2C7ZHU8HzhH3S4D5kwmfvzhltpUE+zjVtaa6/3O6bdwRYv2BDx74jXrBebir0c/EtM8zX
x5yL1t0pGkiHkNBEtph7DV1WxRIxYykWH5QKrgZBW6PKFKeZb1qNONJkGsucrE7D1BA/jlwBqQV3
Zv9BMYMn2Yf3bMXvNmTqL7gDoSRSnjjsAaPlJvbL+21gXMRq3me1+PjzAv3bMMNNX2+d/tOwfTNL
541nrLBwzvEgQhGHKfrEG0tnFFd5vp1m3w6lbeBRWNqz4nvdvq95idbcccTcxRb2uVhJdUrV+1pA
xG8FjKzv5bBFADez94fsL2izxLfypUdgoJTjz65sLtHNT9CRSb1SYGMGB0Xu4jsHoa8mq+TTHKxK
o77+qmQenYLxxszXhvgAOWofs6V/JUHYGaruPWl3JZlIl7MBEqMNOA1oiROErLtqvDTurDX/2dMi
fMligMtM70bcV8XX6nAELM7Jr4A0X+cgHSpFCi8upwjJwPltaqpJow8sfprGFTejjxzLJjfEVWoT
sgC2m1kCA8NIbpckiVvu+aEjJjzDIFqO6/dhsG+KEEQ3nnj5iXxaupcTOEq74DFTsV/b1s77HthT
+3rfAQpTYHgEotm0N9MM80mPicCmF3hoKyw70xz31J+GtowRgl653mH2KmJdwD6avde/Cf0GrCc8
55Z3yn54qg4SaJfbCoZmGRYbhyfZCbhJ2cbCFkjFJJRfRIt0sNaVlpA+7yHvLUNs51Gn8n+vBHCF
+zccsRm0nBedEaLRo7HMSiFcy7EpC99niEFquMadjAzAxx2QFCju7nxmXhN1lxPHqhn1+z5yH6vz
jRtRq9Lc1sHMkSqhH8qdRvGrmeDh2hzlkLVbdN5HKqRC04UkiqWpIwXMJoyTGIlqXUz7ZVfY6jKe
Wu/Z4lL2/JFdu+TvBDMZM6Ov/G2OMYw1wBh90h9Khy+3tiyHllgxtLRQf7Ut4oGDE0Ie6pY5eY+D
WMJHMWowvaYsCwkhcrXxNZgaHV9kpfbzsJ/YbTYVspMFqE67n+yTJnva4xLnwkrW2ubXX8rQan5X
dskzZATm5eg1QmXgtG2AnfZUD1Gf/eT2DRUi2qY4oJqJ9fLqN2CaXvqnQr7A152rF64D6wZFhhAX
uu3uyrMTb3m2JDzCSGG3Xs37T4fkS6UqGXsDwBnonyG7lwUFHfztMlzWomsjcFfLy9Uf1e0FGS0e
JRVlRppXuqxAuGAZ0uKcEAQJV+OsmEOxfuKHxEAWvH7gLd7d2GbixW0wnw6DfEeUafMm0GiQk3P0
08m03VrW+SVk5y32tokABw0jU7Gqb5I1DzXagFPhn8KEtDAFq7CORuo0I3gGg02v2ZGULqNUag7V
tVSc6B2P2hqFQlJiHYbnnNo3xTu496Xf7duMG6YK+/gODNk6gFyD++CMrHPDn08k4wq+sLj8lUmd
YPuOV/g6EZqCGe/psMuc8hXyLQZdqHlkcf6OvkuRCFn7ke3b8BDeqShhXUSwgLaLSzFzIcp9HSYy
HuqdvkVWyaJkIGnlcQKCa6XIaUvRpsrcSMZqhe4Jmtdnzf2mhI7/X+xgGf2/vl+AZCQJr/cggyvW
GNZ8lRyzWHLoMPjB8M472geeQXGgMQ/NWjV2ArpMC2+CvaNzuE7oCbSLfYH1g3vbzDUub2PinShH
Nz5j4W2XoMXW2EBNSp27Kz1HhQqaZP/k56hh+XwOiccWK3/kpJq+l/J33zOJZE9xKteNMnwsMBYl
EdO3IWobOrv2/Y7tToIBbp8QFt8QQiNA0zBaJkeF8EPjKzUCS1SM2gkkM/LyiOxXiFkUHjgWUzWK
7FsqHh5XUtU1KZjiZM8uclr1RxpmOhikiNU33KMC/O/DKGrpITd6mQjM+UiA3VxVYBB9Qs6jVWEE
YfoV0a6gHSHpk35iVwPvMJcbBRx2VOi2ZNlsxrupPt3O9c1T1k9jlaHQu0p/IDvTHNdGXRdl7cfD
UDHSvygZULMo0l0GjQ1LPLu2GIYrpquHqAPsV+PFFeYC0xAPqEsfe4zy4psfaDVyh1p0DoKXHYpw
vrSVBCRPG/XCS9BYFIyXgIXa4WQyrFcFi+Wa8wwxOsq1I1TmUXo09+K+VxPfNhTAx63eq7XfzHdq
x3cJxh1eDyCda6GhDIdnOOtJJrpFvft3ahipphx7BA/uo8FiUDL2cxgcXDhwkoc8AJBz3M6QTm6C
V74bAO3W57+Hg/5x9Jy+KFBbMKZLwn8wNHYb+OTtKnAwk/ohd4xDC/YharzRfjyUYHRJB7hgWpJI
kafG3DBwSXqpxBdMsoI5wqh11NaFwUUmQxiftuOZMcIP9+filn1a2LllA3zHhz3B5ywQQv8KUQwT
Lerg/lkOt/8WqS402AdW0P41ekiU5a8W0oER8cv53kI0LN8zA+0OYr4eJUunlVBuZlYDEji0UlKy
OgwdG9VTdxUVmBoBV2OET0x+F51sCQXvD336loCKj+Jfel85E+puq+gtpzK4X35WNNu+s4eNfxb4
/sqrclXQ/14H/QnV9ExyDE0sSQxIWXLPbg/H6KYlp+KcLjLbBjMuVwJ9kbfi3DWYPAPpGAx0+cUh
a0FoLxv/2oJBVvI1TOpIdhLj5hW2XzObczUdRSIWRYt7w0cOvs93QoSNTfdnZhUbel/XuWW+1yrd
jtA+NkieJ5uKRVM4rkLShXfGXXSWwE6cWgInSrHMxsbbA9Bw8/X+RJZv0/B4NRyUNeIUr1YObDWe
nKAGqi4R8Xs1oHx1GyFSPa5KU30QfSXwEYwIFgYmgG2+zbr73hJInKi1sPkm8cbPBS08GrDb2kfH
hqgEK2kF7VwGDACrZyCMaZmiINGRaY2zgMG/p/v3u0GXiUKPuqIv/obh8d7GwPOabw5QvTc9cZi8
ifG7YKbOjjJ/XEUJtjdrAuYacRV8GAOAJQM9x3v5jrgLH5LR9dO2TtwJIm2bG0sNxpWLL0jdgx4y
UMcN0qfUotgNdvuhkjnbYykhvnVxduYn9P6lwKHUhBmZwSdLojlqeaJN3R5FlMiVM5jHt9wzOsPB
EfVUibngYkSFquQ/g5xNi69wLBmoo5+YSoBCHIRA9TTQxMba96PheKSPQj/ToEB1UWF32t7nm904
z+av+2aBXcbNgFTaXabOu2wN+EHvS4zFeCQ4Wy5B+xkZQ/IhT/VFbu03ijN+xmZB5Pir5RWwbDFQ
Kxc7MjUNMEwFqzWsBcQfeuys6vfsatmMZGhPOYCSNmzRzfFBrTXpcO2FZ5L2f+3fLZN01qQVU1UM
6c34e92+KHsrR1Hh4fOOkpzngD8ANnvU1RmD2H2qsBsMkP/VsHzieD9eK5zPI+K37PRFoTPV5DGn
5JF6DMmuIgknsTUJpCN7WVUB8jtCVYjFcGfi4aWOHkznjdKS/ARj8lKmGwXtGl5vhWF3aKD46AN4
KW2PvkbCLgH3O5579De0B3ccfwUSz/C4P1ULpzg2hqPYYTne9h0QTnVIkTGhy1gHZ8NbjnZFfwha
ui1PG57jkP0Gzg7RX63WIhxSqIboc8uOEhQcawlBS775HnRCtl67xgBds/jPLGXh44Ct1JRf5Ls6
qLgl87oWIc/32CpWyA8bc/hhp6IbONCdTEKrXzeB8Y9qheQgLgJCVoAdEQNWZkqzfrlsr1WkeuQf
nL3441c9SS+i9YhOeNrmPzIDRjNV+Z4SzPnoC2mmSI/ycY4s5q6AX0YEUR8GytvZwa4PkoQHdJfo
lzk91MXywoOUwwwKzoLPV0xwqlrpnb59Q0TMg8o99uZ44pRZQxz1b4XoiAxjuYVrs4yOD/FXvXUT
bOzRl1ccsiIxSEZ6W6ImzLCXb+MqRGSVTT6ZDn4G8MKdpU0kkT3oWThrRCLSgXnSFTbJWwlYrI5n
kkDQky3gk02qVPgZhSclZvIJUaJt00rV0PV83OwfQVCSN0rHb+H5N4GcoWeZcufoVP9rzHPQ3eIq
sMJxc79wl3am3CLj4OuhxShhFueqoJ/E021IVEyEdOSYbLVpeoUo86GTkG2P64WZPx6gtLfIHXtf
VEHITWvuR9OK7R0DyAlVNy0s2SZPE+ltj0IaqJLJq4zfNDRWQrmCx6w+LjhAX1F/vOJO6/aSUzaA
GW1eZWTfr8nqyXUl4athr9VJIkH5riEhil9yFX/zuyIav0plQeS9c8qDuQh8Bo6TSpmu2YOU2bq1
2tmjJgPOpovOyPOaTwQjSyTm0OlGcGQjy3BZ36SUYBZ51jZGYDa4aLnNSoiyF0UFK24UvJgC5ulm
hrodLMT9v+i8nxilbqbTgYUfl+RT9KTc6Y/JF5eVLh9DNczt7o8BrJyttS5u3aaF4JMY1YKMinn7
NN8mwVpOLNpoqB3L3SND3TFnqWEyMRx4467W9E6RBGjHeaKnFKkzPFp4x0yzAFXqtoczGymx4YOB
SaZgUZ5gDqZokaTrACF+dPhc/lRkBJD0h2ddI1zTypjEGOWmXh0GwCh3eb9LX8zsmoLWJblsd35P
UQZpXSv8k9sLthoyB7V/yChuMZJicqxm8QSVO8PYpJMS2bp5SF+3MDU/h+Y8aFmKladGwMRbFUqu
XVe/LXvjKFOflUQn3d/Ev6a7YeW+nkuotKW2Edt4NJlo7QY8tcNtpzYU0SKyiPKULF1fNBLBMhcu
8QOsksHvtnhs0tDKeUVL1jcnL2mury+ZaaZ0wiHyIEZAdXOZKH4AFBxdILCHxYIzKEXEWf6TZBLN
hzxYY89nVp0W1ByXbfEQVN2XKwO5QAKekrStoX021IBLvoOx40eAHS3Kwa8cM4S9/z0VYU1hivY0
xvLNb3at5QwRNwNQ2NHJoA5xC5o+kA/wDlJki2feYjQNYSr3fISRjM9dI63uuUz9XmEDyy/5o2oo
nMDKXK+wPESB3SSvqd3VbfxttsMhdZ2qokFVDGEmke+YBExcC3qfA3cYWGvFzKLIpTnVoSPqB3kw
F4Vz6zBfM8jgbXaWEs/+gelENozL3eoAEZa61wwUMga60vk2HJY9UKUMwcbtsluuOtx344XMBPMN
iDGSWv/UNEUF6yF72cc5d1O/k5u4s1Zu8SEWmyMXf7058uJ+vYJ2fszd066XwbqsWhpNfrPwzgVk
voZz2pXI3dBKm6f6Kr5Pz5m5rmQKsBJMJCQzE2hbgjRPCVYFHVpCYOIShAqYpixfAjP3/fcxql//
IPUsKAg5zz+19W235KxHmw7mV1IyF8mLpn+8uAD+e1oV8GGcnt3BfBZLqyoly68waxTU3Se5Tq7v
9a2t251t4fcLNAuK9j2oq1C3uvAZvDEdK9TotXne8aZly96hYQHAgQQEUm8t+ul7McaSe7uYiV/+
2PJtvWaumXhBA0TYG9kaNcD2Z/uHYxIpB2y8GsG3re8OA5z/BT7/2nd6GWiOcRdUizG96JX8+HsG
sLkxXinRNWV7tFHAiRGjWh5dH+1evJ5P/tkNloRFHpWE6Iv/eVPZ1UpD5+dVZyQp7Yecg3dWxOiX
L0Pnj5de7jgDCU7ddFcsTVREnxrm0r6P+rclQkVwqSutihJEDhjpehb7SryDQo+A+Oyq7hBkiyE1
zNqJUGkxG+MsFtQkmWdb39Rdj87SgywhbIHkOhyD36fmZDccjILmn3LJHdP1Bfm57bd6Auk+J9pG
4Xxkg2CQKsqMQIdBo7p7UZhS/znfRihysNYiE02O8zcQPT7llZeM8e5VSCFXJ96MQxnkZqEPoRIC
NyQ3pO68mTQuK1PevC632yfvBB0Rtal4/RiUzs87StmNST0kjgHO1CjsCS06HwaNWf3HMdtSVT+Q
0svZxT7vRKeJjbrIZYE2FqjtNkdTUexNQE7KptUvGgFI07nDZYsrUsoZWQivpyRYxGVIKmFNxuKr
ffpawjazsT52/kVbt6JfHiqBE/RYvaW6sTXKGqxffy7UdC+jjUoiXQNMwix+W7Ao6BCiUe9WVjcQ
nZFMNcZnbJSF3HrORF8WfzdWfIrMp47I1FWabIYVUYrEndn+r4wIFS1v/n22YcJp0mHYTi9oI2mA
ICDn+LtyXjhkmFD7bIU8ksMsTIjcY2KHUwWpDz+83IB/hRS+vWhyyuWbluzsac+ep5bwgxBxOn+v
tro5oWIXzbNj+BcMahN39na7Z16dB2eIgt94WRNMksu7VRRAJCkewl2DRakO1QXaikbcyd+UrPB4
CKTghChc7ObdpVsfTVrxHbitmJvQkDC8wf0PHkyMuqxr00tD3Vumhmjq4e44gi7c0DTs7PaUOgqy
Ax2S4XH2YEX2cLLlOFTMl3RpoLs25O4s+XeCgAMEHT6w+ZmGYSn2WvjCG2J0uZkAuCkcd8n7TuyT
nfX6iKWaOWLm4TSLaL7WirKYNkDS6a/KUlfb2N+XLz7aZOxresnCGHSHecrP5QkSmurVSUYhIbuh
4iovw7+rKYLi8UV0trvRGMkqYrWXdx4zkzKpe4WDR/1FLRfiHX2F65LPYkq9ojphIbWgFmGSZ2Cb
rvwgiEqW7ukDDvVgkro6bSnJToYQA5/rsCm5ZptjByiGAsTUrlXHBH5zgFRjt/NRExNHLenFryGF
QXxaIBHL6yGPJRBqONfZ6XeLx9wtQulPPXX7ZLidfd4vhNA18ZMCgnF1QtqvFN4tOIRS/cZPQ6q0
aduno2ritfnpzmPYR7vkbQSvFID0adrx7UQU7NanV8lO7Xgx1lLYOsmhsf+j2Qgt/nfeac2U1Cxg
Br5zpSbRVsfrKGlgLWLHQ0WMeeii+w72l0rHhoBwNJ5VyszROwy2Wy19QltdYt2JFl4GFv+iGmbK
LeMMF+lFp9CCtN1fYx/4FLNCWiD+OSQlY3k9DWXiiOrOrfOxobqHJ75FAAU/F8sbXPNdWdI7xtnT
aDyPE2BKFRgx6hHx+CdjSOr9LyWAWXQbzIGKEPtwJX3/cyDeBnExd+ffnHZ/XsjqS448t8ZhIf4p
1Fzf/DSQqGl+EScbZd6TeA13ojpwybRe1zmQ4G5NgmXVxcTjkvsXNhWohIBA92aCFkDfdA0RWKaD
wualtdwAc9YmEKR+qq7NWHJA8D6iCG857hIreEDqGcRy6gC2J7ZkTw3VOvLixbeyn69FwOl90jon
4WUjLurZp+nllaicxQC2QxxHf6T4H2BpA/eyiCV2uBwTJZEL02h6SXmakg4aXaBiESy6xZW+5yTG
ZYawEFIVI06hcnD7IBnIuvVLIUgOJLCmawuS55RoAp/0UU+1DoNRA0kNKV6hldu456TaBORHotmN
G3vUhfEHWerCMdHwTaCJhcKxXpCiC0iurUfGF2LCpCGiuHTl8pnHzUrSZaLEIuhnR2aey7BLTvj6
wApDoH9XT0gXHtRuLqU5AkFZWFoJ1SE/9aWSdTCY2sKEtV8f8zA1hDxheBy6aeHaRk9/NwuGletX
AdKZtg4OcmDCMQALF1DbIn4TDaPlb0xBrdyY4Y4pEzNfMHBCBIsiEEqK1t7qT1GLms5X3nIvDhm7
3Lw1hB+uCc8105ilNmBh+x/TVGM9LIpr9w8veJwrBmuPWo29wguVSufenWLrjHI37PA51Z6XfEVx
ES7UC38zYbMYG6yFy8wZcjMk8QkRVDmdv3FU2S+d84h+EvONu0qGRkgXhjGTWkeItcqs0tgTCezp
Tz4Cdrc1D/kXsiPuLPjMSbYzu8wkkwQ3iffTSgk0onsDIYsQIG7jIFtZ2i/bhifnKol8pqY4kmSz
+HYhL1Ke2th/r1zOJ+PmM+Wx4K8vGksNFlJl1VHQpFXac7mkiHTGkHe1wlxRcvCI0LWwfdk5de6T
6Zipk9AKZK45vzdK7cmlUHoSUPXTNTef57mcjz+HOdGYXWj3nc3OfoB7Z1tyBBbnjhe+c0KTwD2q
0jTDDm1vrIX6c2/SV4da3vL061gTUbCuRIaSucxE+TMT34VkY9GPBqhXTIGTxgYsKOTTo5uLBTcx
JcGmYDg1dFH1zT5iDuckDBrm5TgmIWkP7hdQj9G3B6iYqI33RMW20qUY5NDHuBVlhzCriHXSpCef
WNkjM5OoE32P9PF6ZIq18QsAieOUxffbsIz+QEy8Bfe9yMyJWdtSiDDBphYZCTBBwbAMD7hvWAcd
hAlE5ZnQvzEYgXjqziFVlugFMVo59pB5SImw20o8jeig3/jtvGYoQa1fei4rniCmNK/hRU5WsSvX
RfRIYLfgEpIJBLukHkV0FG7r+YtxwdYB/TMkVrLA5Z8JveCrDbxmEcMytN5gB/XDBrENsttkItLp
jYR+UZDvekCRZto7FghEBh+BBPqoC/y7d4SZodrwLTkP8E93QehtolWNJ0Hf7+CqbKWuADkCZYLb
bNIgFY7q/5B6HsPuYMA1z3nP+PfrFCgrcBYrViiETqmbMqsJyJ31muQ10cF1/dZ5A6+DLuEFpJzI
dXy6AiOZXazPXqPXxOKxJs0exmBSlmeUkj8/QEpwejbnXmfrsvt8OzQLAISwWL/zFx+x5K+XCEe+
n9P+glHA1YTBpYlnDgzyNDYas8a5UtGGDUZg31Pa5HifwocmwzNmgAFztQBOH44i0nSFoF3qelgV
BOaS4e1DDnO8+AAZqLTfYrThU0OYQE0+rNo6MLGC6ys8Lk/0pvnPVK9sMVJLlrBW8zZ/ATJveGRQ
4eAyccvEjg8gLFo6cylvMY/jd9HKiQurZ44SX8eVjym0T983kRXVt6a5Hmf3/WCvj0GyRXkw/am9
JrJwVVqORZc0Jix0Qi5nFNNlIa3/IMmzQAMKBX5sHFYTyf1EXvK8KrWB5yC3xMNagBRsuvh901Pe
kAPQ/TgEksd1Fe5pOQZHrhQb2ZY03544ldIc1JPgC1JaL9G4Cf9K9mOsD13uDO9O0Z5N/Kv+4nqX
cRX4eVJqI/iJDXXY/JgufBmHNZp4b+cmiwkczANg/c0GD+JJUEIvaK3y21a8OYuftfWJoEweq78F
eKuQXo34DzwmcN7lRlAz7KN6em3XUFvuDvgwSfdTY4LdN+vSN3SBy6DePB7GMtNvp0yQNgH/D19W
hKTgxKwmR4vCM14EdVkyLRN+8t2caKjnWmToH8ML5UxYhwZGcyYUr27knWSGP2d5vMl4HO4y86q2
V1MKVK/rUL5ShZHa0D1qeRIIACtwOZQTIGjhqPD7khKvC2e2chZbLQ+lNtjGwN1sEgGXh6N7v/KO
yflmV2xt56V5BjiJ1qOYzz9QHR5TFV1tdi0jWsfsB1+L5WRBFkNAZgA4Io6gKnbrmB5WhDl0AV22
sWWcuSjeJSKJNemBh+wR6mwQnvSfBBVrwHBbR5V51atsK/NNmKZhacAo+2UPI6lW5vAZ+whFurq1
jog+1CyYil8aAVDLIYlO/pUJuqr7jNJqvwP8sUvqj6GRE6qDgOfW8aeQZHcLmp2vC5RFveB0TU9c
uUfvgsCSFsRxnoCNDUzL8AVd76BoNwF6Y21UnngmI6rvI5y6rwTE7eG1a+MMLojaQOa8RT5K2tiq
jCtCVOfz5tIcVSkCrbrFeukROrJ3xcGdl9SvlTPtHvxSpCZftgjzklbFGLX81eoLxtdPoP/85wu3
bosZlciaZBTJi2Bj/+QqIWzjs6qlXzDZD6upCfySotBNqz2xyZGuZ+axSern9Dt+k2BTdkw7Plz7
RFmXAPzeIZTetg4JThut+eDd+2zlnu5lELB1XJzNQv/1WC5i8/hEtmJKzZLf9xXkB2n1MNVfvE2a
0KmREy388FM/lue0jcD/0UUb/nh/rsedytPSY0Jj8uqR5jyJqlgbm0AFOV1XKKsgtbGk5ScSR3Cy
hA0KysrBvycUHFB7KfL1HqoF2Oz8qZ0oS3kZCCdxEQwhPuxHrksojXDevzUtxzqJn5Z6vG59Ua0W
LjYSjDoP6OQAy4gpUirbPtV1PGPfmpcHbvKAGnihJZaBwu1pHjPeN3BCXtydhwon8H69xPVvSf5+
BdC3HWHjZGSV+vD0mLUzeOk3s+6P+Nyzsqs9UmPSZCW3j5XZLZZJ5MGJ/T7eNDhlcUntp9LzJIHJ
+OlviBkWQJdWSTFgCOWwP+vtJusirLmI7B8P7nVLAEZQW0PYf+VY7P8+AEc8/07ux6i1JsA83GxW
flADGrQy4uxFZa+ZU12wyAPPz5ye0v6m3xpx7FIEsbss5sD3eiBLOmMXPvxUep8KIXqsS5MKAy1N
qSAiwq8Jhvflb9IfTiLkL1W19iV5f9KtWsQe0wtyOX15YbOT93bknxdvnKKPpd5JAwChlHzOt8/k
7RiJIRqlX4CW6Ve5x0k/2FtdPypbcewmyLyrJNPg0TP3Q4EAwv8cClWdB19ONnl5aPYRzcOlVEV5
LA0Gx/IcW2g7ZNoKuN62WNMPsBYzHIe7dKmwRQjzFxMySRNXRb4yIfJsDOdxESPEFs84io8Kmsmu
8FQoq08g+ey+JZJi+0jJy6vz+Hem/a1rbmuqsNauiXgKl9KFRPCnjAcU8ej6qUrTt2dY8c8envLk
Py7v4i5ygVe13PnF19L7axbd4NdgYoJ/dOdszLVQObgWyoNu1obAjgKE0opD8Pb9KtsNM2RwVx8d
wbpz/QV+EAiwkMn4TDfC/vk+3FQOXLK3tpFzRb8toepeQp7XFljEG5n0YGbd7lPXlXPcoOk01WLf
/GAPQeYUbkFAC7UcFZaho60tlI4wHGi838f2EOu0YT2liBo/ZCrf0k5yeUPIWuRygpaX51i20DWM
r1ViTZZZJELM+Cty4vg3/6notRTXEde6PIXD+HxlY+PE6iOJEZunMfIUCjmpT3GLZ4r3F4CublRp
hmJzAXr4eI98wICOnULJ/1bRkurbUpOhhe5c2a80mAo+2O2q0qt6W2hyCYntrvSTIfbGs03xugNe
U9OI32qMH7yyitTuuFrfG2qKYY/oW7fZGRvbwpwv4VeI9MqEeB/zS2Z+Z566N0gruJpylcPwMHuM
mAIPKp8iw8JU3OhSAPC8aXqmVVvy+wjpxTNusHgQLgXbCGOmQPSvcT+Tzf6dvYF0sTLObE/HvcYh
x3OMWwEeMpSa+gEd2pGQdW9Bz1KGXwjQ0iInNbySCAXrboyT6jxro7IwN/gL17IjQTo+BUcS/6mt
Gatllo2TSqQDknHESBOZfEwwif2gXeK915iZZYiHWFpOw/3jXh3sS+J7P4cmEGCmdja5zhZ2OAWm
9HD8Wr0GJXD510ZIeWiNAb/yMHAIdvzaFhwhZ6qf8g5WagSg3OAqBDpop8HJ9IfViwx66uvitJlA
jTkPg1EOE7hTYKFd8qGKrC9G4iyq6MuTFfC1D2orZZVUBT2Ud/hWKB7gWnscp9XdlB1tM0chPPF/
2PBD4XGkSLpAsYl1/gNQmZz1R0I6JxM6wlsnRuISGK2eX51Idz25HQ2p7eOIaZfudPmI/yoCu91C
f+8RKcAXKuX1YQzZ16K6PEo/tu2T1PYGgCs4SKJUIRuxZmnhcqxTMf2fRjugSIG5PPVQKsitLDDr
btLLyYr63KlZmzoEww7eRk6Ans/XGH9pjNjmiTNsxET6NAVs04Je191twpLV4K002YewW1gaWR1k
Gi7WaUCXKjSPELv4XihAFe+c4tYLn5yvAD5DmuhSMRAL4meQA1wO2tZKxIKQorI8dd5JxzcxAjrX
1mCk8om+yAvH9AMDEnJVWn1FGvSB7TqhvOjpnXAS3rMyb90WMfW+TSRuzyusxbHRWMBolSDL7hfG
/7iNfNxNKQ5WeOWztKGxnxVPgYI5kBv/lgF3wcodQX/kL/LqDIu9cqcgKZON1Gxamfmf0A+MyrBj
pYRjwp+jMjYuvA/E8AueJ/PUMK5g4E/TpmU15gx/nMEUngCYHKFBGfvW6kkICwWV7riw8fGxjxyq
sHAsArTWi/GfaaVwBqTXwWo7G8LSeRvaFFAN9nZie0Vzw9Tczm6IXFTCoWsH5xyuNChCTP3+BFxI
6gwhE/6aCEH32kE7AAasoIyhVP0EOTGMOQl+a3HW5jSaN/ytEnre8FaFSux+sZZQspS95zOvu8Zo
BgPHAPepPbjj/PvRGjORY9VWptNusjYM18AamXERSTWUlFhGKEBjJ2YG7fP+cGVZRjxFY3CmhfW/
C0N4ESPBpRyYsGw1WRtPXoifhxzYVrKKwzjatmfvT+fJ7IRlyeDMhyyxfLX5AV7ZOaINDoxMgVj2
pzZdaktYGCPvwzPwleuWMZMEjJSO3lq/YOfX1D+GKePba5svJPcVcIfAAwVRIf61VXmv2gO1hWi6
ouhV1tqSFKFhEbMe9PO+vc3drO8MtNUq8B0ISMOM5QqBLKVVFf2yzKUJJWsv2ShnZ+DEicgmtdYb
Bgxaj5zZDigZNbsIipJpv8tLXVI8gm9K0pHmDf7J7Rdw2xga9gDLxaV4VunhMGaagwQDAvGVqaXJ
s8qIkEc1TEoyGh3UrW6qq8FyAbp7glAPMahWfaH+VqhV/z+FAJaQNpfRb6oKM/w/LGh3Z9Bg/6nh
5ZPaa+uOXN2qc9Ru3wQute/ZvMIi6Q3hiOyvF7ATUQAjADDKdy3ClBDu/s7b50b5TT4Q1n0VcAni
sE9f+MCgG8VGumJhM+EXF9GKvuqVoUkU3h7kphVkeHUxHTVNB2gJrxtwRBZnN8ju555V/7dtsqRr
Z+J3Gm8kry2HKRX+nuwF4efUqMszeRlzyug9JbrTekjpdIeCHj+A/6PgV8QkDpKRGqOlem1CRq3z
ThRGbME2nJ/MJFa0/GXtD9U3VRDx0UE5cQ47OsWumBgV2BWsRNQaDgjEH1AsShzC5NAcVT3xNsrt
7sUrO2snFZ1/MyYfpQRqSca69My8UpRfSey/nR3aMMinH2n//0TjmIC3iJF5skX7grybm4lx47IK
zanxBZ1aWZYyEXjBhgSH+xgsX0G2rK5NLQEnQDEoa+dI0x2IBhpxm25Xiqru39rcEUg8wZ6RHcRx
XbGYjlCf8IK1aQsacI65qK5sz1pMmRlFWSJxrXLly39Awfa14jshAEZL/+iKOLaRAioC/JH0b2Kg
34lP7mvaXMe4yptCq3fQsQpYHrVG1ZuxlEBnhZAyh6tGdOrCILIJeVXvbM26Xb4yKuLwbCFTFT3f
f/Yn9MqFw8LRhLPFuaw55+W3IeyJ+Sf+tbFqt9GLLllSX5CRNO34n6Gh0x080vx66ODWmZu5NIH9
zNz8iySH4EeJGbMKh0pVpRM+/Me2lb2An0AG9TulJ3TRfObjpoDuKJQLZGAO/mRWqtRqSYgw/xw/
RYosTVcHwqPXNjCuLXgtt1uYdZKVoEQVudncIHcMGUjFABEPAovC2xVVJBykE0zZrXQW0aYrVgu1
kDfX+G4KjkEZuKD4rpmXDaY50Pa/wFprbj0ThQVVcpJo/DkGLU1uqc128f29UOToWWEJcp83ySBL
St7wlHDb3cAXM49q+vbODBQ5ibyZIWpoX/b1+9M2h2S0NUst6jZKnUuEBYSG+QwhycxiHWvLwich
1u0ioRxS702WLnGafZwzuCNt8o5Cz0qtc2AExGM4WnagIF5k8204EDGB1TwmTsEtoW3nJ4RIatLQ
SIJj4i60YL8Ev1G4plY9qg57mALV0w6dQWu5UDa7kJSmTFcdLPly7IneS0LyQimDfZ63VB/D0zQl
rj1trEcA0KLWGtO8H5T9rn5Onhmhoo9eyXpZ95IgdEoSnJBIm1IDYj+1ghy+HwBE4u4K+gub77bn
VuQWxqU7Gv782p8uAJkO7SeX+arp73ZmkjWn5A5IsIEJzLkpCeUBQKBia2FxVUiw3uWUnBp8SWzT
yr2Ib//FYT4fM6wcGIdjmZk3/MeyXeDVPbaGiPcs14StEDgqgw4qXqxi1o9oxbTnRJberMrxAflH
S1Sk8bgCy8Dl1YufJ2QBBEAH/qvqus+eTLrChPF0rOwMrZm8br73/rWUMenwM9QTPIjhGUyvVk9x
oBHnIw4i5tcBkjAQ5L8T3KdPt9x8zFo56JrI2mA+5IUkcgoesjNw+ak0xHVk7KvcX/457PN4NfmV
SJuTHrPK0CF/wZEqBj1+j7QCFie2ka9tI6j+0ixRLsv3JMADerzHjVODhaZH+iGbMNsAGMPKBIPV
lg/ME2xBh+fo7AtL6PxpmrthxXsx9EXQREas48td8TN6R7tpX4xFY2QDIfk+jNFI2KwLPJ7r+yHT
tMHJ+wl5RmluvyrVP6LmD17eJUC13O6zVaTbQqqh5DtKD+nnnNz1IGyvu3EfEn5MFa4OVsDpSW7N
gl7F/JfnATUcyvc37MeTcjhKTS9tpXmE7WBHeeZU1e3q2VXTTJn2L6Nw1JF8HHk6r5KygG7HLszt
RPf0jS45XQYQaDoMCf15zf6sc2+HwbXEuZ42KFdtnbj/ROvzX/MZHRGAmayLxEaP6zfk3mxp6WFJ
nyYXzuHayrO87RnzjjOin5EPHgwISuNegzLSpD6QcTonHf51ZK5N8Mu1XVkG2jlu1dm1kwroPM+T
Z3KyzeAvR7AxhkoSVhUDKDIiIFtQJnkub2bXQeVUvbILSGV+wkH5JAiFCjfIZkvljT4d9OeSj3aE
Ts9rosiw/ljbRccfrEwautKKMACXxBYPtMZEcT+saHqjcIgUGiQOge4i7Xtd0zN6dB8gGcNSkXwg
yU/SvZCgh58XVeKd3UdNNGiv/E94aOyDb1/wbJ9dFQ9pnJWlZlSXzaYadp3jbmTFzXQyCo4gbPHw
1UMe5SHSxPUOQ9AlGvTFMz6Bycst53gDtHoJusDUq1VSZdpmyk/hMTx/8z5IF+v6ONJpWx0zAn4R
Qz18Mu24o6eAhlxpIJ92c8g3AQechsLdEewFX+QqAnRx50PHD/xCGOcueY5vAXZC1OvS5Wwee90G
tSGeEBHACIhdZeI5vqUhFRWXGHINbUGG4AampzJinWak84UXo9LQIWCjXEvjMUpYHzN/H6A281bN
nsQKLK4yE6eS2PEfSJaSoGC5RkvPolgETU8OS8IpUvonRnEg0AZp1bVlPPX5fW4KxGM4zoeOjH08
sj1frSoe9NCEkU18BiUUTpwrrzh4TcXrtNvhDWkMI2VHsjLiZPyAgzPqwhWk8WJV1eksjIL9Uyup
VnRc3O5Ic10aZ4UUXq3QsifBSVV1GwyXULKu6ZadwWe7dhOaIfGz8W9Lk9PO8DxrCFDOxcAe1nm4
tkUP+0go46uoeIJKa0Oopwlc4xHKpb+j4j7LKcX/WAW6EWL5y9qQnuWfRBMzzwYhVliWxr/opu2r
FHDFl0fOsaSnXst1kjLYsuReZFxuYqDs8txHy4WaCWsaRAUxGXAOhsLtY/Bo1XPrVdMGl0uFx+k/
khUUoLNizqApSBl4Oxsgz4oiq3oBoFtOTWrwfx+QLC9JdXQ/Lm36adIlYpe1gRYAT432krkUyrif
BUV5HNN6EvxI03a60oAbH1QxMcZwCDp4K7it0fi4S0s7IR+RbPT3Heg28r3RfcEN6cZzLWtSHJpO
o4z86766m9q4MK68EFBj4waVL8xSZ81DWiuA8H3ZsnvzmRDjlHSk5UXDUt2I0ERPQ2BskW4/NcTf
+YwZllFMa+05E23LrVrQ6fnS8bZoKy4+a6C6aKTYKJR3oZH/vRCgcPckoI0QomWBXE2QT5lE0z8n
Iu7r22pllEIV38BvT8p7UyarDglHPCwTKlDw1j/hi8ypUAzp6QmXflO6cADxVuD3AUGiQ0Z19hF/
sQDj+lhfXADKmhsKr/b6jdEP12Pq3i9fSLs19Qobhxrr8FJaLkqziPiiJX+3BiFWp0rzt1Xkdi4s
A2rnG/agmX6uPujirlE7n+8mGVm5zWIy/crAJjEbE0JqER9z5s2WaIWzJ0sLT3g5J8Rx6mH4ATY1
Jha6+6PeqmDarWbXrL0MfmmmXSCwQ+djuMsXz6EtyLMe7SZLWFaU/No2+PIXvA+yYAO4pav0LBBL
vvg+L+UHQrP9lG2YbGgH5zpS7y2jxthyGTcbxtnu1u8p5SJhJjMme2IilVEiDIGqEusN6R64Ecym
HY1SQ+UyBHI0m0k4aylvT6ejfc1it7PlxVgGU84GLyk4lT8qLqYyTJEwFfNCaJ0wbpmzkUVgkBnD
qb3/4cxGTGVxz120sHKc2EHol2GeqXC0UYfrOv7a5hlE1RrDz/Kn9I6zhfNDisEKnGo82uot4Om0
R5yziKOHWe5QCgKt4fsHzl6NV3ZxvY8pvfgmIzTnUYfOklXflCMtQBEu8xtOomXKuy6qlNcpWQlK
6fEoBYY8iIzURg4c5FywNOl6W+qCMkJ9/OjLtJCi/c7FwmyujLY8LP4wxE0Ucr+lHDO84t1ZKpoU
IqpbyeqxuvcmdTuG2tLDDnNjvT1+IhU447U4y5XgyOnHx4+K50LzVvrsJ0hrjHueRePRqhNAWJdn
fXR/BA1EsS4Gk6ZMHxG18jYt7un3efYUZSd6lCKKyh3gFFJUINcwfsATTUlWZMGOCCk5aNCiZ0cq
iSh7ADnT4CoxiBhMS0nNdBffvntpulx2AV05Y8dHFH8nRVeOPADEYbVJkmNlbbHo5fS7PLR0fRmk
UUt0Y2Iwmy2+FMtkvp1V3kNcz3n68gjAXx3DGs1YZCDqfoTmtT4PXj9qV15V3X3lWq/cl9D1OfUk
UU91T028ycan4z3YOG63gcQjYyhE3EEn7qeDFQ/K7bvpNQZhtxTCdcxycgGHjTiLCOmVM+aywn+h
CcdZfxpnk1PVvfFQR42Ekjq76OK60HtO+iL8btda3fvqGyQdD916focNRp1cBR6ct0wNxFCYnAH2
iqetan/CmK+O21kDGrFaQftPOCV6TAB8kDsPHlXZNjo/QnzKP/XKoixzfc40wFyICU2wHxCEvM7l
3KkM+hsKz2D4PDzLldBcU7NMifn74IdmKGfhXbqxQwRTeKPq7azoa5M6XLAFSXhq0dhpiwA6tD8x
Y4H590N4fALIliC5c+iyL4TsnU00m3qr/7l1mYpgk2tKBMChYtYT0DQMfrY54vPX28ADpvEIreTo
ezR3/Bncah7fNpp9hh75OUFsukifaAYWn9RXreSp13wchdhw8vSsWhMKhRTSy8JuMfTqm9sb/wty
0tKjXGaq4rcxDU3t0jq5jX5oEFVcySA0y+QArGD3AGZtVUvKt4WjC+Lp/Q2Z8xgiPLpg67rXDzO5
l6syjLjG1mXAl9myWGQeW115qtnxaltkfHdgcWRsmPqpFO+TGmShRfDOsJP6O+A1TFsKlheVX1Cc
ZE2MmnM8ucmbYQWRPQmB4G3Tf+mkxQmsKUi8dARGyrgW3/BXwD/pAI3979B7VCt1bOHqM5TYQ87W
pi9F9vk1x4rUd6/8Bp9J6Bp6I+LyE9DQ3SoI7xp+A877Kwz6mEiydbCw9zAyQUnNmEhzSPrH0+3T
ylHYCf7kA9S2O1XfnfRTkXfceeNGV4DnP4YtkVLVeemkx8OB3XxxPdm901B0aujiJbm+SMtP3qL0
fLuT1q+iT+4UYSRaN/oeOaN1bHABGl3aQY8OPI5LvzaXu2nNK+caSr/RnB3Zsq7uKIEj6Nkjeb6D
jAz/UmjDo9WCHYMUNPCGA523Bko+9pTZIWYU9TWIQiWru2IgDZIgbbEwGPiEf7LNlIhFekeysEBy
0fuMrr7nUZbeQNnnw2tQ2PVAYz4r0mVJ1SSCx+SBmTbnnPFVwTQrNHzgQEF8d1zZdTwP1bDEABsB
0gT9gxo0mfejwFAvAtnUPPdCRvHqO0OcTBhXkGC2lXutHfLyyqGwBZ4Bgha8PaPkVLE+ZubJwtJ2
t2Y3LWSSNoE9oVtHWBno9MK/1qBKM4dDVBjmO4tF/A+zDNoHX+85cY3wHWArtYcZrvvkxDxgOAfj
DFMP98VfW0mYETJweR+cMPCxcTj/6oLW9WICaJ+4VR+293pUISD8u4L677uJKuR910M+9mJnvs31
IjfY6XLFCBIbKnuGahX74+C+LgTdebXHH42HxU43ATRuTgBiYWbXN1XC3zd/vky0nLRjbKIT+ei+
BCYlKOQQQ0VY7AKvShohrVEwr0mpSJWfdiFS4BpJrUTgsqg0n+Jh9fviewcztQjia9uGj8uap1kA
LZbCjHmhiiQm6vNZBT+XbUS1kZmIW3lfv9e3e6c9zPBA6YtpnwJEDdHT5a9kFSBQghw5NOQD2h/z
EpDG2A5mVAWE4Cu8eMYo4kefrWLjlEFi/7fwUAbfsg6wgcbvcWIJwzA3u6o4EiYZJCOsxe6EVOrG
C+xpnUclABqCyVAmsZQzP7BGfDzMlJfSrVF3XtjX7r+x+ertKv5TsQPsOKMxG6jBpDTXKydCMbKs
m9pF69I67R2Algl7bHJBoDZjHvZVfs6TadMHWJd1+Wj4Tt1f2DNtdR/+H42lcWZnweab9erIfKr8
0GSsZD8KpQAxhTxd6fm3EijjNVXNSE7h9qD4+ZaMOK6CBAqkKHfpppg4IYBW0hijv1YBq+WzMP5S
ROH9e50sJN7HNuzq4UFY+mG1eRNWiCHSqDhnR7BGa9BZ3rxR+SgjH6pn5PnZt3YCynH7Yami7e0v
TaMXKKPefaWYEU5yIEiRVgqerCxNj58B+mXUh93+MaUqHfplzKMyTgAIc1ozjE0gl1ymjzP+mdCe
q8voOliIE1dHUCtXUHdVWapKYSp5YcZaVccZMnp0sH6VXyt6CB83qaw+xJ4x6cXMXtIrqKLrDfKa
P5iafNv+xQl0fdUs9iMksEgrEg2FvPrNilAZ439fi32t337om3/np5Z7ESeDKnhpOJtwzMVkcDoG
/COuPDd90yBTaQ3KcM4kJSIDem3dlT2Z3tG9J+1M2XBS9/6bRg7sMLdwqQ5oce01EYBd1CiOEBET
BT2MKRnKuheUhAy04hCh/xNzDSEmaKR5CgoJ4eUcOdvD6gabS/1xc+QBhY3ge/v+slhK1dntdCXO
zq3wfQ5GVwCSmWbL5d+nm3HG481J4nGD9zIHL1FCdGcLjNYe5VDeMuX74iVmlU1wWJ6n8HVwrxwg
e88XpK50J5ahO0KU7uH+ZxdIK+he8yK96dKzEzz/XmkEm/oFT4QeienrCVaVlGgTbRDglg/jF76G
8D1nrEXoCdteo7+2udpiPn/KJ2rnfdVKT0Vf4pe19qRhgeiCuFERB0pbm07OSzRL/8Akk/jq7g49
S1Lq8kBo2dTrLfLYnVFCNLmuwgOL563s+S4jXy7RWx9B7CvEv2VG5TmHYGIRzh4E0boy1XWr5kDo
o5Se9n9T8+aRpvISgG8T6SiHcB4bHTt+dlX4vAnT9rptDIXUryD2GMs1oOIweX6Ug7DJZ00qxiD4
QsvGWZgnnKT0Ok3/HJ99jr1iZREdgwuAi2h19fgWHQyu+l3Wm8prCih4wrE75TnhCjnKKTuMxa6N
CyYU0Cyi+QjFzZSLShy8sk3MPr9vZgjqzBsyetHD023zmYLNcw/MM/AxMloEp4g4PwAgLNhEGsrX
yB9sXkfCh71zCLZnL4Eb+zMLTJB4c9t7bwKDo1DTyb0x/qTRC+BDzqeBRcvlsD2damy/LAFWzg5N
rquTh8VqbX0WTeCluqtWhyW3G2nDJEBHhpCzmyFDEhZbQkoCk9fqyS6ZY/YdTuw7BqWPjipGoNFe
HT4Mbwy663vd+MI5I05dZxzNp2RplRkMgpi0biLEwyq3op2qzLwCmqzrjW413kuqEGbepUxNbHe9
bSmiGjVkTLSmnpRjtFQFCvZ+x7Gm/pyIeUr6ZLNSYorGnLq6MU9H6gBL6Jk6+ZViUP4zbjnEA5jG
+yzcTykeLfywW7ab2P0D1Ot4EXaw7K9eOLX/x+tCAmBa0G1rKkDhF9qgljA8ZGjNZS8yADgIye4m
PxLKPDQoJHjFewAIrSsY2RK+JHwZAHzFIBA0m+3ktSkw6eGhA6dHGu09AeSyCN6AHQNCZWpGGTTu
2IDxahp5sJEFY9X6aht8bRmnByHQxE+ZPD6nrCY7ImPtQ7RKFpTfB4Qdzqc1XMXkvTGytAvgDkQU
IV2Y+N7fANLMEeQnqoLP5mffzmpjmWWX3NJrFcuF5EVgV/GrgzqjzbTqVh3vaxc5fYLDsJ6tp23y
UNNV5bWpmhNWCIW/fVJ4uoWAiZVCKWu99aWfUclVcT51WmIIifw03KB4o62w9N74YdnAFyBjBrQ1
nXxENmPlqwhp3uatv+aSRKlu6fe/S0H6h4X6WTDxhjUTofqnhT5C/41CaCnkGV5IhyGN2EjsnA3C
8mK3ibSslOgjFofJAELJ+Adaw88l7arOr0kYghBvb9W59TwSWr81Dcf51fdJ5pHP0hjeDnVJcDmQ
p18kq854Mm/Ufjw88LzCdY3olOZiRGAaQyFuSELlta/YFvjDLlPZwhJuO7Zu74pJPuiVCHbg4rtG
QrO5CiAUZpxH6At76tO31GKdhgolir3cz4Vusa30OV0ip9f0v0atUQaqoAWllHsifz4WPH+Qdw1s
ysgab8loQtDcB9ZPmfQ2URkvRasEPBxx/sLnGUzrKLw5FJSsbG3HA8LgFJvyLBtcUN/EMtuHhhiY
gVEwdXqlPiwz8x1uzKDmoUqQdLV3uvDfKyt4KkhWNF1gIMUkZT2aptOcGzJ8Sjai9+evPj1OVJ0j
ALqT3aFJrVnMn06AU8DQGxascZfT2XJaiG+vr/i8q84SMKsR0EmXwHHH6s/FhmK1LfRUfUJl3pC9
GQgTpP/sA7ngZAQLUH3/wWR1GhP61XTAY6g/tRdmQ5IXGdRSycGw36lxN8lxwIvSNq86wFw6rIZE
SEZWSQKZGLwl8QsGwjgNNNc27rIa1FpUg5Y5WznZluagAKo6SPvKlV+pLe2WKAkV9iRMnPbnZYv/
aapzTidI3iMmy09/xCOyl6prbOiy3rVZnhL4VL/7S7/KkVkkyilTKfhTE1u/l7BRYeek64FwhUor
vKxc9XBIywXMqKTifYPJFgz/+CwCCbuZkV9ZBEytMv15uz2Vgl0zuN6s7f7zXkBMNx4GCcY/286e
MUnyyFE1hc3jCipkOR93PrPkX5iVO0IjGbBbvqKpRZd14npB52mc6028lwAam0dy6Gcepdto4Mwt
ZKntMBaPujvOf+MU4z+9/1Xyg/dY4ACGUA31hXogWmM5JY/dwFfMrTyGw4vXgsb286ejmG2iYK0j
APlaeQuu4pve8C5xso5T9j+pbJpZctghVShbg9LNwFKWUoQ+oM3XHY8dj5h662TCnRS7YnUJqpEF
3E8jTrezb0kSmQDrC8e6glUU3GEcZCKwmEu6/CiTBuuydHjQOE6is6TEkrDy/13ZCrBMLA/DF4UM
AjYxOPQRNBvoIBmwg4JVNpNr49tQMMzqBvzc3MgjlYwAoTPmy5vTkNYA66jiM95cr2k422Zh8EYd
5O91ha2tbxz0T7S8oCuSkdcBohT4ZFS0GU2W7zHc8cfshY6eSh2/1NJgIRBPIRiJvp1BkUZgw8DM
5XPXHGLKLFu0iMWTisEQ5xY3yLUICHkXfWiXVrr0VcZUM55LtsvXMRCoSZ+vRo/tkTIRur0QdjpX
X8QW4auj7VXGsIX8M+SmOkFDCAvArl2AqNzWvqh5ttcrfdxfPajWKYfzOroPp6ujpkXpb817G338
laJZh2EItAj3zGSkk3jcdpi4YRK1jdJzx49SRGkPOePPwRo79vq/Kd18RWidXmLOpksTQW/lpAfh
SQlY9f9LU56HVXqWiGx8jNxgFQp9fIloof5jA61xb7D6Cxuj7IDfhq+XJ0q0PcBQwuO1UFZ9AomR
I8pGejGB0fiyMFeX8BfPony2tmfc5H80uXn9aDserD21lUwGyIP0uPhwoBkiDKRPUq/7uqatIHzk
7szz7YvuMKDzJBgzbO7lyAiOCDmVFFONgJc4xutm4FwUCzaEy9XuSRoX+BAvQIkMXZ8YoaLcXD/s
SjwXAr3+PwJj7jxGx2jCF70X2fj8k8ucnWO+ukB8ukveBnzMwqBBa0imPNXmCcQIXT48Q+Iwpduv
nXrYrwV2P2dgQU/yW+eGDRTt4aJ2fhstoT4PtOsBST7trIMhE+ner/e6iZfP73PDKrGL+SFxE+S+
pHihPZAGJTZvfJEXhABdi1z6Udw5H7D4NzRQF1p6DR5QEQsvg4fqjQpjjaO4o/uuDoEIWxzUJtzu
pLALM4yqoR3M4kmHxQCaVbHuSyFZoXtdTD9nRSMiB/pNsGxZGH9ZKgaR4TZueMs4tSM/KAVRu3oX
1QbyO5OhUTz2z7Z5CEFLE+hzfSvSh8Kx/T2OBsV5GF4SUfuH2DT7baeZqAmT33pJzOjFEYz1EMoY
IDQIIh/r48y+Ae/LC75g4PTsgsoEhPyxHgKXGcZyiV7s2ovK087ksHeWts9gWgkzglYFcwZgUN1A
PD4BkPImtR7s/lCEuc+xQQbz6SBEiB6VfmpLzJj/WnROwbhH3MdaxFdKv8OuY+okIbna56oIdlLB
c53USQy+GjcRoHcS3uwD43v9L4FdVujL4If1RSJsvMDsQcaQNGbU0dYMnUCM8tuJU9rJTawNMxBw
XF+Fb91YIdKp1zmMcywDScn1Dl+vTnFKdVxz0sn68G2WohVe95jpFVkSSyya3gB3ncUrFNiRHPQU
f8NMenqP9ezi0hsFUDWwU+MGyCwgEHHtgCmRq8v3m/53Mu0juz/y/hzXjDit+yuntzNfPSAlJ6kr
tfj1LGv6gUgMABA0q1SQEIktlwDNWG5NmDgeeVjIZPQrLs+ZLpqbaytK6Xuh5SYtSAaFt+tdfYMW
VIcgpIlIMSONbQc1rAdrEtSteuJVLnn3yWz2damsMZuT1MBo778C8S3E/J6Vx51aeyyWkZyFIdwQ
hUTviIIX7jb/kZw3R1+YEqJ4SoP71jACzSL6e5yo5FYt47N0eXLWij2wYUz98jofz4FDOcrFBjSa
Gy51xLM67sTW/i2ybl1xkVKtO8CQF7Ra5Z6hOUniOKAnlzee9WgrDoBa640ZB8xNBX+KDgxsUdiv
5UnnqZq7ZTMMiRHLw/xq63wvnAyqjbNEj3jqZZblGHtziEposLruz7ATwjwwbNbEc/NIloBBdFh7
L+vMKJbkVKBOaIHNYwKWGtp1xmMYy/gTTD31pB1TsmA1ZKy+gd/1nObLodxKhcnmzt8Lhirp86uV
Rswrx4C96RjBz0kfs4rZn/UKqrnCvfsrUdvThUrv9/FTk2EfS321kjLyaIlfzNvyH5D8H/3R17lr
OJM3AwpP+n7udauKd6vdm0kpDecJBM1ijd2WAbsEW2bK7gSaqG5S3fVDlfATDfey5cO9Hq4HDKAb
eYvu9hqrDgomu6uVPWwq+eYbCJ21sj1g8veaur0lyalkZwkucDaLzZ1dYvu9kSg0zY8kjDaVVe9b
BQQIJr3SwvGLUvGeNsqRVMA1BA7YQ6RK47aOpnaZxdLpIjHoumzI5BwMA1UGvkAadvumwF1VOikK
V5o5AVjFhWVn0/9tH4cSVaOe0koCnEuBKw4o+R9jZ27QfEsNWbIDXJF1guW8SbmdTfKol5ZJxSP6
KcwtQqaBvBXy2FG2l6c0Xnav4yR/DDGra66kI6geu4dnWJPEXbVrpxTl+PgOc/P5CjKSt/CSR/Oa
IoDoWgHwj8lj3h2tNk2lFoTcWqI0sy2r7JE3ybZXwhARYalzRMzexCS1oagfeibj2TWiunduW74g
WMQruLCfgkFNFFGOlTVyU/Y5ed0uBMkbbK8Du4nwWcfFQGnKz8IsBrMJMV/91tdj3pUVcqO7bVwy
DOs5RPIOQvT5BsnZ5/W4n5BQKh1fpnqzgimoRhcXd5rxw2oqbO+lBK0SuCoa58h84yfZzWcof0sY
rcQRR4rjZFzt61z6L7HEMngK1pjjbnC9pqXKup9bg5ONL5gsos1+cgNhowToqfe48B2RpO5uoT/F
8W6FDM4LBC/ix5cvAen/PnCpmXGFAQaBeNv0iRrugmT024URBtg3U90OtEtv9rqSSz4+MWwi7VUz
Mawh0MbS/pVW7xODQdh2zmzKjHwwEQhuVzuyRPM6XeaywdS/nvgWwNuorZFWrR31JY1pDlaBv3TI
lrx22/Xed+oQ/SDjEr0mOwjCSR/JWF+sxYrptWvedjWuSGxPpoq7laufbvSy6o0HxVBOAqfvfozc
Rdb8rTWNGkVMLH7Pw6e4tQxXM03GuN+6RtnPY3Epp/T17B0QDMXCdeJ2nAXZkB74VkO/WVnjHtFD
xRufL0t6TXNWZiAgi+OH7kqGjZqcFze1DHafX5vTps1I1cfrww14hNKL8Kzi5KCn+ICdHr4QyaQo
x0JD/5fAKP1fQT2tsoCUPMb+ruRLkda2sV5d77o4d5zEx5SKKpuCnEe5qLL+Kte5OCE+VtA4k3OH
reqGpD8XT1ydDOGvXmIjU4N4jk+sReiDl69ydNvJBzKbf77WZDn1MJU49aJrGunWZanZkAxiNZhF
A2gHzU5JfrJ8xGW3t2WxUUwiQPo/UoltJv4ERjPdXnKWimWshSUbNOM4BEzDHsJYt+zV4IaTffeq
NciTEjXP/7kvsUeyLRnu7P9gvyQILgJUslgPezbEkNUMQzTTgF8eqmfz+jvNQHPuhIc1J28ixAHb
/8ip4CP7Ym6zUlpWGhfy2O7R1w1xraSr8+dIMhWPY6NdZxdjgSxg8hV1v7+UHiXmvAcfUTZCMs0v
Xhl8L1SJNwN0o1xdwsCokMC+8KLLuxyULIlFy4pGhai6Foylc+SGLen/NsNOJHAkAI17/RQHFiJY
7Zq2C/JORWKvK+jkuqwYFWqe3RRkQ3XsEQGgi6LsMAH5dedqGlM9yUTdasauez5bfhPIR4WLXQIH
KuCHaijBNBRFEtntXCgv7AlXNglr5dmN2dCzgewpNjDBP+gb8DmLAZ4xUoQbkwhPnG/Cyy17GStn
QwnUyF8zr2BG5b3GJdQnwwvi+DB7vnKvCemC33sa97Vn0sJ/vtNquT0/pLAeYMLS+XL9W8Lvlmx7
1wZ9V3ScJdmR9E/RtzHSTahyOxaODnVRp99soU41VvT64Y7YuHm/iO2kft1xEyVtLULMVKqCORdX
31eWN4jyMfZlDjBLNAsdcB845jMbty2hDlG2O7aokmmBr8hfEwxRhrKQ3qrXz0hL687p0fhuz6B4
PCCvXOgA3oN5qiR3zyRTOsUO3TgwtcmTgomw/Ho0CEp73cQOL+LRkznMTKGTllvxAw9AYz5qf32k
pSlUjb6fgXpFaoimTh6EOdGsxi3r+foOoynR8SYfupQ34iwEDywgutxvNoqDWxhP5ZptkIBBAiRP
RAJinROnJBbkaStSocKVWq2toN19XHOhfrzQUckLd4b3vEycWzDWsevmTkn8kZz4YhXXv4aw/82L
78YOePUC5yut8Cuz9nBo77DX+CW5ksfDLlfriiSSb+LfkimIJj8HYah+GBALqmsu5Qk488noKkSr
uu+BWuknI1iSNslndsS4hyBgt5k3xz/lM74oPrpSsqgF2H7estuL2oipHJd8zF0f+u3wwFK4WC3m
R8HlF6IwGAZmkv3OVlND5eLEIABbDqp72Eo9ntdrh2V27GJFnNy3OoHSfXfjojDrm3waVD0ar0QN
49wOEg9yOhobd4123W4sBztPr1YRGBkrKstX/5cHm60qbtfsDMuEWgkieIKBKxgK58pfY3tHpI8A
PUn5tUgZq8XPkIJ8a2krXofmnGpgrmHk/yNmsfECt3Q92mAm6gHrr09l+dC5UQAQoGlmboc242Ke
wOb1Jn42HKVZB+5HG03ErxlPkG9Wrkde3fj3aIpiH5znX6IX9Yh06IGf2XGlZ8fHt8rk1J67UvjA
VOb6wtyRyiQibyzaMfY7eNngy6lPTYarx7eSkZqdMZAnw6dlypS/Dp0yYlXlQA7MFKKxieTDiuHh
vGpOinQjBBHd54A6agHakT4aliPfG4wOQevlcAR32IE21vcXn8xAzfJgvMBzRmMvQHtrY8s5csOR
UlwTmoZgbYTODRumnxsVbGyvwcb2XDT1BHN6OoBjPFj9XmaC/6zR9CVAwjg4sTxN8gS2HLoOYP5g
ruADWkWMgBHs7XBwzKMk9nZz+1S6R9HEAk4Z1YdDySRAPCBG8DWcb6v5aPHEl6+Z4fA24h46VcHR
1nnFcxCHqNdrGo1iKGqxPO3tCj5qlmmm5EPB67JNIj8AtViD2uOpNLYqkW5+gRJhjYv8eruIokFt
3iR0Upe5lTVjvwvAKcWCe3mTw+38mtKeKr89w92YR9EsfS2zq3ofLDG/d4DvbR1oSDwG+QCoQZbM
oaGcJD1MmxaFOeV2a4A2rQ9P7jIz6/Qaq3KHX2RDssgV4iJ6DnlH5QYqV8HGM8kmEHOO01ldyTOR
Ywfd9iZZAxrj8YFF3qzP9GaUwgOn/llxX+T3zXoCIp8OUwh5FNUdi2nxONQNvpRTI6GVkiulCCVM
01+QAzEN7JDn1qQt+WQGBEncoIc2xqnCjHT3yvuu/lzhyDScMzDi0axV0iGAkvl5tzDB7g8R7i+9
t8NufSD13q18x5K/HGqtiiMXx53SJr7/B9M4rqwkFu+Y5jYecHbknS2x8hF3JtRoc3lu6FSzf0Bw
7bLl76bX1R3Xi7IyKnKEKtgIZWVIUK/okhcHS5PLGlVyjxU56lCRnHZghBKm0i0AhRiS1f63KT+D
G+LYJQCko14HzcIoByXeXlVkbJ7bPZHpazAy68ekxsCMjPEys/VkuE1zqi6Z11qFVqTenAqE0oR6
jSdRQmFkxzMsmQGN8vbUhFdBoicOMT7HtCkLf40porWWvYMa3JG1ZMgNnzmYeG0CB/OtYF1ax3vT
YOu0AJQPMc4CucZk1lltwMg6x8IdrE9cs9qiVg1eG/HvWDK9cSoQceEWQrr4Qr2iCaRIQWpekFM7
Dq0dY78vy6tLdakaQAdXGjUiQN1DLNG0c4xWBSOtgL/qz1T14n58NnBWIuVAq3jWeOFuHo7jY/Jt
WI8dfDtkzj8CLPGwaZdSXGjZ08uZgdNtXZNgvKCU8yIiACq+6Gj3VtTiOhQH5Nkb+7LAl6mHUtBF
Adqwu2sBCKhWFnPtH4KdQEFqfMzjs/P2j22RdmF1E79q/2llt0FF7p5VQHn3QWQS795TVdMmiKkc
jwxTLKD4PKSbROe8leklQYXQgMvp1OUKNmwnsRC7PYRNmqQw0l8kz1gpfMhb03m00Q+6CKK91ktY
zlHdTG+DkwRibI0JL3mOW8G/fmXjn4a/+9c8ACsSuMgvxW8wc+TMQOzdb1lcnW5lNlQgPO1Dtjpq
HcV1BhCUxujocEZuAo6FOJ4yplRX11XsXVbvv2lGhjL97mFI+PedYU50KIHdtsWUB3ULHZXpvLHf
iYHFblfpNvrw292QTC2NxO+8D5c20jBGopMXZArLJJaN+RnLQ04o50HoXFJqnfN7taOLXiQ9UHcP
I7ioUnDBwllXfxf0ZPMf5dwl6O3Mk44JNUnZsLj0/1CBo2vmp4SawMGJhRXJzFxt5mJqP6ieqvhB
DPE1OkNspPkTUrIMp6kAJr+aWdLds/afsEJcUjPtJiy47qf7EQMSzURdVf2ERDKBp+7cofo5LUKF
5WyyWAg7EuXoq+E5zd1Bnj4df3VdGDVPpFthIVfVWDhK9cMmf2Rhx+eY2BXz9+1TlYHbQUsNTQxV
b/9cwMATVIBh0en4T0oZb3aqRlNxsXlvNMojYE91O9gqv60DbnUkbmEkfoI4H1Bbmi2SlySjjeJK
kN9P9ZHpjNM3+xE9Al0916nYbEKEuwYXWOfn9IsK5Ji9Y4SDLx9HEFV3JgGbbBmQ+lwax0b5VhE5
zOzfujl/P1QpCPoq1Bju8IGhCYglJZkPSSs4tKVtBtaY5wKulUsnzKTr7yR9MDwVg3PfwIaUJ6NS
RbzuU7BaRf4mP/Fp+5vXnNiEEdzT9rf6VPfkJrLEjAAsUukaIAUZXwWlXAkdoWf5iIhfzXZvfldv
8YCOG7beFJ4H5eFOf/z2xckRr4EgHtC9kA7m7nOfL9Z3r46/BKeWEl5Q0l4Uc9Tf13hILbUlpowm
JsSoHoQpvWBBKQdOXN+J4JokTHjURG9WbafnY+DQS91Rx2IMgvVXQULVdCqTnXCZpkMuDU0vmNO0
e8gkkHQys4pml4RnNsHWj28xKn6Q600bwgN1/WapZGqunTKSXFuz71/ULOW310hhSl5uEaW8v0Dt
1CYYQVuKFLlfwypJkNM8ead+78yz84TadtqIsFUXoOywqVOSiI89eYztsL9g5O5Mi1oHTlLEzQBk
QgzsTSNIbNp5LrcTP5zaTnbAOEqW0eK5eZtzv6h8GqxrA2hyw1YbOldJT4Gr0VOfdesRm0JfGq9q
lnedUi9LOQ5W6VBLYOqWybfR0Xcq7djoLTSRGV+tAGv1KDp1VVppf6ebetzDwtNKHLSOk8Cy1wlT
hKM/6VeM2Z00lJsyU/NpZvxm0Os2mcIoiUH2ssO2LF4OG1c/m5wZ9shm4ZUxOrcUVWbu12uIlYDS
cWGdGzN1chD+5velXHIg+ONydhBNq4eu33BXtB+J4f/MHAIVXng4FD+I5Av9U21OB8OeBWEokSxX
VWlZq11V3hcvoF3mblfbSzr7/cpNbkNSCEwNqvCihynA2LvgVwaC5Viol62wBl6gQ7UkjOLoAdUk
uE5bMz8yxnZeEFL8kTQ/OWESsQIjqvdJEUzSQNkDZaQ2QvSiLwrKSiNdsPhYYRD/5LyDvSAHRkBy
/1N8+Pb5hissZeWngLElsUr8yE92WAW6WhUbgQBSfxD4lWA6iSqkEnxw22LAzCShf75wmf4NQfyB
V4409ySjJS65oIvnt832DCs+SYamASASO4ZOjzPinPa+Yy8iigjaLA7QXoXJoYCzJLNBBSIlULBO
6m8oUmzuXyZXRmhZjYqRquG4r6/jR6W8CY/D5M4zZ76KU4fD9GnZwrHtlV3V8r/20ObEYQDqGoLS
dnkHb7lkk4PJUgGX34VY/EK1eW+u1R+0YFywjxD99a1LEYRA/FrLAdJ4Sj1JsrONavJU0qoU0ofe
2yaav30QY90xfEn0RYn7NNTNCLALRcY3/iYqpgHeI65wdI5fG0Dtj2RhvLgegV7+H0XipxsujRPU
dnT4WH1RE/0UdQXvPu8PHUDHUYPjxFyF2z0VlYjo52Zcg3wXrucmEl674mjCHjZohhKYWps1gXSq
LQfFympqlLwOeWitzIMFqPz4+NbmbYR/G7iwtDq7vyETe9N+t9Fk0bqk19km2B3RHMNOJsbv0Hca
JuysgNXee/Zbehv312DojzF/igaeHILUWDp3ymDfTZXHvguKsAC1oLA18cPjVTqTNirxb/cMVv/S
2CWbZkxhGLmbKMAcb/pEf/jlr+snqN2pIbPAqdEdiM8GtdU60wg3ax3YqvM0mzG5TA/eXw/pNVS0
gMd30bDlgY0mTBwwE2emRTcFozlQ4U0Jhesobj4fqRh19Tg/A5uElgnPpvKUP1Z0gJEcFyorUyz2
o2k+H9XLUohI9TxK7cHKPo1Ag88uPJQDz4r1xduOE5QscdOJOVY0duceKpc29Wp1QWf/qn88ZYXX
QKv2GNvouoxIZSA77GDA+DC1orsBdWs+MUzt5XQkLi5GHAd4LQ5sz8kVuepqJUS0tIPzUHFxeNso
wNs4NDN5SCwBkhULxVpP4H5O7Y3ZyB13VoivFhEBD99dJpjQw867KijbE7Yp8uw7rwor6UFkljjo
ZVIi3c6nUWpLaN51G1CMyzPQKFH+MHLAMQikfmvWDQbWW9IqVTYNts9fuHJ5zpYCFgevRu4jXP0Z
47vyMtAqIIXVdgRRYqDz1EihpWB/w1rwB9cTo383+qPF4C4fxl2n6rW0gg+ScR5Y2oF30bnT1gZ8
vEwBOm3P1XknmoBLtfm4OVytlCOK3at11nWgJGGU+PzGEFBWwrf+v0KjCzpr6EmLL3fNTtgi+vYD
fKdXR3U6dLLxJMuWc/DODv9JuPkwi4/+oXYUndT6ZYjX34K5sZjHEIpfapuMjuZgicHwv04tAQAY
xSN9+DhzXR/IO//sEn2Trrhm9AaL0sd1bduEaOLe99S/rtjmkPfbVjpAhhacrlFVYXbcDDxPjFNq
c2wkgbUmc2/qksNifvJq7dEGgtVViU2k1C2X8NA0r3Ohsh5iOVIhKH/ckofEzO/IcbX0Du5IlR7A
zh5k9QaYgH8qQ6sqLi12oyF3tfftFFx8HeiRFRfHGvadsqbxJShz0iyvlPmAAFhc/A+PuK0YsMOz
wukDCkTEXD3zVZWTW++6mH1ATHGkbRNtSZ2367Q+oNjh1GLK3lAuZ16LQSl+DXjce8ihW1STindt
Q9+DoL5fEAxy4nvU/S4YCzW3nmmJl1Tj43RUr+jqM6oOiHZwLCgyTV7rTKHu1Qy2gdn0kkMg/Ic9
mqxpvYnTu0EEE06SqpQFcbIPk2uhUk4vl+WnMN9XScMu/19ToWPPoVXHfTiowhWRwkQvx0gGCy2j
QQ234UZvxGLuBUbr0+KkMZHQsjRjlJb0kWrkJV4oOQR1zUHDJnC8dLcSA7dY+ARpGS2tjxgdf/JR
sQCMJiUlFrcwsgMg5DJgXR3apfX6gT7DBezMFXWnxHr1gnFb1I+VwZ2W/PI+hxsvVKwUST9vvJXb
G4bnVBJVK9hwHFAJsHR/e7E0kfBQZpphThmLSJf4mHGY5A1b9CYAJCnTGZRDxqnNkCMKQ2QHnpUW
BVGZ9BNrlVUgTFaFmUh5jDEg2b5PrHltJaBEjC2fTuHC9gcsXqQYg2ev5I+SJS5ZCr4XLs0jScEx
eIpjH/vGOo6IqDPfrh2GAUB1fJ9f+DM0fUHd8s3njPM5P57kQ7CIbZO1or5kdTKA9ueD1/dFpIR/
zV1mJsvTINkPk2dm7SGWEyq6RgZ87b/sgjzo9ztJP85zyONXUBuK5VB7LHOSfsc2doxmPOORoNjs
t0S+RD70RATpKLD9TfoGwJWNSChmJL96iYRnP9c+/fL+3ChOxjkoocWCkeGS3N0dI/bcMMTkhFFx
Ne29KUghWsYj4jOE9nXTYax0Q7K25/lw7/N3P+1QrmRImcxcuFsw8Iv6C5xmx49/yJSgQbLd2/dM
6p9Ykw+gj5ItmYiQ8pIP0frbkErSzynGc+MKUdZJUh3tZnExU0I4hiF0S+vtXzdIhTiqQOkSbGh+
mvjSgsTYYSkjtRFiAFjOZeNcTJNQqQ+OSIaQvnpCPXUaHoG+VKmKEkr1R94U5KjcoPTF0GQ/Io+6
y7DNdm3VIS08AVX6Cof6hozU6A3g2pYOjdD6PZpqRsBv9osa2bLaPZyU5UZ9e6mstmeloX9EqfkJ
GzKu4YVtJVWv6gOhtG5V/7k3cgiuHA1CSjp6zyKl/wX/tFyVd/x/pMPkbKeLtJlvJPL9V1hsQqLj
G6zmD29DXrSwBqj5tYoqwB4F0Zr5yPBVhM3y6UYQuhAk+uD/41YZUI7MrbmqevKmY/Ur34uimZQ1
MbeEOKjsRAltC9PQKO76LbmDbYxbqZaUTyS1RK4LDIfevAyT/n4ixkUBigSJcwXrRjlaqfBFcZcG
UmrtkpoZiQ4JfsigOgemWrYdFxHG7Mdns0C4LXfGa1KWjmvvhWFeusINgtAyczzjZtDKlbt90QHQ
ZNr4qrrrVVEb5v61xyjqj3/b1cAr9fezneKLItPOVm9JIEH6DsRK+diTY1MyKfqiLdYVanXRwFzZ
V0Xi8FQ957y6ENLav8UV4K0WaUvRWsKul88Ocq7mJDexpyY/+6pjCq1YOmjj9dJN2JmLvKJOPriP
r87eSRGpgCIQjZ9tJo89056TpuminJAC2VOwA92cg/NXoLtZteZ/ThRQ6plKPKg35KVL0UruNKIv
zxPFchZm6dUdknP/6Cvcn4/mWbZ4OQKyIoJR1apn7/FxN9+01XOIuuvla7RODbEMryQ+CWkqoYs5
7RdJZ0x626GbgFP7FFXQBu8nFFTepQJSf9PK09ThZv0WQwRllJivGu4MPqRzE4t8deHmNGWit+sn
jUH62Vr/Rvylf1s5TbrCHHzIz/KOQvkexZiUBdT9aaFubKReUiHRAB3ARFkYtz0jWUKV2coP8Afm
dhCq+Lc29CY4HxfVG3ffeEPKFImmU/HBt3KInqRdCcvC0FjhKCSZc88CSGNRRiWNYnFyM7XJdyU/
7G4XeQuN+KhQb/dv2EMMucGidL+3vTDgRP55qpS3s2bgMK1uyu9/4Ro0NpMZjxYlD9pttERFv+/7
UpYSfzn2UK9XRGWP+1RhAGMrX0yqyZYGA7Vb09+Rm4y+9l+x9lAggclc1FJLEN8EpiR14tnqtMoC
WzNyxp3bqQMszt73PzYtBuMhg9LtUmA4k7AWuGL8o0EE+7acLgeztAFcov8PNZqpAEgFwMvPBQY/
PZMUYPYaQ+DigMhtgtG7hcaef/PJ7uZfwx638mrSq9c6KncHp5eXWYA7p8IhtuewP2gbanRDdwEm
XamLV+M6m0BWOJpZLp9Tzp/DbBF9P+qJcRxlgsf+sJt3aF8PHH0vgpXWeLg6TrKULMR5p//iFXuI
WDskedziJi51G/SJwVZFJxY/QxjJqXiQ96XxSUA4vSWw73G8438FK1L8HixHWajGRL0FyiEFAq6k
A7fhD2dFf7sCFRLsMO3SvwFtEhtdoo14NmnXWeCGHVzYddzfSIt2KlAimYwiQo8dI/f/rjaduyPE
Nf6LP+7fh7oOkbMw2gZFhqn2DMnD+UDtWRNDAKVpEStPUN/A+BWWD9N93mvl4gmGgxyBXpQlt7QX
A/5gXLymP1KVzQ8zr2yMr9PjR72WcWnpQJOOwvv+uaj8jgizn0+7TGWfbJIz3zWWHyK/NCu2hVyU
BNea4FnqbrMhEYhMTItVXUxy+W8PBerJttQsjpgoh5A5ESonSdB9nM8OZC2HPkSYr23dTWbAQLg5
5sMc2mHJNziEv3M/C770nxExDbPocg+IXxhC2D9XqY4KhVrGO2cMcxKMKk59L45ZAfxbW8dz7zOS
OePgdowCinPcLMawgytTi6TrpXVjMlb0euFGJFGgdAvOJ6q1bDJJZ8oGfyv2CQFk5fHdYkIWKqp7
QMFYa2dZUHao170vpXtu3ShSRBRiYJ0E9fgTaY2tRHf3Gt5GkfBq6NPqAbbNCPiBeHJEgmR4D1Ol
//qb8O0O5Q4ASu8vOFz4tWBOy3G/mp0oinwbQ3PxWhPpLMCx0/R7yUEFT94VeIYpA79048OJwASH
49VapLqGZPhPMB4270RHGTITPvuoMt/xu6axK109vtPFPtBXhnGnqLo6g6LE0kHJIgtks31Xsmvb
P9UdHYrE0aT+64gQffMowfiGYkSZxOaa31mxrR/kamUzmBdVf4DtVvnZYof+eyffsAlVadpO/ZAd
MBTCrUKGvnkjrSNFkqKsBixodGkkSQqbloTTWtinwcabEsmVeDZutuOPxiO3zM+++2ndwD8LA9J6
LuENRoixy9KdJA3xJH/ziIm+VYJlGsTF0gFAvFeYz3x4GOf9oxNx7c10sENpc1xfVm5jzVA9i4NX
b2yaAq//Ct7l8G5Z2QPNYZ1KShcZdJOij3l4z6AObzPAnRx9V9HBgyJKiw5a/49sc3CPFgfLS/JT
YHSiovsyK3hzWhHmrHU79xQ6VMqCaYXNe/fcsOAGmK56Q/R9AY9pELu1MJIe4IOpZCmCwOPxGx1j
RtUawU5rjQ8bO10xfEuR10t2iONIRwvx9yXcUHcqztb8EXwXoqjqbNQEtQ5GhmSubamXuIaLJGsC
9u+pg1+eyQDxooAsCptwguNV3eXLlZu/QRg8qYh8rXdb8dvs6hm5Juz9GyljnmpDwyhdEZLuFXql
wFWouBMUREmplsCGtqWfEUa9NsSNoWFxuM2HohNXMiQdD1n8AtJqBzz7ConwVSJx4FWSB/jBg8X1
aJWaeOfl+88p3Q8TOXPG3JRfum7GgbVS3p5BpwQizTDOJJaGYx/X6jQgKebCc7ika1kVL+gmg+cz
iShUix1Ipsr7iPaoA5p+YSDBIZSZjgvW8Sm3O/3wp6wdLDmhrUtCM1bE900SPQNxWIE2GKLyofyH
QiVcNRV+PSAZD4oQ3YTuNFDVzUqORBvthBrI1n4vmsrLrZavVHIfQVq7fgjUtN+d5szUxSxioflf
28FjiV1ETt55nkXvcsjUsAQ7Ih4z/msSYV7672qrdjJff7DoeNHLzDSNWzPsbAlshn7FFirtSIjK
z4iF2KAZGV2BOJBvKDFypA8Ye4gEB3W9L6FuzxDDPvYZXpi21ptVZVUCLCNt/jCVs/wgJjUOSEUD
+erQ78zjDW4L5fdJpvd3YSVq7Kub+Ai0t0BBLIwEHeFoCAS3E47K2dicoYyltQzFv6kAYE/Weoow
SPwkOlX/WP5xlI0f27S1cVlIS2AlqmSQkyHnHnQ/M6INNu0UPkz5baeZFkmz4DcvecvEK37umElp
867MnuqicqHNoDWlb5TFbVS3jrLvgEADO/j9NQDo1cslQ1uPgzAro/NyJ9CXnze9QtkcsHbYPIU+
AXOYDT3wwA2Xfc6F1XKgYWDCFNboxDmE5pagEdLX4AoYeGmg9qqj/QFTkhqv0RZKI8lEx71jBha5
xxJ9wO3ms6mOn13Qmj7kiWE/kna+lhv+lQZkAsbJjmx3YVsNT5JIKC9I0J8UcYiUbk4BZ9dC4iZg
jEauzKeLMTqjfol6rB49jFPATt4PQIKAuNXBEAhO+qz+L/dfZVpdbrffMookkxUmhHaxejBGq4l8
pCqeJsqXfyOHoTi8pTBJEHkHOzMB3dRYVLN5LAV9w28W59ZLb+VzQrNk6+THOKjwwty5CGXTh/V6
296KHqXT7QRogDlBPq5SFAwpX5gEiCgkUFvdl7fKEn/+ic6s59fwXzsvLRljx21DLNymbSiCWT3Q
jx7JBMikwfRMWcthsaFFfpmtXJ1fgewOqwbEnx7+gdHJrrtZ7Z8CMLtT0oWLX5q0s5wP8ycW1KCL
A0LoIzZkJ4GORRLh090RMFFWEyMT8G0Z52b92kaCZwpBKHU/Itcdofbq1dUs0/TvNu/+/JMfyqzi
pHUBI/ocnCLUClXCN8PRIMFHadgQcSoDfttHva45DAU1FY5vvgbrlPgEIkju20Oq2yjeO/irGIve
R6Bs7qvNHWqgR8RXUbYe+Gnw9HaSEZhcHKdgzzVSWPsZNSI18gwOALJHg7Al2KXuAyeJQmRfnu5N
oEg8s/Zd/8xL9c/cepuSVwQ8SF7Ws2OFARSB4GwUAqJGBs2GuTlS5vylpytmWvDasxJ1Tjl6gVo/
3faL9JZ/HWQOXT8GJz4FjMRR+G89FuJC3O6TLhxm5oEn6KefsYnNgCbAtl4vZm4q3SqyKzTfDXXL
X6vx5c8wtE20Db8cuB1cuj1eTPwYAvvv17uwNHsoDdPNSDFSSlxB+IuaROO1LGdQgHRsESqMnJLB
U8WmUzt4b4/zQwhUPMyWaqBHgCXzpdQYFii6AXZQsw3zD1sD8jEeViyqOwbOwV5u1pqO9MW+4hat
xPiejArRD0qYvFyndTud/umPGHQslaB4GkY9HFgQQSMc5uWqZqfjt37cbJ600tEjWXytcHKTIYQX
Km5ExEXrR6bO7yvTsltXoSZOQvNgwjWdq2syfgooIFdEIh/tBnCvlJ1sbhzE++Lgc+8sqGekDwtl
2qd25utjD9oCqegdeg8GluNHId3r4blfOfbgycHSU7OCXNvRHsIt0iNE5rNW8v/AP4eqfYSZuaaH
srxlZVSagsh/YweUxvKtEREFAvNUSwK/T5aqBNYToUbeDPEy52Rcc5vfkpKvuszPH74kUrolLTm8
CB5KaipngfDnfB/32dE3xCumenvtykdYfx4+ZrWcUQM6sHujk2ePZhjGD982Pr4gDATtu8cjqIvP
ephq1vLgq/AtpQQQKKzDwjdvQCuwt65H9LwgJx64m5aZcfrVkpDKqnwibwXs5/rDz7bmpOMK/tzZ
mvRebR3oNZiElwIg9T+OzkPmg+2/0GA13eMpwrwavRa44JhnWZIxhl9Vb8NFi0JyQtwYTRGxRPBU
BIgmrEURn6VHCGdqBgu2+wDpy6NZMAA5uVNJlnVeys+F8G9u2cz0jwnV6Gi3KZkAn/cfIBRKngSf
p8X0prfyG/7yXcie1KkkIn8Zy2YBaruTRuVshXNQjDkISZmMN06NwdzGM9yeRDlkaEnKQbEz9pDw
ISbFr1iZRidLOUQHmBW5HafcNZmg4cOCjBWFVB1St3wKaAWBnY5IFuLdmS0DgnbAMW0o3e8GJabU
6kn5tGbVizktnkQkawfsWtcM/BMtifMWskAcC+VTTG70+H0F1OQtuoyPAwI1DPVOmcRiI553Mev0
lBRfXG6wdZdhhhENf25zjQS/iABgc0GD+1ZKbxrJLkSrtdyOn6x+vqbav/Sye3TJWj2YxNfyTk/Q
3mVXskiP4iQnZzbEHLzxuNFwFeyou+nNcQ+fNSDIexiC87On3v8mOvGYALwbMZKS8WkMJ0z7GLxc
S9MD/Qnf9W45wbobILvnx5YEl/H9tEZeQdaxv4p0/wrICnHMB4+/4Tt2QUF58cAbbLubqp3bAYW2
VUjd34A9nX9nFEDtaMPQBmVMLllLy1+dP9kLGPQrmS3f5mWNWBsaPrMAMmmv5nQY/GB7UFgkvz8c
qm1pNMtBu7JmZKCkf+AyjkeHpcpeR9HP0TyJIQr5WsdJJihnTW7UwDAC4CpT0SJ79kMR0+mTSO4G
IUXpYcrA3lizi+wXpcUcmqLgVsGaZKfsanwxIgRcM4afviEORirYU38emHG3A3NaQMDBkTbjEoTg
Xh+3bNhvD/g2qHpqNdKC0igQnPRvCJWI+ZGQK7BLvLofwAQ8z3p5Tbo81570WRzhC47jReVsssHg
l7Erg96NcVrtcuxnSf8lJhen6zE0kQLF2UFLoTjhUsIAYrmQ4PM4NjZz2kpedLOxgyhfl/m/v18w
klrCE2201d5rSxTz7/kIpCVHxdE3CptwFfDJIgKR2pHRrI2a3T3qs/3vXCGWA8iEiJ6AIvMlVeli
jMtkzQdMQlopnjlm7VowDsi0ZO+b8vaRfpc0NSz2p9UzvDAIBAN8bwmzkQW/Slymndxc9ua35nQP
liJLExt/1vmZ15+o9ZCAjBZl5t4G5EOeEROhiMhaqwMd+FJ0ziXnDE3Q2ia22DyoyttTTyj/iyb9
NedjsXahd7UMOezfVjZkPp+Xt0rR6/5bEWMZtBX7e29mNyaxeriLsjAQUNFP+FhxPWx1o5RuyPNz
VRs7BTh/2Iw+vUrDE8YRWoZy38eA8vkKWA+Z1vKbrW7V+4Vaj7lZGxBs+mTXvqS0RnYFvvoZ6qVl
E8MKxJHwbH3bZ9luSmBxBu/dbI84b1xgWEQLy+ex+u8W+vOKPxxz45Lw7biPGNbYct2SlDXuQoV9
RuR5DaRI69NyH9H3Q0Tjo0NTZqoRBnA9aSS8uheKWvb+dTOIXB9HHg7DGEltPStC2DLcYBWsWipH
x5xk5XunhCAroCmZdjjjD7Np0BZYCTRSsmp/dt1VOPX26PPAtEggGQaIgQ7M+thF5R/bLMEVNpoK
4KEQTxP4/PZauoQmTrrNNQtiF6Jx9N46FjuDzECSNzCQLRhtYWxA6a7yvOerKIaFRZFbt0kR6MHP
g4kdEomdl/vufu2ZcDiobXo2hoJwHes8yN9pww85DFe1Jv36n9ykQfhDK96AhMskaoFv4HK+0lJc
ItBfoGsnJA4yxnIQKQ5V1pqvd+joxCZtj1kx78hbX1AEicOEZimVq9gXwpusVb1oQOGtBO4zt4yP
fD81CoUe7jmfHmegKDBhzM3TQcf44yc1eCWbb3NIbAzq8nNjPKSZ8xoRW6x+3BUPqHUBguYCMbKG
AaCApWPYRf+XTRgO40UoqcjrEEJmoQhZotNdTHT7kschI67NWwUaF3HCG1AEH3s1nxTHAaRfKCVt
ZHBeW0wUxKhpis5veHiPU04aKfeQhBwH1mM46TDal0qbiaKb1/2KMjX+Afqeh2nzSzgWRds0LDz7
4rHFf0h6S8MBcawwm6pq0d1TZSWElYEvHQ8nswrX9P1tkG/8fuJcJzbwBFxzGzSFaSAP5jPPXhIE
qc8hoRqB8hi486BCkz7WQnYhLa9cXCG5NmL2++h2xhDqSpC+NnUfWM544EpiNHwF47MYurLWRdey
3immRmMOWe489pUaIWbTa/w9m4RmTIfa+VIQWd2FLd7dqTsITqNaYS2zHekMnNHxVto7t3S+bGKf
iXorCgY0NYJGgktmuakyMMKqio8kBCgAWySjtnqv2illNYTkmEaQvLKXY7v2ge0xj1sZMW9B9aQp
lIino0tU3QybOF/FVuNwg0oshxNi2ye6fvvJxLxXSEKfEwqHc7Wul9ghFUKv3lDJu35vobpTzcO3
sxVrVqD/UvQUhtgkaCDiDAw+g96AVxwlND7ib0KDAdYJNJ3EL1dBfAN/BLAPu+CJCavOQvew8BpZ
JJmxwEXEgsVqp/weKFzMWiBgdDF/exN9Ktu/EjGTZBJCXpGYhqaZCux/OXqx1ORf5rKwVcDquojX
ZQjQ871sucvZjiNvH5eONq7O3flw81deQJ60eO6qCI0agjJfUWB+GU4527NdGHoWcBrVhGSyF5GX
GeSblhEhVPKjWSFru+s0tIk+cZYqrgpVoshHCEJ0xNfFDQQRDCMKhQLeskfJ13cK8rEclyQOPsx8
Xsmmy4LnVTDj+dgJGdTCWy0vNH+Lnf5PZboPpAwr6mye0rT0XcwgaXFNkbDZoZ9AUnU4GcILZyN3
gHvBeY0UCnGGNKrbZVGF/EoF8Twf6QDIcauydtDAWvmVHEFJlAc7zRcQLCQuSYwGEJ/E6hza61MK
iEyiGLl/prgLNtMVlta+CyY7hoUQJ1gq/OARnKAOxyLpuB+JT7KG0Y1Jx9OvqqyP2gX2uILs6en4
6uS+n5JcbpJkW4u5WAb9VmBeShXIAGAwI5gtqlrmBp5dR/BvDNc6H5rF11izG3OvY3kAsX/pLQzh
3mnzynsKaxySj09FmNUf5luIXbP7kioDPUOoadc61HTqPCIbukNxjMzvZOCv8Lq8JOkB+luXt3KU
JKBRC4EvZXBLQUHIKa5DYH86sH9olpPNTyuvZycQPW5vg6LNMFFvwZTGQLGlBXGpqc5L9Rr8NDF6
aQ9vgjFq3ROV06aYj63Ld5IqPnH9hsZmO7A2GqDjBaFctLkG2J5scEL4OJtf2LGXauNclq2zZ9ax
lM75mLdatcNuoOLUKDvXUYB6KtlFLNKI8nMCnO2me2rWSKtCsCVriDrusB4P71nv63C+3HoQ/WYA
Vn3jioxvKDF6Tg1bFnx/kN6trQwk2G4VUs/pqOpa29pRGb1a29hsxhIYCuxoPdyBZ0mboKdyq0zh
u/Q1daoaPZUMNkImh1y30HXFl81TJeb/2bu/olUrriZQZ/mmuSaxgRQtd0iYTdEAJvK4gxAs9I6d
8LJIsf3ROU6I8jh9jQB/avMiXFdAJ5sHKa14WRYSsSrWHsHM0XRIgSbdc5rml1mMPRzMF+Rm766i
7kVhUuA124OUakfDG3ZDed/BJa0Mf/4TiFGk6g1B+4YeyQfsbOFZdMpK+k7vjhsTlJx88NbaNiB7
I4oh60mY11TpwyxN+UwP1pGf6+LDXpbLjz/2XIfG5Vg/jiwqxY+T7Tgg674BVPxVApyc9wvrgsw0
wkZZot2Nnnx6/VShcDBGIRHn92nnkpRO9HOycexsPsX78KZMa6H2SHg93v3CFXWND0+eyOD/FOIZ
B2FnX7oToX+1uMdsG+eDG6HXuHMW51zgB2RYIqfMAr9x3guzYKJFQUtYi/KoD488Ns5nnRWT0x/k
UIlHltFKAbSXsG7+XSoqqYzcG1q5DEdiu2NWYrtD/zftTAjLAE2ZN4PP+RNZ1JiwKOz7jtEy9NFM
ghxOYqcfnVwUWBFTv/KaAajLTGjzBEj9P6EtbkqzJ3d0DPREYhKbL205+zG4LdOJnq846OIkpUgJ
74KDsdffV5qZ+tJvm2HCo713dScLLAFcJmdLOA233GxAlV7DhxkBkaiOim+exvKdiK2MfPHo9nHo
E9YxQDCgSbpnAL3Yz8x5quwUG7g5kA2pmvMozm7IJYG0auMye1hCsI6l891Xlz2OLu7THL0UDrBA
/TuKz5P4FNnylxB2AKQNy1odlni4Vi6W+xfEd33MBbvR9wywkgQkAnvIJ7OGhINNdWXnMpEBLWOf
gVok7K6bQeYeF8QpyoBOTcDluAl9s7WbChfXKgZEnryicopkYRFrjGM36WxCL/xduM7RgEetdpbe
U9jKo8vhHkcX+j7YO01FhOGJXd6+7hHoDOSa6Uo3hhNgrW8I/bje98OH7l3r3wUOMxKR8tVXGUbT
QnDPzG1NP/kWpwzWkkeGazQW26e/tfUIAuNT2Oatmn+I48P313HmOYw2KtKlq6o0XX+5w1wfRprZ
YRYLynZ83E8myq6mEE1hBcUsErssKHRsKBesShcCl0+ZVK54fadOLlg2oST5PX+nK6b/xn+xw3Pa
UXUJVrrvSEI+Ks7p46xvhAQtCyDwn01+wm89YQWlo4h7/NcUi74Q5n+Z5jL+YR25Qb+WFBccMtUs
fFtPs0+3oj27nPcaBc69pOclXS7mg7FjOUptHOzvCSYZj7rNgpaj95kX4+MTe5sn5Gd1u8SvI2j5
dbmBokaBmuF/XBMa4fHFMNj44ArRvA6vmbegCiEsV9qx2qrYsFGxkc8kTtM/SW8cNntKNbVLq4V0
Ou1ICeZI7nxdfSLrOgijr39ITcmhfI5CsLd7FLGSWCtt/uqy+R581hgfDex5F0sXv0rIkG+CKbMI
fv4UhOkKbZjQtAR3werACBbrVgkTp2xItR6Jo3fZSp9PYzgtjVGwasfE9HWA7Ta2j7YGtJEuQTBE
BK2Mekb5cx5N5RbMr7Af4X1ASCYrIrm5KrkVwSvGKHrujH6MVxt2L4k9Eu/bW8YAZzBVHscwPtiC
hfJjj2Y2UbubN8C1n7nWYKQKqC+oL3h+ZLPBCW04RIHs5OPYCuguRdR6w3stwHgn2XrFCAxuBke9
vrWLBk5ADwppbNl3rnold6jqAzI/vw1pEAYb8b2pQ9/PZXfzZqH2fD71vJ/bZuyFDsGRZiqigTkF
0QN3X4fhYy1JcxZ8otgE0S5d17yD7Ft5LHJBVfCUQHG+mPauvglVqBIiTbOaMM7BGPuOnflT8Vjk
HyTWslyeQTAXcKd20Pa8/pKWZwiwxI3k/gAwLbbw5rb0Lz3it1AgRvNq7I2lIJQ/o3r0NELJ6On9
0FojPmpx3lxDaG+G/7aJru9OPsE5dbdBoru8KCVrlAX/UOperbBgzAlPVyNzCq4Lm0judpzErv4Y
txlOkNTWkONzhPiNBbNsQJeQ6RWLBPd0JKLViJm8mWuhqaHB2bF2tTHejbHSxu5e262srbTIIqlA
HhE85w0VA/dGgJ03/1noJWMaeGq+I1PUIzc7ridxYxmAZPhpGRdZLXeW8GcyG5GmGp00kV4sHipr
lHWJWl+ikDLeCfGIg4/+uM7RubEEMM3Wpn7zSWHjGy48es4oIG1O9zvvrJgGCLdw/g86BelQ4bGR
aZCjQTKzU61VE5+QZwXX3zBO4H8feM7gQddJcJv4snrced0YbdARHLspEU2xxiGqc8J4PC53sgrC
D4CkmqTX45LEHZ4cFViYiHZT006UE59JL/8x6M/V6vy3Tr1wUBvvcWStw5t8WU8jRPyvqwVRJ4FA
8ZfO3yNEhiWeR6Wj9Tkz02OCYvDm0FtUj01tqr9kXfEbMZ+/gRuy2M5QInTcMhmb8kk8gRzNqOWZ
jTj0pCZcvSV2um0KYS4Z6olOmULlKxur9wAdBUGZ0165f90Wug+M9MivMJ6HlZPMZ0cQcRTaKP3g
19reT5srt4uYlVUTNO7Lxdd9jipAbQw01yaSNTMful99iOwVcYauGMcoIAFClkyp0R2QuSigE8Wz
tayqh6XeLGX8kQGPYA6XshEn71DkMW+ERCFhcege0m9RkU4o7zxUHP97V5D9/RrchfDRMdqCgjKH
UGBgxSDmK5MN+BY7VfEiG9ookJm/a7DQUZVlvqC7cJUWFqawNiD70lEDl+yNcPy5KU90qtA58VJY
kfX54a1PaeKLh/74LxXTj+6tAI7i5DR5MmXS2QdlkwnvLsEP6/rEcBIwzpywvUTsX+Mh6OfmUI3Z
qjsSshbLIvNgI7I9HVtcJROjohCzwOblz/r0iHwkYwAwAH3tKtgwHpw9vp44qGMLmiG18JHOLr40
OzEp1PHbavkRrZMGsyvtY8PAae1ORzdk9AkKEFl1AmhLJJwGCgkq7AsEowWct8IdHN4A9M0RVCqO
GrrrBFPjuGirwfEo5GGYdW2ypfH5jHqWEEYEDTYgk25+QMX3qUUJAUzY0S+ss4d9MYBYSNGIPsF2
Rkturhf+KvwovK0nsVPx3yKI0q75d3FhijLWs0YZ+Pw/D5z8yPUCVVi9urcOBeJEHwFaI6NYyj9E
yvkTx5zDVyDjBAfDAiCSHJljwYGNYNv5TgYfspwU1LlCzg/2knYg6Vg7HMm/I3AbzbQgpCEfitLy
WSMd+HjZEex8qOV8yK9wmngS0M1e/ez4PR8efxVOcM8g49th0jzLagtpOY0tCLkBpytJqrOruwGZ
rsHTg0TGhs1BicDE02dET0IK7hy7P9dgPk08wdHAgK8VOfQzoV9l86LCZxE3VzsaBSQaicAaGg7N
p+OxTJLWwM0zSSoWFocthtnGyRwgmRhZz3HwMDjA1tYGJ9CgN3aTL0kTh+cRPvZB7GbgJ0godXnV
DA1IE8abcvQASgxRXVtT/qJB/n8ftcKV9pTKMq57LRkGUTLdT6gIerqllDXt40l+SiHI8H18gKfK
hciqC02WaXm/BAXrf3ZH91WIFfLM89IPAf3gsprTmGHXA7F4ki4yMKdHHqtU2smncRv6/jTIU0r4
3Ge9KhUDMcm2Q5QaEsLXSlBzaUR/HGYOqm05Oq7bJHBBjbLfWp3cYfYHApoIfOqqjzVG4Kmm1vGS
U0dm0vGgu37JuMl6GXfOeSy8y5SyddE2NjL2V8YSu4VqlbxEnGfoPEMONEFbSYIQrcW5GTAueMUV
7Zrve5cz8+OjQEU33ADqJ+esAWQ9xv9zp6ZNvTJKaSVvnwNXtiTK6d3ibcjLPeT/ME9ZMyYvpUPJ
OE84l3LQNoNPYe77s5ae1c0QK4thT/JA/jEPNni6RAgGD1tCRJSnfZOgluEOl6tLcikKezzrJ77D
gzPMTMhw8BPnglARXIuwEEw2JTudoHh31h0uF2pwhsH8jJdmZxkPUVxLESssngt4AuCp036tYXaH
fBRU3s+6If525hoH8PIvGEm/I9tPreiH7aWQx0g+lu1uLgNjBwAxDPrklk6DjXMj3RYEg0Vh2kVG
vrSKZtCN9s1Lgo2brgBlmslHPixn4ZQ+b7ym/rrb3M0hivjhDpdFp+V9XTcum9/tj8xCnz3dyKBb
ggixacU+TxBjKTWMZJJ/ILa1lqmtoQ4Vv2F8AJGW3GfLRruRPxUQmXFmoi+89hFs96jZtwYOuDZP
9NqjkZdl6hGFeSLJGxcQiM+GxyZFgvUNCjyQYOSHAN715GYTdJMSiKZgQnU5IAMF279QdBpjT+XU
gjyuRTnQ8uCe9NOSqLwLf9lydFzBDLMuDlse3Vlw9+avMepT+b/RF5TMugwIDODR/QFnxhOKL2NB
JlLhwMzPjTVOe7ae1zzCnBiIpnVPPsCelX+NmxOPsbVWxH7FuZCxnZfIvWEFdxwWVWj7LZm6pqdh
OtHzMYdULPJ5+wiewdPXR4SIkn9S6sV0WN7wJYFMD2RysOz1RlFYDMzK1pi9N99VeRVEWarBqviQ
VjMyUuInLdd/qyLJ5VAHr0OWDqu71URkwicNyN6n2hgeYJsQIl6ymQZACWW2v3jRLfUrShUzgGUM
sol/ROqk28mGw9BgZQlS6PC17F2vHCEdYHxi+UFlBEIepcbHHGn/wqk37GgC/xpfH8ZFz1rF6zjp
oJQ7xv4riUAIhwcjW3foPqmt8bUG2xrWnu0vlh6lc/lmUkhq8g4X1vYv1zDtUjamt+JNpVzUVJBu
zhwWeGPYHoV1mZM4Ii/JQXYO85xUdhmBNfNy88j/fhURUe5aT0otPcJko20vS+NxqkuU5qYIpi/c
kadiYNdXshUbD3jBWaqpNG8Sz4QXTrZG/1xjUb2OcQOjEsQ4P2OQFIj70TzJmd2OWKbFapp97hiK
AhSL7H7k3GeeNpk2Q5m47npThs032kIHJaySybTwmNV5hIvrF+6KhIPMAx3XKP895ntRyBC6kLby
ZIURyUGhLZ3KwXpkXAShPP+YOU3t8Zny6aMIIMSM7zszvmnNQSof8VPicL17FwW410eLPyuCL7G4
LA92uzojGIGHKLq6Lh+qCNRdsbFYLdMgcOrGo+EeFBNsPDaFvGf6lDCtitzuT5HHyIu8dEPhcRvi
ceEDZ8J50DEc7zIjJMy0ncUNRzsPPlCZQs+oRbMbROo5xkQ7GC0CitnArFnx1gBDwVcvW27NPR2d
X0Ps+klNuHIioeaqjIht4ksBd1VHyvE7npnl5T4ZrfRcx2wc3B3aWPaOZdyEv1Pc6d/mHOmrYUPv
9OehHE04ZrzYtmEwKtj5wAp0F+IWXX38yf2OYfI4QGMDjLz8pzDS3kunCFrIeENykkDjkQdJksMJ
59b7N+JKXT1pjPNXkZcGfdAzTQ2oxgoBC/HGI0AS4jV+5nbarZymOi1eHxIuC1CB2L3gNUY5tMw2
dqpsFSAFMLpe2NJwOcOP8BRNS2cxQJrIFajvkE0wy0QGTw++29DYlpNW8dPo+luui1PUDVzcc2eH
YSoig+lD9IwHTy+7rF64qKwS6ay6xPloWblg4UGMnRMYY+JmG2ni3rTRrGx5QkuPVJXjp8KCe8Mb
R2yNZqFaH0jr8r7vmXXgmu6zYcRs34gJaRL70/KfiHnr3Su1L+pE2xfZyBdefhdnya5JEFPqSTLr
n5FJJUsM70wbUp3fLm6gGtoVY+9w7bJt3nW1Ns/bwrJRR+S/3cIaTWCKk6amWYfokfHqU18TPweP
pZCxVI1Ugm16S99NrT5pntkMT7z1rggRMVS1uH4WstVbAgAAVzZI43JM5rmebzJ4YNysePfQfBDP
lBJ8GNXdn1sFc2oMxj7OqjGh5UwDwSlCdfQZJt9MTcW9AIFPTkrMMC5fjs6UOJeml5ZH3Gc06S64
HN4afG/o7gqvp9EXaxLmUo7QAdxCT1EBYtPVtVXAMTImf855rFhNxLL1nRTYKvblRQLSDJmQzJL8
2Ahmqhvqfte/G+pRf7XaK02QKMOV7GmYZQ/GagBs5SVTVPOmJwXvmLyiTgZ0FLqry7z0T2gukFpC
WN9o0810KKzX48JOlvmLyysRNpusHmU58WfKdnABuICRaCj0rFkJBZ8yoHO3gy4WVcU/UsxhlmPx
AdMKsdQBIEpDZQsto7rYkbDQyAoMWu+siJRN2wqzFMPZU1c1FutV7s/dLM0uXg9fKwdxbRYQdi9R
2jP1vO5/qHKbzWMQwO/JoWd07miJnCICMpoq0eB84O1VSP7eFlQkC5Aok7H6hO13F6BKU9ZmCyru
kzeZhwKOohSChjuEqw/PBwIIbSgJOqPI9DhFdBML6Ij2oKDzSFaEjp1qeHbVeIVUV31TXkGwcqbr
Wwbj8eQnw22M0Pw7i6fdyFiqZi2HWkWK/XmnT1igRD9VwtSWI6Pk7UdBtPLr2eChZpDfRCnoZ3iT
uw3UtVYBclZ1rofBRNDCNOCubPiaBjotRWdTp0fyHP3ciflq7XCvzs3cX5rUcnbn2CIs642i0XMa
+Z8IjF9LGznPQwrga/HzG2qsrHbs3g5E9H7WcAYq02YIvwbGXhApWiuioFSTlshGqb0L81uy50OZ
lBHkhLtuXmiLglkCC4V5w8pmLZshRNuUwbLkAVFxT5t8TL3A+e4TF4+dhLLDDGVTszUXUlvvtX3U
kOYCCJ+bnDGg5PSz4M0+t/uUEy0myL04gDdd/ey7jLSGU3E9XRlLEfTsKXbCt85fhjlTGKy5KqJn
ldmZdYQ96F/TKZRUIG4xL5P4E+tZqucWtzVOG86R87p440skS+URvmRHvoTsXQmGlvcFd8xfzfL9
VrOFFXIeBiH9yikiYcn5CAH3bPr6g+KDX0DdyFmZnGJAoshD2+G0VGHPFnfjN5xxdol445OMHqnI
Nhma3CDas9KGjoCd0c+Nd838hAto3d380W1NTR5HBDqYWts3gDDMibKb/tZ0gPlapWGvYohpIXOO
lTTQsFJLX6uuuwCdc+2MFpEuFwJYPnXjz2XyupqKK1+X18TdTEeSTirwtBx03YqfgWHEme6a3gos
SjRxHdxZQ+dbCH6JWAThNtnbCaBU9lSHp75aDQY2Ky78OE7+EfvO1jA0KpNe0rsgGOBrGHD+UXU9
hCESwIFoN5J09oi6x/cohfQxEtsQa4seRDkWhPp5iQGL2+Z8VDKqOT8gn66Ak12ZS3/1oXdIB58C
IegIwKHKXxEwpZ3R9CmQwaEQMb04ffm/5ilN8epYiY4X5qjCWieidLsJIYiDSMs+wKqkPR0YQBu0
j02nBt2hVZGba0xEyzVpJDtA8eevk9UuyyJM3hkRinH9Yc3jWJNnJl/WO/suRG+Cpdp7MgY7VScc
Wj0Fc/hEK0wZXVexdSVh+GpZ1L00NVXyQBRzsCJgRSpdUUza6SrgVPcMZGgOa7rMyRiERD8tuxcY
ZGyeRcCPsJDdHXMLfR/iW35mwgcyFc4Q0Jq2tFXwSw9h1fHmeHHx3uLEZJvdeYrUdlP9+oN6nbG9
x+PeVBYQrG2VX9xGW4kLYxP/6e6GyoI7rQ1a4RM6z/rjU7GxNl42dS5apnUQjvzVnOwLg8hrXoMB
ST154GxAJa6O5xqrEH+47PKDDuxvS36yijUjcLpWnQzSXhSAP0x4HfEMwDlPRJojoA8ADoGQ10Dk
UO+VJlAPw97KjAGcD4Hhfs3fdKXlHEGmakt6725e3icfsFq7Mppcp6fufLFrhQVgBndpD2DSXUOD
GgBtW2eBw56jZaCQzHK1H/4IRQdTcBe8+yxsuHWBgOAIvC2+f7a/LXIs3wf2daaVvEeBg/Ni460D
pyC19L68dig/HcyLZDGSMlB0EGr46CE0B3k+2iAJ++aoh5xMNMhQN5GFXSsKHCbgM7Gsdr6JnzI/
xjld8A8cAAzS7M8NpgGO95kV7pHPMqaAJgU2qAMaVwg8LycHIO6C1Yvz6oPeBJnJFcY+UWDuJ4Yv
YQgyhnyVDeBfTfj9dr8xu3xe3j1EGjiZ2w0qMuwdMJ6xN/W2Uv/dEavEhjYhFy2erPdf1sVipxiY
LIxOE9yXsl/pOJia+C3TGp9ZNYsEwIxB0qajCKBQj7kG9Lb5Y9lviGywvQ1cLtOSdGI8N0zY9OW3
E980hoaM+RIJhlvwhnNfEW7/Jm/fuX9xLTDtYb0DUAe/1F6eJB2YPqw6PfmemxFfNp6sz8IH2EXI
dZSYSOXgw/ZtMXye7yvIwugh6wY7AQ8Bw/w96LBLVMsDpsKvDkZ1fi4JySYLkWWAextXmX+Ay4tV
Fp+PYdMNfEVPq4vGaR+INRl4hP20DB6m6xXWl0Fq9BSx8Kx/J0HtO9UOHbw4rilHCJDbDAZK9aKC
S2GE81YsnxtK9w0Vr8Kcod3vi09/Sz/oXBTZm43dYnRTGOckNA1qDiAJBFBkWTpmjGBAQJ65FX18
9Lad46xKF0zCA/FGcU0bF5gUkChpZldFNNARx4VLko56nz52O8X2ePX+I0HB1H3GV7gFddbr6nrL
8oEMF5YzYupOXylngjoLhlmYJrwl5E91lt8a5fWQsP8jqT++wc+fSKXIzPXDFZewDm5chvpw4Uca
C8baxh/1xkjCVU+2ARXY2nOgTLE6Mm4bQ5l5ig3DDbLe12SVeqluJsI71A19RxkUR7PWLUr6sUzR
OccxKw6YmLwHnnzMDW7fENU8VvYxGiwRnfkblsVbK9RgnztfsYPrpuLXcjyas/yDD50ZxPfDTcNa
MEDyZYLlI1ohrGLW11NLFAPmOMb+Fi3R8hhP+XIxgw3gdJL6RS2JprPC9WJxGBH+tHd5eARjBEEW
KMrhp0zsBb9J3032u3tq5+PsdmuJdQVw6uDEajTllHRXvqRwsLJ9GaBKD0ZInvrU/cqmlh5dvxww
awQ3WUYxzzVbyVhE8RnVSBMoqzgCkYQNNPeYUrNBPKwCA82JuzHCZ5QEMO41nDcHTWdM7BIvVeBO
7CJtxh1EqzWKrwvYi+IlGpGAjjbjiG/GYan8u8HMRByW0ieT8XWhIVzcA/sfsXWS9nP+ed0GDzrl
Yut0v4WC+FhBYtkwHjGaTOnG5aMKlquZvJOL7C7Q50cqujUpkYLuslnUZeqQPCL+QAz6H9nOmshJ
yP4yZ1NxHgvRRqNPpJVinlp6e6madn/wlEQjG7FtfMYhd3QDJ/bGHhNAIyBbiAyYRvXa8RmJuWuQ
VuNz2FgCRcCAMX3avLMkpvtZHHWYSyrHUejNcQx2CMGEygBaLWXDtd4zoWLesZr+wzcJZ1CGuiX0
bKdJyAWj4GnifFS3cIJaeV0mFoqjEiOvrCvofu0hj+JkXMgRfUzP5IP4nTDVbGag+bgnQdBQOA24
ZVHxcjB4tMq3QaNmeeblVsowamsMQlxMNs4NQVsMt84NGMQ7jZwHgMKGQBouz9ftnRS2fjB9pfzA
nYeyy7eKlG8eAFHCplyth8z3F0a+Wmev5SuZfOstHWAFbnYu7i98sGwrYDV3lycHBjEyevalbj5j
Tvt0Y2II7OrgDinjK0dWdGGbyJ+1PE8B7rf0bNueXqhlASYnqa5C96ooxUdOaZx1RRt/FWiz6QMh
wQekU0vpuKgzu4jrgiHeyDjHj7XOBsTyMuJBs3ulXKhvKwhB/k1OqeX5xdvNg5oDnWsknQ/jmt3S
nDnRSZO3/yMUaRK12z/BIN1WHllAlP+E/am+uknXVU2l+YmQ1P3IIW7b5ey81ZgNpZ0Ylsy9F4C9
NQL8gtqoOYlMypvGXCBERnabzUTTatzL29Bd4iLeXFPnhM3pPj09zl5yTzpO02xaxIqWPnIbsjWh
eyz1xv2piALTICVW/uBLpCybYh9K12Cs1ZZrG29HUawfjWCJLG8p+0fqvJhSDAfOFIIClRwZ20qo
KHzzN5lpe4nio4wPp+idzEs/PwY7bSPj3RUL3YFtGm6SdAn+ZgiAUz35HbZE8y+O32vGyCE5dbIq
NssKxuNZ/MUdYiOISRMXYt5Bzdl/+PC5XAx++Z1zIXd9fwiPnEjiLX8FXC4XstUcdWiPj3zSRXp8
mgbzh22tut0DDbOdfaWL77o9ujQIlb+XSENVPqcWrsqSgi9m4e91y2Tj67UEYRP2lf9R+D9qsjyc
eEo40LQYdU/XIEOVcAa+9y5izomMbzMp6ioJulv/CiT31Lo/jCxrpX3yEuarCcGlcFYuQVFB+K/j
YZtq2prdKfRntQONA4QEea7KWGm5GZfs/qgBMiMbFgWAuGHMYWZwYdybsI7Il8QXmsw3LJtjcuaP
EIJYAx6aur7zWXuigOAxaIl6PjLMeolEZ7TZI5hc1aPLZT2bAUq90S9xe/azX8hL+jMuZLngmzal
TdFNkWavOUY4k+wXmPcYNij5VMvQTPkzXk2oLm7hr+0IHnhIipskOKZqyfrLt8XfX4wenPrNfDX1
n9r2rMvg72iXZqcrsdmPQlj1yAys5GET4xpFpq/Q8P7Epa26SFiHsAJuZtqesnDaZF3gvKbLQVPl
OMMbefC3xcQK1O3uQPABJOwIy7CTTS9jdjYxZxP7hGSmbb/Mo2Z097jyvqijO3bZOLtibAWZtfeJ
2I4kaPFQbHG3yhg5Q1nFB+rsAqXrFSg7tOYwR8UzJKbrGeouZ2nv/p2/e0bkLFyKaPgCl3/3osG8
LlORIfY7RVRC3Lf2MyNZ2SH1ehJSajvkZD5jPiepiFuk9kQlNwtpCfVPnTbYaO4wkP2qJ83vyiw0
efMIz/uIqzAt6Si22C7lIiygKA3+3godIFqN4bYUvdlyW6JLyfECetMFgpCz7z9fLZUAHJkgdA3Z
C2MM6P3DT0/FTiS6s2W/I8DAQhUTM/jQUkhmai5g45im1COynaXsWF/lq7CxRnRKVTuequUt/BYE
M7n2E4v2O7hK1YquAlpq83Fbo1ClTPwMRJSkQdoznd9W19M19/QUMJ1eM8mQe1CfLdtSgW0qpaz+
aSW9B22bTLM1pn8A+yjtYqMkmFc2k7CAyo/D6XKB8eNAlScqMn9kis8ycZv8iNYP4tKcUTUvrdnZ
slqrUPL5m++lZpN3v68k1gzog4exNVoT4KUTgk4/kZNzdYan2yaGrEXESxhA1lBuYFvZhd+cjUKD
pZkfRprzuB5inyc3dwrzEPDo+36bLDswLT9XMbmuxgAEC8SWecdEeWaBOvtOjGl2J5j1wfCwpet9
F2aaUqgvqSlz0yTqUHla8/wcFXSntgWmOO/VALtZ92NcG8wdDqne9NH2La/9cEoQGW7DxCUHxM0o
fYcT5ptyRjyV9ApLIS8Jo07VsHR2b/CeH+hGHkxtPtGfpR4gD+pvPh9JdRCvKzQ+pTY66PYFbswV
AkDAB57ZItFJGPjnow49oQI6tYueT0a4jQIzXQaDI8rC+1C4ChAY7H4Wd3qzK3VNe54uwu9IwfMB
PLJgMi3M3qREHJVutcvTLthCM968+nnZTbUFF9tTi+xUuou+Yynyvxmtoy/84ejlEJT/3q/JVtXf
JtQ3SVvL1cAsmGQe0XhOki21EkUE9xFelSYgHWgh3QVzsiAaLsPkO4hCsmDfF9BN7qlWlpg18epr
XGuaVaOWfAsCG78Or54BokjzbPN4sSnWDWO0FMmL9sK4PD+Uk8Ino/oDIVLkEHa3hi7xGiXayBG2
2PD45G5J3QEo6kQuxFmVYA4yL0HyahcQB2XRXRsuZkz3JoDxM35p3LF2zlqDCsn1aDrMvq5X1Ak9
k7LCqH09jYdxfzCW7aLPqR7EXnEBJ1vTxFd43pjdx6ze7ZBnXdnI8Y362QZCodrX5S+7ye7Pl4io
Bp3Z1WIITUmx5nf37+KxZwssbD42nPxCNsGXc7eukD0l9jjEtkPeL09QXpdnpwX2VZRPc+uVNMyi
ziFGHe9Nhpz+4EJ5kbOofm8OFsEUwHWIkV9+UHMu66LIzil1BsW2cSA4F7XnOB6C4kKWP6BDJhbk
zcjCM6kpOuC2+MbydOSLq9uOm0JkTo3rgYatifzrV58qqt6zhIZFdF327/SYlOkhKvZvtZ21o4aL
VIrUtWxabMReLIUP41uBHnHGsbbIpnlVIPzOQOOwa0lE6DfKf7DHeSIwoMkCHv/2fEB3TkgLfBKs
n3Rsnuijlk/XlPQpVqnWzkp+BiwRZZcmUC4nPx4bhgs2duLKALBMRrQWm9ObnzgQ8btNnNlQot9O
b5yhs5Dhl6YuoW1X82jiU5eG9VkVDNQLpje59j9EYsyz46OrfklSAt4qDEGoGg1WN9leQzyk1L9p
5DAhE1kX8AyGAjhJn30FhF2aCmYGsgmRU8wopGoCb8056UV/0dG0RSnoaxAH55VmNnJhrEa8BhuY
YwEyOO2J0V7NdNAmn21YAQ2JBSOy1Gxhd5sifzs5RPZ6EXJuXwNwXM7gTv+4WjEZYuZlRbO1oYOM
VACHnyJlVJMduDNcio93I7C2AbMu9yaKC7Fbl6G+qOL/vJWOcl71odX+3p/yV8MNbYKhjPkUeCz2
v/pad7juYbhI44fmdK3d6ZUTEpDVzRmaJhH+Znm3H4dg1KscOtFvsx4lUJTN8bgEJsy3Soigoxb9
xTdolvo/VlePmXuCtV34K+ZOaeGMEKPF8TiI5ymrtxT02c1IFgVvaXVVg8W7otuO8MkFYdoLQ+//
bl6viWNRWxV1b56HOZgTA+UESaUQWEiM8928zPoz3uqXFo605Jo3xA2G1VUojA5yo7m5sDQJJ6A0
aHycDU3CeAvbRX5tSWC3cv+t3uEOKka8lIPe0YE6Wa/cXJO1hfujmtefxVtRIWH6w+9x9AOPTG9N
JF86K1wetASOeF3qjSIyYYYJWp0FPGlt8/b24apv9Zl8d+9HnamUt1ZFycsgKiJpxDhByF/7otG8
Txd1e7xSkyMzrkN0d1JVKK4MedsTdzVj/4/BPT0DmSu6A3UzNI0Pro4D7MpcADCbeZcuM/eNsXK4
HncYI5IHocgjwPJMRDXKte+m5YELS4GDRa4bKzT208oK3FoT5i9Hm3Fy0wX42K2h+WqM5x9Ru1C9
WFRJ+h0nRloqAjTrtnb5/5llzP1L2dvs2z5AeqPgrdeM7kpO7eZVyOVszWMHHFYAQyDFzjG6KACL
o7jrxicLliCJz6FEmqpkaxM6gZ27zeOWhDTS217KysKBTFuzzSDWnV6gyiGi0VVE8ZaEKqiQvZVk
N2DJ7HHYmEotkQQ0jHtwRinRjYGxrd0lqRlX/Yy3hcqqTfLZXf66wSsra+sgktGGijHh4sQpGBNQ
wFw/hoeQe/yjQpQhFdTq67XIJS5Ye3wFEpNLJwqlxL3AeZUJDnhf+zZD4w8acsF1CFlN9DJSUzLn
keFWroGDDf7mSae6IQeEoxHMPkAYxz5KgNZHaOodO03FtHdhTgDoswLTYjhNw94ALi73Ze4i8prP
bdk1oWdDPy33FIWcKw+f6cK+RQTRGPsErRwYg1YxqMtIerS9SEuo16rtwbThaIa/WV1U4LVSJN2X
LJul7S2Z3Lgg7EhgB+u6FxQWvAIqsZciyAfhy3YNafOxfayw14PaTtlHVRt3CqiGgLRCXPdYx7ZV
K9sBae1dVeOYxh/hwI4tw8FqHoJvQvM03kd6nO5GatM6jFWZDcLw0BGc6Dg7LZ2Yz6RgOhsagwMh
EQKTSr+l09UEK+fhcIHPCcLTUjvD126rLcvQt9tVYZFZ3Xvsl/2JtY4va+e4UG9Q5PRrDI5K3ddI
oum0K4inaN8qoKbcMI6BLziZNey/3T095HSOxYwpojDLXed0FRKSkv8vEHNLEAdYwTofZujXsKsn
ivu9GoGkU9DD8t0Nm25AtSxoN/HRDzaDfeznDu/0judGesqqIgy+y79HR66Of87v68knApa9be+0
QC3EgjQzzS2cUK2o6LVt4noqdQfD/6mjfE7lRTOz1arUXiJWuowBs8VeR+KA04GFHO+WQUC/w34k
VKS17yFgF9dWKWj8UfBPi/ajlcnPdMCnAwXwGKhHiYL1W12gml+yWJ/UpYidDvOpK5hidxHdx4B2
u9Fs0siaenOHtCajMv150mg++yVsKmH0rWl1nb/TD4FTBLkx1b64pGkAntep3IbHOBOPCED1I+W+
n8EhDV2cbNbStGZ/C43+PdXm61pOZRO2EPOa2Vhfvw8y1Dz1hTJqXgZLX4VBwkjsI+EwDpIdx0ay
QhU7dx4UHC2keRWFebyTx55YFxyO+vyGS2cjquCEg7lpdKxtTuATxi081oxuLGEebrDWF89iz7zx
jOGebNrsiSBl4EFvCurFSeDi3sH6XhppsQU5T2P/VrVSItYgJCfws9O1OVPU0fQ17UnpAUG0m6R/
IYE2NR8pnELQGGwwW0UNZzO3lQ78D+vNF1FGeQOkuVcvYyYZCsRRo3/04WlzD7hroYLOYGV1ij6N
OZG3GKPLs/TI80H7CTvh7OYrZu7EowuM6uuM2Z22k7ehCunaxe6udMw5f6ZEL9DNnkPmfc++SX9F
5NPiFwHe2in/DO6+EinzBJSH79+JsNOpAyxSGBYXKd343/Ir+LvmCtVMmAUzeL3orNrrjz+yzQ6d
KjPBl2Jcl/4hszdVSlFjfh4x+VIZ5yHPI08jq2EloqyqvezOt4MMXxyhxQDUa/rqpzf9qMaUMJXJ
sOAn3wqToC7AWbdKjo0cH3Zw9X6b6fzhr4Ebh+xQ9iINMySiwLQIWvZBcQ9CRZSZ8IuWl0dxq0f6
EpZto63Zo942doN0ntVcGQ4H48KfYr8hWUi68Qm+mk48hai+EP05FBiMiwv2aub2EsvAm41SOFvf
aU1WK5MrIsJ3Ft3bq1jhdZm4vbzpJ8OOo6B4EG+4A/W0pdHperko7prD/7B/XghUJQ2p+8yfMlKw
PlVpOlh8ZwIROnl0LI416SzPOJQ5tOFrGnRnkMqGFQqnVjzJKEaz/qeX3IY5swDXtFh1FgPaRAwf
7en0tWIulqe0m+AzxqEmSpQH1U6oPc4xuf9WO0xO4X50roxks3BEMthnO4fLhjOTYhh9eTr0EXdl
kAjELZKylXLsTjsU7Nz63xQ9b2OmdgyOwna+vBSlOMCxu2VDRYlWHHMUEbGCmCSq2SOkYKy5uSQE
iekJ2gWKLTmUJ6A6Ywzfipz4d47gNlJrGa2eDWp2TilMiurBwNzL6PcML8KHeriF6v2bVKJj2q9W
T4V1lBtql/RSAGuKW61T715/6vY4jZDP599B101X5pkKSet1C7TPEg5QQEWe+ejTvnKDIm44buOt
PmSISLuqNdsuMbAcbEGcC7+3eNGCGyhxqgzbNeQxZm0x/B0RuDvwPpGonMcAByjBuRfuP+zd5oS0
3rQ16nnbfqPspTizJVNpBD6IaV6GLo6KollB0qkhjZA4bKzLgbxDiT7UZ/UYoQnVMv8MHjlBnIYq
VChmy42PqV4HKdYzhtXzvELAebIlSX+Usqgnclpk9E7KgZng4BVJuETd0OIZ8XNZHLsw/6Qdo9ot
2EoJGjpbNVOR9woIYtVu4+TcdYL9d287aZHwCp0Cg2yUr4oDkTVA7GzojFWL8PNyvjgy3RpjV7mO
F/j+6cnG9NgV6IlEhxIBHJ99JYbP1ju8fhog/cD/2ND/0JvcF1dKKPkoyXBBIIXXR2g2ybX/YYQX
A1LxcBCp7KFbvtOPFOY3jDUcMn1E8cEYJXw6dOgy62bnBNRid34FS2J5Sf82XNztWaQlkdlOitdx
UbijoGpZ5WjP88qvLpiSx+lOq3U8go+5L8NkhwywCCEvUHCvG7Qj9fYMFhOLx2MwM8ICnIdWK29t
qGXMxQzrXRepQyfdJausxSHQfJShx0stAf1BiQJlVPbUNqQxVpk8rw9jTTXpzvFt792i2O1Phvqe
m+qCEMKl8OKdLA7zS8cUTcuj4BhBICrBeARqLy4vW2lgKte95utVSvgESn3Wj8Ou+UZZ9PYHi5rH
WuLrquztfv3BzwE6HMg/H5B1ry2iSKKbQD9coxWVZZbL6lBgG/Zh3U4mL1R/AIhlI3Q3StoOSkkR
CbZxLhHblejzpWHmk3iCjbsXKQMGiWG95CNj8tSdR8I99R1zjEq/FTeOQJ5jGl1fL0UflL14tnG3
SDNCEczxu+S7ziNa2DaUsRe0iDRYLkC7niQ8vZVsorPorB6yH6z+BRwT8Wn+6hEf6PMZZFtP/VZC
lng9jcH1RXpVwfZj7roSOy2CRq4ZvtxfonsmnGz48kc2wQx6HsV943uVQCat8HNYYu9bFjv3CaJw
MO+6FjDVJ+Qv0I1YQj0dG6LWLKAj0jMxojxCjwKBvxO+Msy3fHOer2RZ+DNg/LxApWIGm1xnAQy5
H+k2zXMZHI/Al0oawhrsnn5z4N7pWgABcNMqCJeIvJEX6KqrgtQVMRWBSuGTZjwAXg2JoSfuDr1K
mhc8bLZEEL0fGkm0ItV6Pe9FvSaSM/gQCBGDXPliwtbtlhxUmi2BGVTOegAiCVL6XzGVOomnrj9l
4oOFLDKAV1tS4ASM2Ql0MkreVGKxWobuq78RhtjHKyRxDXWNFSVQvneAvQkwLVwT0e42HLN4JjOr
sGyVJwONFrzUF2/hULDdd1S85qbDFD89Z8H+57KL/51t0AYwtIjbTbY4iPYnDTPvZiiiIK6929GT
Fkk3mDI8dIUgF4HIv83fTNl8nHUsCoI4/KtVJVyGvEyjVMNe5KlQgg6bBN+nw5sGYcXm0L55qJie
iinbNqwC1uJtELqRYg83Qz2YN39orPw2hrKCQmlg5oEhxCQhx3W02dFVrUMzhYW0bRGGGpGq1BOW
CRRudEf+UxS15ZJ2bwdz1TjHwSybcNMcKY3siebgiRflrV57aNFfDH/rC3KNgl4kG+8oXlAuu8Gh
HwK5F2n/iT4CC1a/Db3t/kKOd9xIqg8rWHMCM3DW7EUcC8WncmuTftndvs0WjRG/yz5Q8mgB0AUT
pKqN57srm9SA21GrtxrvIjCIEPpWQfQq0lWkm0z3wnrrXH+OuAHysLRT2AfqeIAHz8zCUu8n1ukg
qBYX0+hskc8bENz1CzZs/aeCxwE6kfh4pLRq4fK5NNsx6rIoZ0Djmzm+w36A7BQSNldjKJV3Czmi
IBeVVYOR7Uh3GbvY7NZmslqvTUhr/twEIKI3W2KizHIGguzRMCVDzAgrw2Z0glXJvYjhnvk92hG6
wrMu16qCrcCmTklc052guJFI+ZMvBRuAuQII8M+b69+stKqbPh+bViyx/E+nabxzpyLFrU7ptnNE
9qRbioPP1R4hyLayTF8iWXjKZ+tidqMj9CH4pE8f75BE1YViXeQcRULhLRkU8t3y8gdhQXmk4sLA
+3D3ERi4CiT4Kp/mqs3PtMqnwfszZASspAqhDvh6vnUow0mGzpTgC25xyJNeFwZCBcqywuhbyus6
nLq7X03nEIKfUnWhOi7wtVEK3MNopgVosel9qhr6ugnw+LgL5prcYTaWqtwywoLA7pt5Lr7UBLI2
q5IhcNeLMNkX9r3f647QjFGvO7L7kZJLOoVVpqVApf6gx9uZOYDoYyFynadX2SFJbX9hKRfgf9hx
dOXftfHKq1g2RuhqRZwFc0VCUegeqVtgDJilBHve0X4TxeVz57LewLXbyglmFg/EQTW+LPY5baVp
GeTnFNlNtZiq7fx5rjBCfMCtXP8X2Oc72K9m/san0Vl2eyd5ABBEDwDStb06cflFAWexGD2utSZt
kjqyZKiVXpmKO4Q5m63pH9DCi3qvTzS22WLtXH38v/3E7E46vM/tLPa2meJ9IK8xPBBztGXXhkfk
SVQeo+j+uQ0Z0zKo4IweT5/mxEQySQBjzKDwc5OYkCNT0JLODJMlQY9JIc1BgcI7R1flVU9rVd9h
EJSSFv/A2DKanorkpIiF8JuaF2QLZBjS5Fpe/MLzV+jTGBkdGcQKQejZHhSMxQe5wLgWh+sEW4tn
p4x6yzdbUIjdqvi00PlCWuUuhr7FOeAENiYF/ATOWXtRsDypaWqh+TMa03pOI64ZjyNK6ncXTWdr
cg08dXsFquPC7oFm8bR3Ja9cy75PZErQagIcSDOtFw6s0Q1yUNQNOfe/AtafqYamsXbgLNvDc0FX
bm8mUfZS+ynIzCySlrn8LUtz48NSrQPmjopQE+geP/QVNCjjQ5hlr5GvHZXmgrilcZMZtYZT0zrd
F+2AMGJDD77puwDkKotq+z7MZ8UGYDXOaotPvZrwMwnoNMkwbn2tnNKrl652xnQAkO0+6V2DCv6O
lZT9MfnOLD/MVTS4rSp57rKxjrcnZAnPPpxlHN+d5Ook5t0FnJtldO4W0EAATFzRX4yfo8aRvmgG
j6uFb6jbKGZxL+Prln1kr3bm5hkUtOZNyrpJIKgxXZOkQUBgbsXMDXsfc4mxEEe1/CSqLIh5FZyM
WWm33jk8Mpup4E+7vs8jNeFAWGRZ0NFUy2aTyGPM65SeRw09SGIuBLaTh+IR6+OZ1w7OdaojBPra
tCubf8kbIgs1etegw6ij6m+moSvSlWxf/FwP7uV8VifjSDXXQnFhYO+aka44BNLrfjuZKUCdrkv3
OI4t/PQEz419zSdyTD02jxS5VO19w3cNZtoq7U3oNQraj2tnvy0Tt20XHOJHvuoHNy0I63iNBWs+
bBgDK39NGW0tCm8vo1LEfbUXX8UI+cm2ZjMEz/Du8ahkBmaitl4Nk5G+NqMiZbPPW1uptBcvIRjF
gVRH8azGQbBgDPESWfdkt39S/ZcfUWAw85OcoMff8fHNmST2e43d0h6/vwcU3xzI0Xlr72dAPxpu
RufRRFU+AV0i3EgR1ixhNIQjfCX5xHvmYrZpYrACDA8+I9sO4bZE15Sjwtd41txGDyRUJrpHDqp2
S2kkqAHDa8NiASMbFJHM2N8W2sEGXu/LYkWSaSZj5W05ROFAiNpE8HgmvhIPfNwgDB/L50fsX/Vt
iJBLOJLRk/ALyyVlWJVddd2ZUD++9jZZyspiwcFWWJQR8Hdiguv1RFnD8ffd0J9osGhfu5YxGYN+
sNXrf/kt8kxm2sI4LDj79p7H8MUy0i5Pxl+1HbwxDiPR9XNbQAzZuHcua3zBpqGWYWypEpZZ5PL5
QMTgbEPCSVimN28FW5VKYyuHrav9eho3+yzWxKwxkMi+STpsZ+p/kAVdW6+lBfaDyWPe0Wmjgou3
fzERD/Toh+F63rW/IKloCBROPqKdjRPKu4p3QuredYq+9sitJjTQx63IWH3IbapZTN9O1ui851Tl
+LPKc/ssTc8yf+lAMBgA2L+adGtgd4eAFFX5yOh8P3Vs6VJDyj03K1UnoHKaSn6l/6ZHz8/R4Gkb
C/aIzSstKWSCgqsFzqEcB8eRS9N5Q4zaIPmBvzk81IoaiISTtk6XwUapg6gFFo6bZXnnQ5YcIVIP
oJrMYrPnHbWe5qjcr2a/9PU8BFHFeLa0GrmB67/pwVv6EHyImqtO2FAVebiYNkoaVAnn0uElPoEC
Rbtxl+VuCpO/y6pgE/KUxnvvq/UoUt6DLCtT4+NWJZ/m8YViaOO0/Y+A+bS7ummux4xPZblucgn2
tpIpFWq5i1bpVGWHd4W35mPWZ8bK8pqCqmCR0FeZGzb+vtnQYACUmyCO36boadKpWCpIt8yrHr0Y
Ri8wTTVpOiGTJiT8jmQ6RFXaP3ZER07pD8lG438Yw7fG19OI3lIFOr8zR3NIOWqopLAg0LmBydqJ
nGq/2xJJnDUoiXss7CTOdACBdEf/q64O+LeWB2SWtSqCJImvbfrr7eUVcmISMUv0Xk6Xmo7YQlX1
kb8+eCsl8teSfBQHkadCwfaU/U98Rb0dBPw6gclGE0dcrvPA1l/7B6V7w8S+CW0xeK9mP3NtLkUN
+LL4WV6/WVzxiG7fM/FNLbQ3bf40II66vezk2CDegSSzq7l0j4IW4sOmpa1fb/ZJ2R4NM2nCx8Lq
nTms9tPS4cfZe+PxuuMHwA2q+x6ncTqHeraUwFPwXf2IEkG8Bc3oc7eAwGUrR5bjBomzxNU0XrtM
Gaf9HVb1EEZmNQCjMThtrPgtQIsHZBMkjVC/GAEhzpoctnm46SsXGgg21Vx5OHYpncEosoWdJZ7i
3kcDu3M6nUqtl8OnhmRi1uswuR3oysDR5Bg1cAKHgLcYuyHP0T0XRMWPrXngrCOIywxdX8dPDEiX
psCK3orD8sdgKJ9gm3f4U4v1U58xd57VvPu5mwV8bIM/DiVQNECtjzVXwpZ3qZMEzu5koZT1CHKx
4UgfBmvGelbtaOYp9ZZlKz2BtRddwoG2CPP7yqofw5Lc5AuUSgQYXy48K9eT+OiY5Oc0HCmOh+TX
hcE4h9r6D1QXhtto9VgXcRE0idUlarloJwIu5RgTdIGdb6jbp3Hhix70Vmxg+YXIkLd95XWqF05N
vczV1xGLReaSVsBH2XLbusPjcUIbFtPxgLEhntxX5betBR57sJSrd83aViBMku0U3DfWYonp1sBu
ct01TKkFG8KAe3ZdyRjVuw0C3bGJByIxXi1MyMieojARKtaW+dcI59p2dZG24jKc69jePmuXbolD
0ehjyAMVryQHaBF8GMit5RGovFSE/NDTJCnC6e3H2JvmJCNTWYI5kIJ+dkIpto/Lse9PJ+LxNial
hkDNncy0legd4qsGVkB+OLUjc6QzYTi9niHP+z/LhanSIDRMxuR5mTAi5woT28mhzmJQ9oyZySRd
SqjIS8e/Fq1CqEYXL9K4Czny+JQJdJl8kltY6HdxDzPL7mTpANwqOa34bQxXOYWqF2GbePLHSV6B
G7Y=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/xfft_v9_0/hdl/xfft_v9_0_axi_pkg.vhd | 3 | 103223 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YZSdovA/i5wqHUXbqvaXRsx0zPw1pD2B9v/boxEZZk87AimuUN0Jf+4hIDC1YRTAmzezZVQU96C8
YMyxj4r+ng==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TdnWjbY0Dq8GCB6A+8ktmt4auGX93sdgzvonlbovGQjSGHNqXyWaqBx0SrkA8i0wP95jQAZAXXAO
nIjXu0OgLd2nBKyrLL3ZspfQkSQNKLCjB6+RIj/u+1R09LcymXU+Wb405aWl9K8stuozAUOMGb9p
olo3GMJC/li/dzZ9gMc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JYsfzFNzqMNDRiSq8l9y9qJIq6s+oYrjH1KilND4j670xMFBKZVSyJTJfdT1kLMuezH/CkpL+9I/
EvjLwyziiTwEM2ALj11IpYTsGawhzZ2H7+ItPN08uMzrlGoWr7L2bCJWm3cYk7Ahc5PAV2SKrbwO
gngJtZga+Jgi4YEi9eisMWRmN3bHFRYrvM4N0EAWL13dZgC5B5ZC7ud0Ep4ShomD6rgDx5rkhtcr
k8E4kvWEnhPRa438xKbSft9TYRJgrcMvYlkCjN4lA9qdMkMI+XQbaVhdSqmZ+EgmQR7E9oMD8D+D
WTVVPl576wMiAo9AORyESq9eXkuu9wjimjzYKg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VB0rhKR3wJEpRcyvidnAMghx78fWbo9fYXMjGWhD/53cJvuv6gY8M9iXXab2YDuxx4xPQPUhfbh3
2wQoNSbwa0cbw/+7pPWGuXprhqJxMt6IJnz3hjZYRoP1MaE1tSd3yyFUzG1+4cmH7Lvpak6efC6A
Ys+CS3FWs8Jr0ueTT8k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pgqTiCR5x68xml2m4N0FP2q4ZFExwkmyNsf1mTvBXuXUyl9jCwYs955rd4NI9cJtw2FDniTSy6cC
TAWVWU3wqJyrbUhkM217HQAYUot5zqYG7tJ769U4BdnW9BI04r8Utyj5M25HW5FX2/XPxi50SYFW
CUmczxhQ00LiTaPG+92wiOtFMfHfAi3/PJnn4uDC5+YRbMQFLZYCYM3Kzd2vnCOEdSfbO/FcUxvl
g6yqXATqr6mkdXrkGoLvUUSXD7PBye1wTpiIBI+2jnEFqQBCEZmLYKVKeLq9oqaqwbwG+3ll8H7Z
40BNo0xgdPr4Vfww/URnC7ZLpJk1ZcnK/WCnow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 74672)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/multi_fft/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_comp.vhd | 12 | 7300 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GW7UNomknr5Dw6Tz3R5svbJyGexHUbbDbEMITb5vMnh20lFU2WL990S/aYPAkkqJUjJPpL8S/093
yhlfAz2oXA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VPJm0bZrrF2NVzuq7ao8MAK7FE4zNvrufu4waB+nXHPnU/t9wPsgu2I3ct/Bnh28+FN0cZR8TcWt
v4yqKihHeubq51JvQnSQBnzZnY/j4llNeta6yt/tyW8P1UQHd14W5LYJ3uilMxX+2FO+TBWdKAi4
WI9G7LbpVaiaSKjRrUQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QNxRzsRZZgR1gVuYo8+jKDYfKZfPisAg2DlTO+UtWSAU6Gzbvs6mMigOMdL9ZZV4UfsUw/2OJ5u+
S6Lfun4dcwsruwbxOy8picXmvBLUdLYm7bTLF0yS+A35sQViez7eTBeV/chDoMNsz5/KAmkP4uqa
UAwzHT/cuZCBNeVbv1n2bDA+5kMv5nCwcNJSACh254NPEvFdKf09AAIVWgsdVg33SRRzbYw85Zro
NUT2D1AiQIWC+D3eAIAGqV7MziU0hGf8yxoqZj28QBwS+/TDOZ5a96Uztx5lJuLm18w0vX0/3kFG
Ghl2uPWKnbELkf1REm3OzaVlFBuQaXkp4PEe+w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j2GHCjMR7MSOEtk5/r/rouI+9tnO8tdJq5hVs55zjHiR2VpvNE4+EjcJr2mtVGWSh6GfYvEZ+lZ3
vnBz9lyo7eZUusIT6lSmCUVtb0bFg7Bu11ryq3Tr3LmAtKneid3NWKmkMaz1DXj3bq6CXcFApWmw
KzjnrK1p9eZ2B3zHumg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kZyUDnN0bfuuqPptXshBDr81sWyb5cUW5RO54b8h2tJ586CW5VQQuS5U7tJxrVQsvTcWZuK3Ya+0
QfNJ+DcLZh4XQ5+Wjf+Q2uN+BwNpFDeojZKk0scqeFl5VTi93s4R6PDOeqscbqcxc/bHv28DahuH
F8iiTjmdq9hMc9MfxKVqzkyq5oYrXP5lx45V9Lh26i5lGS2NNXQMEcUEK2ioY6FbrOmg9jQHo3hr
aYsaMjn1BLy+9BIi5FRRfius91lUpBj93hqbxyHinQVQ0JRsXS3YiYqJWCyYWIko1b9NdOOBejVk
YlVQ2U+XhedNe/rfBgIVU9bOajnmSiSa7KbYrw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3664)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/xfft/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_comp.vhd | 12 | 7300 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GW7UNomknr5Dw6Tz3R5svbJyGexHUbbDbEMITb5vMnh20lFU2WL990S/aYPAkkqJUjJPpL8S/093
yhlfAz2oXA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VPJm0bZrrF2NVzuq7ao8MAK7FE4zNvrufu4waB+nXHPnU/t9wPsgu2I3ct/Bnh28+FN0cZR8TcWt
v4yqKihHeubq51JvQnSQBnzZnY/j4llNeta6yt/tyW8P1UQHd14W5LYJ3uilMxX+2FO+TBWdKAi4
WI9G7LbpVaiaSKjRrUQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QNxRzsRZZgR1gVuYo8+jKDYfKZfPisAg2DlTO+UtWSAU6Gzbvs6mMigOMdL9ZZV4UfsUw/2OJ5u+
S6Lfun4dcwsruwbxOy8picXmvBLUdLYm7bTLF0yS+A35sQViez7eTBeV/chDoMNsz5/KAmkP4uqa
UAwzHT/cuZCBNeVbv1n2bDA+5kMv5nCwcNJSACh254NPEvFdKf09AAIVWgsdVg33SRRzbYw85Zro
NUT2D1AiQIWC+D3eAIAGqV7MziU0hGf8yxoqZj28QBwS+/TDOZ5a96Uztx5lJuLm18w0vX0/3kFG
Ghl2uPWKnbELkf1REm3OzaVlFBuQaXkp4PEe+w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j2GHCjMR7MSOEtk5/r/rouI+9tnO8tdJq5hVs55zjHiR2VpvNE4+EjcJr2mtVGWSh6GfYvEZ+lZ3
vnBz9lyo7eZUusIT6lSmCUVtb0bFg7Bu11ryq3Tr3LmAtKneid3NWKmkMaz1DXj3bq6CXcFApWmw
KzjnrK1p9eZ2B3zHumg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kZyUDnN0bfuuqPptXshBDr81sWyb5cUW5RO54b8h2tJ586CW5VQQuS5U7tJxrVQsvTcWZuK3Ya+0
QfNJ+DcLZh4XQ5+Wjf+Q2uN+BwNpFDeojZKk0scqeFl5VTi93s4R6PDOeqscbqcxc/bHv28DahuH
F8iiTjmdq9hMc9MfxKVqzkyq5oYrXP5lx45V9Lh26i5lGS2NNXQMEcUEK2ioY6FbrOmg9jQHo3hr
aYsaMjn1BLy+9BIi5FRRfius91lUpBj93hqbxyHinQVQ0JRsXS3YiYqJWCyYWIko1b9NdOOBejVk
YlVQ2U+XhedNe/rfBgIVU9bOajnmSiSa7KbYrw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3664)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_6/ip/dds/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_comp.vhd | 12 | 7300 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GW7UNomknr5Dw6Tz3R5svbJyGexHUbbDbEMITb5vMnh20lFU2WL990S/aYPAkkqJUjJPpL8S/093
yhlfAz2oXA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VPJm0bZrrF2NVzuq7ao8MAK7FE4zNvrufu4waB+nXHPnU/t9wPsgu2I3ct/Bnh28+FN0cZR8TcWt
v4yqKihHeubq51JvQnSQBnzZnY/j4llNeta6yt/tyW8P1UQHd14W5LYJ3uilMxX+2FO+TBWdKAi4
WI9G7LbpVaiaSKjRrUQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QNxRzsRZZgR1gVuYo8+jKDYfKZfPisAg2DlTO+UtWSAU6Gzbvs6mMigOMdL9ZZV4UfsUw/2OJ5u+
S6Lfun4dcwsruwbxOy8picXmvBLUdLYm7bTLF0yS+A35sQViez7eTBeV/chDoMNsz5/KAmkP4uqa
UAwzHT/cuZCBNeVbv1n2bDA+5kMv5nCwcNJSACh254NPEvFdKf09AAIVWgsdVg33SRRzbYw85Zro
NUT2D1AiQIWC+D3eAIAGqV7MziU0hGf8yxoqZj28QBwS+/TDOZ5a96Uztx5lJuLm18w0vX0/3kFG
Ghl2uPWKnbELkf1REm3OzaVlFBuQaXkp4PEe+w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j2GHCjMR7MSOEtk5/r/rouI+9tnO8tdJq5hVs55zjHiR2VpvNE4+EjcJr2mtVGWSh6GfYvEZ+lZ3
vnBz9lyo7eZUusIT6lSmCUVtb0bFg7Bu11ryq3Tr3LmAtKneid3NWKmkMaz1DXj3bq6CXcFApWmw
KzjnrK1p9eZ2B3zHumg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kZyUDnN0bfuuqPptXshBDr81sWyb5cUW5RO54b8h2tJ586CW5VQQuS5U7tJxrVQsvTcWZuK3Ya+0
QfNJ+DcLZh4XQ5+Wjf+Q2uN+BwNpFDeojZKk0scqeFl5VTi93s4R6PDOeqscbqcxc/bHv28DahuH
F8iiTjmdq9hMc9MfxKVqzkyq5oYrXP5lx45V9Lh26i5lGS2NNXQMEcUEK2ioY6FbrOmg9jQHo3hr
aYsaMjn1BLy+9BIi5FRRfius91lUpBj93hqbxyHinQVQ0JRsXS3YiYqJWCyYWIko1b9NdOOBejVk
YlVQ2U+XhedNe/rfBgIVU9bOajnmSiSa7KbYrw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3664)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/c_reg_fd_v12_0/hdl/c_reg_fd_v12_0_viv.vhd | 2 | 21542 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MjV1PYJ5F3MeRxsCMqkYmuzuOWGiaWOevBa11V0hywnJUteeCGUsTm2XKLNbmCMdaGTrrycs6rxB
BldNJSGjTg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DQ3dnBbg/yVK7U6gi+Hyqp+l6JtGYtGbFws5ICYcvyvUR7vqjjs1ZxIdaQkVcwORH1uGqhVBGPXm
Ppxr9YrerzRY3pQ+udKT114hjrfPDjOOpCqNcKGgaK45z5dQkFuA4sOMuHQgBnXif6rw8TzK39Ie
NJ4RwssZpojjtAI3Rvs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GeJrertVbIWcsHtrGIApglNpu257LUyjQmYO8/nQs/DLAKjokjPbX435y2msSUKG+LA0wcReNVig
JwLMBhvNwfowtM14TALxN2UV22BVNPJlGlpCVtz2Y/MJq+20v8gnJIqqkJnLtU203uZHNWSlPt0B
+Yt58ZNFb4tu+1OMx+VyKWgZLvJXIIfeqrBTHDtfu3JiiRLKE4/EbRpwzBk3anqlZkIhJsz3G36G
UjRwDosAtzMCbv4f9pMw1dJgQOzCst2yxbIRZnUeFMcS9SUGJw6BjxV91eS+a3kEtwpgv9wwCx3k
BdcUy2epwWI1Uq8eKmY+sEMzyWT7UngOVGrxiw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
F/yx85VLIQcRJtZEWquIlxeREQrg7n5HPDpxiV5PuLYwPCYsBz2HnXBBEWR7o5tWwRZjkT4m6ohQ
vrxzRo5XlqJzLcq30tIJ2ZEZHLh1F4N/ZoiEearvMdh49nqsjG4aXf+EQ7AcXaJeLoU4GFHrDHV2
chfDZmdvshC3Mo6AbJA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
flreCcpNTdHrPtelXJc7vYTdS5GF7IRkrJOPS1rvt+vQFezlpNL+cn7z1UG+8XFg/bWYT3SINYCE
/Ge1WHM6I0lxbsC4tnLmM9kNXbj/kyoZxqvGN+vtKGVozIp//gu6jMkFZpx0yos0c2Q2unbN4sIM
1ikKDOPdmlQT+juGPcsCD7r2pWvh8nvjcWX4OWOuF4vgTb4XAB7AatFTsMBfrSZhMAHrlaUViTLi
h6EtFMtDFFRt+wIndsg8knykG77cXzTizRanvVl1nfIrkJsLXq1QcGwCf4jMSPB0+hvdvJyFQkpM
7f5w02j2+FzvzW+rwW+1Xp6oCWOxZWtSxBOxTQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14208)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fir/fir_compiler_v7_1/hdl/dpt_mem.vhd | 2 | 17890 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kSXJDZ2If0INXmCpnwG2tvYOubt51is8NBhuzvABmHejEsubjF/59/Q4PMKrGJsZlvNTQH71uVmW
f/AJ/LzWnw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P8x+0SJEEcYJxO+y7ob9vje0R/20cmhZT/B/X6F07gJo4dgyKWDjjWLipRKyUAefCv/pknxfnJhm
4xw2SBwyoDKyTqLSgX6qI1kkKFhcL3YkS1NLk4p3o79OFUYObq7e6q5m7dCcMZlAVhKd2v4M+L0c
jwA83ZNW+DJUrlWS0Eg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SFqjIK+y62nKq/M1bC7a/UuJW+P3qDBLHZqXXkmycQV2m5dY9fWvDd1EVgkigwYCisthPE5vdFvk
OsEVFZk7UM5QjPjWCHMgTxatLs0kFH1fqNJn4H6GJ9tziuDW/jkGPQk99Nqo6KMMQqLfB9nn3gAv
B7l9VQ2cKB6LQzXtBiu5GSX9lR624gyyQB/PiNi/95pX0ZfHYNBHbPy7nMAMLc5yeEvZ1TUczyTy
j7ptaNsm9ttR0LyWeTkplnb6W7fvTgoHgpcMSJvo1jYC3TO59riYDewl8uAOs0tbOnH/ZtK+5s8Q
xrJJrLCt8divgoxlKcoIy5/UKlF1IsXF1luS3A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HGQ2w3t9RPns2r7LkP5uAk7CkFABxcxgOJU7/sePy4+YI7CLG1nV+vjA4chZrVUl+3YM6HhrfW8f
lFrS0byTQR5avi+1o+ACrVRmIKkj6djoK/9EIXbyelajg6IYOI+Xryl+REDn9dn6OuiJcvi3l6vf
FflQ1PCf19+yZJSmX8k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
b0hCJEY4B9UaNRmee58+ox2N2xJatYTMNDk01zycqI0OS20eWLLBdcGdI4h6VQvX9VSdQXbL/YK8
1b8udoCNSVDa9ksqyNoGNr2yYIuXT0AOEq+7/DJ1KVN4mMah9+P1N+KIFKyLwjp1rjUQCBZFvc7q
piDQKRuCzj9WsOasIHHvYcDOKHfEUbcgVGwYnvXeE4q4i062oF4ipoBvKL6ObA9qu0bdNBUhKjNT
qFJwQzqyx5pQS9hFW0xhZWnQcqfX69S30VTuQn5AIKaEwmeeB+nMI4o4jpKjvLepuLvRdHBq7TVI
zEPHnnlOP3GGlRwlwGETV5BT8vVh4x3XAjk4GA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11504)
`protect data_block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=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_axi_write_wrapper.vhd | 11 | 66283 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
X9tqRM1Ldp3veD5JR6nib/Yah7rNuzujWVoEJ/KsnuK+H3a3VbjPwpRdvRjGEEncOkQuCMKvc1Rz
qN/qA11OFw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PoeesUIChM6pr56WGy27Ub94whBuJL8D1tA0f7JuZwGYyEMs06k5StsVd0EoEKG7z1AGJ+tg0B2T
kzQ0c7+n+ZJ2P/bRGyu514RCetYFq3UF8Mv6vrJYj/Pgk+aaYtPaz1H5+KNAOGQOCQuoanvrrXDy
JUg5vbMZL4tpy3r5n5g=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lqTssHZksaYsGTxPtHCnsfkKAf/ogIUdNmDA6xEB3w5vibYgk0/dSpi6IDDPdvjkRXP/u+1yrm16
+YPK3caH67BdQxujJde/5wqOxELwT03TerxDcl/90UZeVOr8OhM+hKu49ond9B4/iSmu3s1tXXnL
ti+c3hkm0k8aNzuxPYM90Q55P105XIeSzaajLMinx9SpmAXG4q+Ejh+WwVK10qmLtb0jWMFZjRw7
RJZeiiZ/ZEm/jewKU3km+vZlNVyJQqvP6atgv8diGGekUTTfOSuDYD9SERNxR62a7r0TgN63tVrf
ihRf13doegqFKtUuOfO+L1z14sO0VdlFzyCohw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3n0mKG+c8a5rAfH38kljmv0sHJu9mH4qK1bFANq+x3cPePHohsazGbxedmTfsLxvQv66PmA/LvIh
4Dr01v/9QM3+zV4pWHls3DfTgpqMPa6kTqLB77SOqEVCZm3lKvNzrCMTRfxX9/24zyPOLCwcZz9K
Fdg7fJ853OwdI6iwuv0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dLJfzkou4RwNfYaZ96WPGKCHnbE04rtkw0l85srAPggz9EJ7X/Y9m77gcc/iUVsRyhXfDLiNjY/u
kozxXMDckZLER3RPrWtjxORYqQnFO5HbnV3CZYhcpiRjVjeqhQ1t7kJ2/usNJB32TiNK6Nh+j4W2
M62jJ4dgv8umIAnbLE86vgZslFXArNfnSiEVTG4zyeFP0VpBygLFcBg2A6u/nCoijDbQOGfweFAy
kkef0Z/fdGYMKyFWaQtf8/3cImNDYqmsd/NcK1bXmFzbHGhDsyrv7/4Qdtz7hT0TLCLFHvbc0NUK
6tflOAhoxymvEwHsCmTVcQWaKKGeAJeN9wp3jA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 47328)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_bp_lr/fir_compiler_v7_1/hdl/delay.vhd | 8 | 27726 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
oENstH8pI97dNyN+jIf/zCqlM4G347+0jEIn4R/ZdQElQ5TAdA0ajrcClBnyA2Ow/rd19BEcMmo6
qOo7dIiJdQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z3eG56Pa0Sd5NsCc6A3JrsWnI4H+8Ma75Lew61TnRGSSStGqf2CTG91qdOhsZE1QjBKe1YulLMQw
yIuHNXLwNv8lbRwOU6fH2HOpZckEzMGoK16l2JZWdCiTBZptCVdD652JZz8LOZAH6ZVhyiQrbl6q
3SMk7Pk8FbhsSpliMy0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KhMTLx1G9Q9GmU4pn72J4X2yDJdRMh68ZKIqh9b8AvYGRBam3AokSxEigJuz9pu8gcsO8JGmgRdG
wem37zURKTokiBjhUuOEaUEHN9+dsdoeQGPOZL2HB06J7aWbFutTVNOqCpMWSSJjIgeMHzwaWLZp
2Rf6W+bsNKonxNll91wWXgEvBRU4bMeUX1LPZ5Gg5UaIr2HIk5t2FPKNw1QpGiTzVQbgwc8Qb7n4
hN0IRnvvS5vpsvMt5ZUxs/Q04mvJVEGs7PZobEnszZB41WI8WyP0omQPL193vGTpno8N8DGYl8jx
nwvF+0nybNtQGcR+pvyeSbUnLmmzTabok+2Yjg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1cfH8oSZIjpWEyb+8e+GALrk/FPfF0TjRSKRoNtMfTYwfuu8V5lSaWwJawkY3wHsQ1jjIvIzORCY
1GAqekN28ETPyQx8lCqvS4dbheSu/yVcwP4v5eIs7SYvWCYKmVBlX5FG/mXTOhKwkOnl5m4pol8e
4ztvFVxORk5TLsVZ4ZQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NvxBPUGJGtjhxY4z8fLMr7cm44RPmRn3I+gMajpp/xxduanc6HK1Y555VFX//QxUaIZHEgjOpNdV
2ym6fmJzDqodp51YKr/gRihmnlh/ystN2IRpLmA5kzkamML/uliFrBQkyuXs2ZXDnCdcIc81Yfxy
07o3ai/Has58K/HPmdMhNcMyiTOvvh2mrWGPlHtazD77VuXq3ewzC3n0TIoHZfvrTGW6yyK3bfvD
KuxTH1N/IglzCVXjYBb2VhLTU3ekjBin0x1f17WijmqcrY+JGLIBTEkmYRzvg5X5TgWCZkGVVBtC
M6fGUd7FKmLvYGQt4dlQTfzz3xaDGEyEeWn8ug==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18784)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/sub_byp.vhd | 3 | 9156 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ThL0lPfLUwsr8D/1y5RqKySSF4WecmvbCj216hzVNS8knKGNO2bkGGNJ64guPpYaTERSMDjpeKbY
R4YKsHWbTg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UREqI7/iu0qMsD8EE6mn6aau6eWVAR7n4WBON5Vg5rUBTi7+6Eegkp3iezkS5aGWTvVIwMuYpH/G
C/YOLvIIuwA30DSoHpYzXx0J4koumudxJo8ZsrETGr9irW3Mz2FcRSHhuYM3cCIHh/Mek2AORcY/
UbbmPc8W8OOV/0aNv/Q=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Kxb4D3pcfJhbwu17PqoIu4xoobFNcs+56Bv5GkpFGJJQk+x6UpA252LWi7pdPU45uHRDMBJbMGmd
xTYQZpGUbgGa4o1nH4vlew7A1qdO5hOKnxcZb8z7H/kwrP8GXOF2zjEFzhKmA+lMgYEZRL4gRxGN
MhgHWEjA3DBW8nssSHy8D32PJblmkc898plIxPPBGUlhPZQ7n3c2Uh6kWQuVEGy7GB+Hh20K5hHz
bglr0xLgGTh7gZ4LaXsKXSDxb+i3PahSF5Z6YDjNsEMXY268qQ+C4boL4cNrOZE8pkw+RioZxgwd
mdNXaq1Y/YgBl0cbPNq6CC6q74w1LCss7g8tQA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2wYMUczn8CN9bFCLsqjaT+7ZUBWd5Liqm8vXkEOjoK5dw4B6Q5y+81e3Z8eZpTUpFw6fr0/JvJJX
X3tqlpZqATmOOyQv8VID9eg1hhyTccv5APoX118NwuJHqdmufw6UcZPfQKhYuaqZNj3zdGUbkecM
GS4x5y7V15Ry1uJBZu0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
e7e5tzgta0MXzoQWdq/l/OIWIsSBtrtEa2XCO9+2hc4GzMPPsEBMKJc/0J+fhDBTLxuiYiYHw9rY
C/O1i+iJmEbDy4wyJTTo8U6OtwIcL9x0uMHwdNYJduC9Bbxk2XBn7SNV1raIhcba3nnaKkfitRT4
rROmMq0TdnHHVx71P3ldnF9mrcLFPOvmMP+AyqBL2r+q0tnSLDvBnSqPRWfQII2QK7LtTmOSabm3
PMM9cFsf/b2PlodAWqp1GeK2syC3sqRmNRb9GGfEa1sHLJHTDD+7Ee4JwftXQxCORq+ZwApZMvO+
SvTlEM3ftiJcmmbsmU8FhdRu9WfwLyWW/CMNyw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5040)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_ecc_decoder.vhd | 11 | 24873 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JxfuObrTyqbe2hEA4QwOiYQWOPxLUXGFSYHQFiIaolfGxhZj1zvQa30JxIBd8weczinC6gIhKHYQ
OiZIjcnzUg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jn06LYncZ38HeZKnzdwXlEyWtMsLkGuKphL9SfI9vQ/nin3CMF2DWW0aaKDA3K4kLYA6+0BVaYBn
UL2RuFCmWsSlnT1u8CPdPkxnmiI/ymwfOFbHwDpi8C5zDfwlSxkcEmk1JF8rhnHEG0TJVtjtx0Xb
DOIfecnbTgrj66wbvmM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FvWDVCvPueXxVjxE73Hg+66ukrxdvjInPIExuSYH3rtAJ+Q67KaWRMFv+yD+oLMiZWrB+9ZN3z2g
HTzYMXv5EWy34j4bEpdxgtMV0THc6JUE8dSrC4UqQRGHZgY4aSlZo4H+4lAhkBWdMGAxJG1vLI8n
EM2J+2s58BnuV8K9ZF+LFzoDbDZRwhW2dReFRqmiqQ2sG7WyQeyRz02eFt/5AY/hAL+T1Xn7Abfb
VzoZUWM6XUQmBytIopfxBZ5q+H8MS0vngPTj9pIOgry5rmepVcRgoTrSqxAAf5bNJE2Ua0AlzVz9
2+aobB/Eth+AL66PQgnrK4wipZP8U2G2MXCZEQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ibFWWG1XdY/PW/BH7XKrn7+4Aw5Sq++k6GO9sQ+2cEkTOqBF5ivD4ad9Sy7RSJKysoQsrBE4Dl9N
fdl/3MPeQybS5AvDlaewDNsg/sr0db0PfG5H49Lgcc82rMjIrbEVeWjyAMxxEf6KvuqlW0Zwv9F2
4cWwSS7GfssRkUKfjos=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TBfxv+m64ke5f6OYO9lUIbDoPu+8GznSlDm1X4Lt/JkIOWNwtkGYOZhN5WPiVhEYzEeKGX1N6cgc
t425QQWopPfUn08uWQh8kMi5S78FcvcEI90+JHFJVB6NqTsw0oNCs9mo5BjDlYFNSawez/THWthD
3XXvU6FRu6JCeS6ev7Vt1NHW9XY02khpakJLLTdM6FIfjjYf+zNL9SW8h24wLw9c02alUQjuQPee
K1cdLsr6VwTokl9niddPPQ8yf7wJbI++74bTlp8ITT7V/CitgT6dAbG/KE1mPbV+a/dbgvK3T7/A
FV7uFV4jv/voXs6JQohvi+J78c7V81f6dbEs7g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_2/part_3/ip/bram/bram/blk_mem_gen_v8_1/blk_mem_axi_write_fsm.vhd | 27 | 61464 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
b5iEwcuh/jbBlgyw+948d3lvWBbFsOTNVYtA4pJb/+7lAHor6DKhd4akfRWg+MPGWaTgwtrV3Hjr
bBdLdBNTBw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VtyA/tLK0cCJJRwkcmojHVnJYFSH/hY10K0O1xHrVFcESK6dXqpZL9jghTqU0K8Rgfgyj2mbpSmS
d3OjaMJOT/0rjwEIwUBTQhpYCQbUdyb5e+tsu6Jle32rY2EO1nN6daySTSkOW0tup2zZBsIOCr3t
+ejm/NK+miEBBu1xCLg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Sf+0xczGTqZZx6dcqp2GTylMp6ojNl/Es91rC3p2Qk7Z8FK5U8FSMHtByvmeihj5pitp5aOxAIcO
cjVP1mZpqkA9QTc6UkTBmHGnHSpwqkUrzOtsT2ws44zFj3ryr3hssigeWwtnVK13YgLrM+5chsUj
26gA0jBZIt1YnLsbFPdAg3CFuuIkHWQ39NEQDeG2BTbW5KtUVyDTnpctdLn+1GQ9lYJeC7lVtfwI
4B4xEL5dhZYik7uaLaobO+7jlipeHv29o8EQsg6BnOj1c1kxrXtTLsKozU5mRUSyPYYAw5cgAAvI
P9ELz58Fq2bFhjjPjC0ULrxEE7cl3R3lE+lEcg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qzj1t+dWRPGHMv8nVaAMZRu2BQPWmF3UL/i0LvBgsHGjHy3fNoKTLAs04wnbPCVtn8n3ytCSqZ9j
YDEGkJeQd/ctkBALil+9bfKGzVPGZiyWs36ilhf0nuaehXbM+Zt3Nfkh/wd1LKqVrJhOB/A/iGYL
jRkozXf4ccRU53dhQZE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eo3jj49OyneaHUaTvAS2/lR4/3L9GHwLzRAoxweYog0SBxlqFd2rrO0OlKoc3GfXgogda87o4tmz
l/UHxih0uJyK1snlhQ6A1EHKpMBpfD++gCN+S5IJFV1QgpWejKXt+0a0zp/A429l2cS7KMD2pUZc
B0C4VRE2SAMGJhfx1GIRczPJREH6ZIkDU1qmMs04rSp0PaGn6eV7+euaxeQcoqowg8QlRFnxfvHh
5JrqhxNCP2z579eEXYXH3AWOzWM/EnKEFUTbEaxMGP4W7RzgRCZvuM41apmXDWTVjEj3gQq6xKn9
0OWO8TXN0ID1dcJmFJe2x6yA91duGkuqWQQaEA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 43760)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/fir_lp_15kHz/fir_compiler_v7_1/hdl/dpr_mem.vhd | 8 | 19986 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VBYbGwO/HAKGC3VUbR8sfTM3DEm/zYtt7XfuTUQm1aDgprMgAnCXOW1AjWlFh/q4RdtJSVqMDxdK
bsi45Ak06w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K1CQefQqfbRMnajU1lqWXjvpR0SXlfqmJ38eDZxrWftvcdPAyZpgLYBU2kuqc3yCueWKITvJlOxe
MkrTLioDWGWJsHrxfd0jlT/WkCYLY5/JvfqUGKClIsOoSlO154U8is9Og1dJXshpnlTKe5wlvtR0
nwXmJGRs6zy26jgBTNY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YM3DY7NgABkFNFo73owKf8ltU1TcMZitA7Ak7hHKdldVZ8QpY5qWOvSTh4euOLAoY+zaEH5YhX0D
YmkHUVVy+6iiha11Zz/0NQyIvr+4K6AOkhV50pqKCU5QcJVT0UTjboSt0jwIyqTuQTb0v+y6DjC6
WIPYPnXLIgiKZU9lxbg8vv26ia6f1j4pBqYZnsMIJ6le/+xhZkP2WwYVtFPXt4LhX+UkWjRPnwms
wqAyVC2ZN2oS3SnvQdRQm83UWrRJkOEKRnx+fPKCXFUslCKqq16WzfSlZbD+/vGQG/aDLRHT8b4y
awIwMB2zWfzM4VsmVw/73IFyXBuehp7ZPmPCfA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ePKdSVz045exe4Dfdm3AhnEuUQ/kh73Cs/DOz67WLxW6jTiPxyjIzIagRWcIFD53atP0FnYYV6RM
VToq1VjfpIB7FDeOywCZGOpuPMJXyE4vpzPVmO8z2ale6D4R4wL3p4mlsOzDVpqJRqJNo2v7dn7Z
Aub/O0NQaU4qDMGL/c4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gurUCrFhb7/wCA6+WYt4RxDmumDPXUkhqhYxrSj5XWhjw+zW78yAbOCuK1QXuFjhUUqbTovPugtB
QrBTFZlhTCNOrc+NPiTmw9ndA155DQtqJedmgHkfYykyNrDR5XMhdqf6dGKhNcTSCXiHcZ13ycy5
spzUsJunWkjeQILHOc567LsQz6kxC2m/Y3JYiUKR/uSX89r4YcEbEMeVdU1ncndtabsexE8OfKzE
tcllQDsjwkOxpxCGaFKmCBPwhqv2RBCxeg3hB+kwI1MajDlJEA74YOomo0FVUDuR5Sd3HbIRzlN9
34lID6bJGRDUa5OrdOGN6oesWGoG7YPoq8xkLw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13056)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/transpose_interpolation.vhd | 2 | 169602 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mcaaqlfjEIlo1AhZQokjLyh6LJCiFwuWAWK344d8Lk8eAhVrfhuIZqgnJevpuPXSAlRyD6nWsQTJ
VZV8ivke0Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SU6cGSb7NnXTD6dqCG8pO+mQ+HnawrDdI4BZUUrVlDBlJSK3gnzLMEouhM6C+jGgvQohw2i4OHX0
28UsNnBKzssHuPt9qB0euwGRJjel8LHkQw7Hf4ZoZ/NGxgHKbcQFS+9P3FErJMQnpaGudQYptjLJ
JZQ+ybDShpsrmTAM3Vk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
3hYseN4AHKrPQyW2IY+fMBexoj3NstdoMOG4lA1nCzhVb5yFihNTgYq2iI9abtLEuOBy7yhDcOt0
sXka5PzJiTUnznfwJ6Dl2vJqSKBUdJjkuF3QcKp3gnNxZgNYQlxqqGUlFKeFFO9DX+9pq8IHXuF0
nULhotS+7Lorv8+vQu653FWqxExFTGk3yyTMcn5rGZ86tDYD1J8pU7gTB+pPbtXaPxJzje5o/zFz
YiBHXzCaQDQn9DxUO21kFYIhEk5v5xWSyZAom0FBCwkx6BCpjtkg0yXaZcjjuxPp/CgEQrSfWReh
GtVejDLatxRb149P9UYVgoRNoEExW/8HC3jr0A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P8MzEALF1css+nc11Pr7L53xBSAuysLoXwP0q6xQboWkIz/oJguXqhjr/jyU+BFJu43M7CAEO/wV
5N+Fh8UaD0DYfNCOsIocGR3sDPXxU8DlC6XND73WZngnK8ExZgOovCmgKx0UKio6wPixHpdZIkuR
T6aakhVwWpdoeJYxnaw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
n7+Ep6nrZ2s3GMPvaC74FAX4KJ+bJVadigpBFN356zEET2xH0QWwrlAgcrwz3bBB2SX/AyC4Jnd5
TAnWpu4zdK1VQQR2j+7JxqNQBKCY3Y8+TIKYAwWXeSK1Er3DqO4ThBNTIdXOD+6vyXHllh79Zt55
qe4YJok7dj7BxnDHwxWArhhDxtDc7KKjE01nQW1rillVTG3GW0+U194PGS3IgdfHEhcl7mZ8YKJJ
YTvN7GVcVDSkgtdCfu47v1c/ycJBAoC7b6MoeNVnpKjgIN07RcqsBYtbaqHK8mrU7xMbkjjKnwls
TmKoQ+uBdWkpLsCWzxFnWcQ1V96777yHuErNlQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 123808)
`protect data_block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`protect end_protected
| gpl-2.0 |
esar/hdmilight-v2 | fpga/avr/cpu_core.vhd | 1 | 10158 | -------------------------------------------------------------------------------
--
-- Copyright (C) 2009, 2010 Dr. Juergen Sauermann
--
-- This code is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This code is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this code (see the file named COPYING).
-- If not, see http://www.gnu.org/licenses/.
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- Module Name: cpu_core - Behavioral
-- Create Date: 13:51:24 11/07/2009
-- Description: the instruction set implementation of a CPU.
--
-------------------------------------------------------------------------------
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity cpu_core is
port ( I_CLK : in std_logic;
I_CE : in std_logic;
I_CLR : in std_logic;
I_INTVEC : in std_logic_vector( 5 downto 0);
I_DIN : in std_logic_vector(15 downto 0);
Q_OPC : out std_logic_vector(15 downto 0);
Q_PC : out std_logic_vector(15 downto 0);
Q_DOUT : out std_logic_vector(15 downto 0);
Q_ADR : out std_logic_vector(15 downto 0);
Q_RD_IO : out std_logic;
Q_WE_IO : out std_logic;
Q_WE_SRAM : out std_logic_vector(1 downto 0));
end cpu_core;
architecture Behavioral of cpu_core is
component opc_fetch
port( I_CLK : in std_logic;
I_CE : in std_logic;
I_CLR : in std_logic;
I_INTVEC : in std_logic_vector( 5 downto 0);
I_NEW_PC : in std_logic_vector(15 downto 0);
I_LOAD_PC : in std_logic;
I_PM_ADR : in std_logic_vector(15 downto 0);
I_SKIP : in std_logic;
Q_OPC : out std_logic_vector(31 downto 0);
Q_PC : out std_logic_vector(15 downto 0);
Q_PM_DOUT : out std_logic_vector( 7 downto 0);
Q_T0 : out std_logic);
end component;
signal F_PC : std_logic_vector(15 downto 0);
signal F_OPC : std_logic_vector(31 downto 0);
signal F_PM_DOUT : std_logic_vector( 7 downto 0);
signal F_T0 : std_logic;
component opc_deco is
port ( I_CLK : in std_logic;
I_CE : in std_logic;
I_OPC : in std_logic_vector(31 downto 0);
I_PC : in std_logic_vector(15 downto 0);
I_T0 : in std_logic;
Q_ALU_OP : out std_logic_vector( 4 downto 0);
Q_AMOD : out std_logic_vector( 5 downto 0);
Q_BIT : out std_logic_vector( 3 downto 0);
Q_DDDDD : out std_logic_vector( 4 downto 0);
Q_IMM : out std_logic_vector(15 downto 0);
Q_JADR : out std_logic_vector(15 downto 0);
Q_OPC : out std_logic_vector(15 downto 0);
Q_PC : out std_logic_vector(15 downto 0);
Q_PC_OP : out std_logic_vector( 2 downto 0);
Q_PMS : out std_logic; -- program memory select
Q_RD_M : out std_logic;
Q_RRRRR : out std_logic_vector( 4 downto 0);
Q_RSEL : out std_logic_vector( 1 downto 0);
Q_WE_01 : out std_logic;
Q_WE_D : out std_logic_vector( 1 downto 0);
Q_WE_F : out std_logic;
Q_WE_M : out std_logic_vector( 1 downto 0);
Q_WE_XYZS : out std_logic);
end component;
signal D_ALU_OP : std_logic_vector( 4 downto 0);
signal D_AMOD : std_logic_vector( 5 downto 0);
signal D_BIT : std_logic_vector( 3 downto 0);
signal D_DDDDD : std_logic_vector( 4 downto 0);
signal D_IMM : std_logic_vector(15 downto 0);
signal D_JADR : std_logic_vector(15 downto 0);
signal D_OPC : std_logic_vector(15 downto 0);
signal D_PC : std_logic_vector(15 downto 0);
signal D_PC_OP : std_logic_vector(2 downto 0);
signal D_PMS : std_logic;
signal D_RD_M : std_logic;
signal D_RRRRR : std_logic_vector( 4 downto 0);
signal D_RSEL : std_logic_vector( 1 downto 0);
signal D_WE_01 : std_logic;
signal D_WE_D : std_logic_vector( 1 downto 0);
signal D_WE_F : std_logic;
signal D_WE_M : std_logic_vector( 1 downto 0);
signal D_WE_XYZS : std_logic;
component data_path
port( I_CLK : in std_logic;
I_CE : in std_logic;
I_ALU_OP : in std_logic_vector( 4 downto 0);
I_AMOD : in std_logic_vector( 5 downto 0);
I_BIT : in std_logic_vector( 3 downto 0);
I_DDDDD : in std_logic_vector( 4 downto 0);
I_DIN : in std_logic_vector(15 downto 0);
I_IMM : in std_logic_vector(15 downto 0);
I_JADR : in std_logic_vector(15 downto 0);
I_PC_OP : in std_logic_vector( 2 downto 0);
I_OPC : in std_logic_vector(15 downto 0);
I_PC : in std_logic_vector(15 downto 0);
I_PMS : in std_logic; -- program memory select
I_RD_M : in std_logic;
I_RRRRR : in std_logic_vector( 4 downto 0);
I_RSEL : in std_logic_vector( 1 downto 0);
I_WE_01 : in std_logic;
I_WE_D : in std_logic_vector( 1 downto 0);
I_WE_F : in std_logic;
I_WE_M : in std_logic_vector( 1 downto 0);
I_WE_XYZS : in std_logic;
Q_ADR : out std_logic_vector(15 downto 0);
Q_DOUT : out std_logic_vector(15 downto 0);
Q_INT_ENA : out std_logic;
Q_LOAD_PC : out std_logic;
Q_NEW_PC : out std_logic_vector(15 downto 0);
Q_OPC : out std_logic_vector(15 downto 0);
Q_PC : out std_logic_vector(15 downto 0);
Q_RD_IO : out std_logic;
Q_SKIP : out std_logic;
Q_WE_IO : out std_logic;
Q_WE_SRAM : out std_logic_vector(1 downto 0));
end component;
signal R_INT_ENA : std_logic;
signal R_NEW_PC : std_logic_vector(15 downto 0);
signal R_LOAD_PC : std_logic;
signal R_SKIP : std_logic;
signal R_ADR : std_logic_vector(15 downto 0);
-- local signals
--
signal L_DIN : std_logic_vector(15 downto 0);
signal L_INTVEC_5 : std_logic;
begin
opcf : opc_fetch
port map( I_CLK => I_CLK,
I_CE => I_CE,
I_CLR => I_CLR,
I_INTVEC(5) => L_INTVEC_5,
I_INTVEC(4 downto 0) => I_INTVEC(4 downto 0),
I_LOAD_PC => R_LOAD_PC,
I_NEW_PC => R_NEW_PC,
I_PM_ADR => R_ADR,
I_SKIP => R_SKIP,
Q_PC => F_PC,
Q_OPC => F_OPC,
Q_T0 => F_T0,
Q_PM_DOUT => F_PM_DOUT);
odec : opc_deco
port map( I_CLK => I_CLK,
I_CE => I_CE,
I_OPC => F_OPC,
I_PC => F_PC,
I_T0 => F_T0,
Q_ALU_OP => D_ALU_OP,
Q_AMOD => D_AMOD,
Q_BIT => D_BIT,
Q_DDDDD => D_DDDDD,
Q_IMM => D_IMM,
Q_JADR => D_JADR,
Q_OPC => D_OPC,
Q_PC => D_PC,
Q_PC_OP => D_PC_OP,
Q_PMS => D_PMS,
Q_RD_M => D_RD_M,
Q_RRRRR => D_RRRRR,
Q_RSEL => D_RSEL,
Q_WE_01 => D_WE_01,
Q_WE_D => D_WE_D,
Q_WE_F => D_WE_F,
Q_WE_M => D_WE_M,
Q_WE_XYZS => D_WE_XYZS);
dpath : data_path
port map( I_CLK => I_CLK,
I_CE => I_CE,
I_ALU_OP => D_ALU_OP,
I_AMOD => D_AMOD,
I_BIT => D_BIT,
I_DDDDD => D_DDDDD,
I_DIN => L_DIN,
I_IMM => D_IMM,
I_JADR => D_JADR,
I_OPC => D_OPC,
I_PC => D_PC,
I_PC_OP => D_PC_OP,
I_PMS => D_PMS,
I_RD_M => D_RD_M,
I_RRRRR => D_RRRRR,
I_RSEL => D_RSEL,
I_WE_01 => D_WE_01,
I_WE_D => D_WE_D,
I_WE_F => D_WE_F,
I_WE_M => D_WE_M,
I_WE_XYZS => D_WE_XYZS,
Q_ADR => R_ADR,
Q_DOUT => Q_DOUT,
Q_INT_ENA => R_INT_ENA,
Q_NEW_PC => R_NEW_PC,
Q_OPC => Q_OPC,
Q_PC => Q_PC,
Q_LOAD_PC => R_LOAD_PC,
Q_RD_IO => Q_RD_IO,
Q_SKIP => R_SKIP,
Q_WE_IO => Q_WE_IO,
Q_WE_SRAM => Q_WE_SRAM);
L_DIN <= "00000000" & F_PM_DOUT when (D_PMS = '1') else I_DIN;
L_INTVEC_5 <= I_INTVEC(5) and R_INT_ENA;
Q_ADR <= R_ADR;
end Behavioral;
| gpl-2.0 |
Pabloitto/CrazyReports | client/bower_components/ace-builds/demo/kitchen-sink/docs/vhdl.vhd | 472 | 830 | library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
| gpl-2.0 |
wrink/codir | codirWeb/ace-builds/demo/kitchen-sink/docs/vhdl.vhd | 472 | 830 | library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
| gpl-2.0 |
autosub-team/autosub | src/tests/testTasksVHDL/testsubmissions/arithmetic/desc/arithmetic.vhdl | 2 | 572 | library IEEE;
use IEEE.std_logic_1164.all;
entity arithmetic is
port( I1 :in std_logic_vector(15-1 downto 0); -- Operand 1
I2 :in std_logic_vector(5-1 downto 0); -- Operand 2
O :out std_logic_vector(15-1 downto 0); -- Output
C :out std_logic; -- Carry Flag
V :out std_logic; -- Overflow Flag
VALID :out std_logic -- Flag to indicate if the solution is valid or not
);
end arithmetic;
| gpl-2.0 |
frantony/geda-gaf | gnetlist/examples/vams/vhdl/basic-vhdl/resistor.vhdl | 15 | 289 | LIBRARY ieee,disciplines;
USE ieee.math_real.all;
USE ieee.math_real.all;
USE work.electrical_system.all;
USE work.all;
-- Entity declaration --
ENTITY RESISTOR IS
GENERIC ( r : REAL := 60.0 );
PORT ( terminal LT : electrical;
terminal RT : electrical );
END ENTITY RESISTOR;
| gpl-2.0 |
frantony/geda-gaf | gnetlist/examples/vams/vhdl/basic-vhdl/spice_cs_arc.vhdl | 15 | 244 | ARCHITECTURE current_controlled OF spice_cs IS
QUANTITY v ACROSS i THROUGH urt TO lrt;
QUANTITY vc ACROSS ic THROUGH ult TO llt;
BEGIN
vc == 0.0;
i == N * ic;
-- i == ISS * (exp(v/(N * VT)) - 1.0);
END ARCHITECTURE current_controlled;
| gpl-2.0 |
esar/hdmilight-v2 | fpga/lightConfigRam.vhd | 1 | 2215 | ----------------------------------------------------------------------------------
--
-- Copyright (C) 2014 Stephen Robinson
--
-- This file is part of HDMI-Light
--
-- HDMI-Light is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 2 of the License, or
-- (at your option) any later version.
--
-- HDMI-Light is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this code (see the file names COPING).
-- If not, see <http://www.gnu.org/licenses/>.
--
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity lightConfigRam is
port (
-- Port A
a_clk : in std_logic;
a_wr : in std_logic;
a_addr : in std_logic_vector(11 downto 0);
a_din : in std_logic_vector(7 downto 0);
a_dout : out std_logic_vector(7 downto 0);
-- Port B
b_clk : in std_logic;
b_addr : in std_logic_vector(8 downto 0);
b_dout : out std_logic_vector(31 downto 0)
);
end lightConfigRam;
architecture Behavioral of lightConfigRam is
type mem_t is array (0 to 2047) of std_logic_vector(7 downto 0);
shared variable mem : mem_t := (others => "11111111");
begin
-- Port A
process(a_clk)
begin
if(rising_edge(a_clk)) then
if(a_wr = '1' and a_addr(11) = '0') then
mem(conv_integer(a_addr)) := a_din;
end if;
a_dout <= mem(conv_integer(a_addr));
end if;
end process;
-- Port B
process(b_clk)
begin
if(rising_edge(b_clk)) then
b_dout(31 downto 24) <= mem(conv_integer(b_addr & "11"));
b_dout(23 downto 16) <= mem(conv_integer(b_addr & "10"));
b_dout(15 downto 8) <= mem(conv_integer(b_addr & "01"));
b_dout( 7 downto 0) <= mem(conv_integer(b_addr & "00"));
end if;
end process;
end Behavioral;
| gpl-2.0 |
frantony/geda-gaf | gnetlist/examples/vams/vhdl/new-vhdl/top_test_entity.vhdl | 14 | 203 | LIBRARY ieee,disciplines;
USE ieee.math_real.all;
USE ieee.math_real.all;
USE work.electrical_system.all;
USE work.all;
-- Entity declaration --
ENTITY top_test_entity IS
END ENTITY top_test_entity;
| gpl-2.0 |
esar/hdmilight-v2 | fpga/outputConfigRam.vhd | 1 | 2592 | ----------------------------------------------------------------------------------
--
-- Copyright (C) 2014 Stephen Robinson
--
-- This file is part of HDMI-Light
--
-- HDMI-Light is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 2 of the License, or
-- (at your option) any later version.
--
-- HDMI-Light is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this code (see the file names COPING).
-- If not, see <http://www.gnu.org/licenses/>.
--
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity outputConfigRam is
port (
-- Port A
a_clk : in std_logic;
a_wr : in std_logic;
a_addr : in std_logic_vector(12 downto 0);
a_din : in std_logic_vector(7 downto 0);
a_dout : out std_logic_vector(7 downto 0);
-- Port B
b_clk : in std_logic;
b_addr : in std_logic_vector(11 downto 0);
b_data : out std_logic_vector(15 downto 0)
);
end outputConfigRam;
architecture Behavioral of outputConfigRam is
type mem_t is array (0 to 4095) of std_logic_vector(7 downto 0);
shared variable memOdd : mem_t;
shared variable memEven : mem_t;
signal a_doutOdd : std_logic_vector(7 downto 0);
signal a_doutEven : std_logic_vector(7 downto 0);
begin
-- Port A
process(a_clk)
begin
if(rising_edge(a_clk)) then
if(a_addr(0) = '0') then
if(a_wr = '1') then
memOdd(conv_integer(a_addr(12 downto 1))) := a_din;
end if;
end if;
a_doutOdd <= memOdd(conv_integer(a_addr(12 downto 1)));
end if;
end process;
process(a_clk)
begin
if(rising_edge(a_clk)) then
if(a_addr(0) = '1') then
if(a_wr = '1') then
memEven(conv_integer(a_addr(12 downto 1))) := a_din;
end if;
end if;
a_doutEven <= memEven(conv_integer(a_addr(12 downto 1)));
end if;
end process;
a_dout <= a_doutOdd when a_addr(0) = '0' else a_doutEven;
-- Port B
process(b_clk)
begin
if(rising_edge(b_clk)) then
b_data( 7 downto 0) <= memOdd(conv_integer(b_addr(11 downto 0)));
end if;
end process;
process(b_clk)
begin
if(rising_edge(b_clk)) then
b_data(15 downto 8) <= memEven(conv_integer(b_addr(11 downto 0)));
end if;
end process;
end Behavioral;
| gpl-2.0 |
frantony/geda-gaf | gnetlist/examples/vams/vhdl/basic-vhdl/electrical_system.vhdl | 15 | 1250 | PACKAGE electrical_system IS
CONSTANT epsi : real := 1.0e-18;
-- declare subtypes for voltage and current
SUBTYPE voltage IS real; -- TOLERANCE "default_voltage";
SUBTYPE current IS real; -- TOLERANCE "default_current";
SUBTYPE charge IS real; -- TOLERANCE "default_charge";
-- basic nature and reference terminal for electrical systems
NATURE electrical IS
voltage ACROSS
current THROUGH ground reference;
FUNCTION always_positive (x:real) RETURN real;
-- a subnature that is compatible with electrical but has
-- different tolerance codes for across and through aspects
-- SUBNATURE high_voltage IS electrical
-- TOLERANCE "MV" ACROSS "A" THROUGH;
-- support for terminal arrays
-- NATURE electrical_vector IS ARRAY (integer RANGE <>) OF electrical;
-- Type quantity_vector IS ARRAY (integer RANGE <>) OF real;
-- Type Adresse is array (integer RANGE <>) of integer;
END PACKAGE electrical_system;
---------------------------------------------------------------------
PACKAGE BODY electrical_system IS
FUNCTION always_positive (x:real) RETURN real IS
BEGIN
IF (x < epsi) THEN
RETURN epsi;
ELSE
RETURN x;
END if;
END;
END package body;
| gpl-2.0 |
esar/hdmilight-v2 | fpga/avr/status_reg.vhd | 3 | 2750 | -------------------------------------------------------------------------------
--
-- Copyright (C) 2009, 2010 Dr. Juergen Sauermann
--
-- This code is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This code is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this code (see the file named COPYING).
-- If not, see http://www.gnu.org/licenses/.
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- Module Name: Register - Behavioral
-- Create Date: 16:15:54 12/26/2009
-- Description: the status register of a CPU.
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity status_reg is
port ( I_CLK : in std_logic;
I_COND : in std_logic_vector ( 3 downto 0);
I_DIN : in std_logic_vector ( 7 downto 0);
I_FLAGS : in std_logic_vector ( 7 downto 0);
I_WE_F : in std_logic;
I_WE_SR : in std_logic;
Q : out std_logic_vector ( 7 downto 0);
Q_CC : out std_logic);
end status_reg;
architecture Behavioral of status_reg is
signal L : std_logic_vector ( 7 downto 0);
begin
process(I_CLK)
begin
if (rising_edge(I_CLK)) then
if (I_WE_F = '1') then -- write flags (from ALU)
L <= I_FLAGS;
elsif (I_WE_SR = '1') then -- write I/O
L <= I_DIN;
end if;
end if;
end process;
cond: process(I_COND, L)
begin
case I_COND(2 downto 0) is
when "000" => Q_CC <= L(0) xor I_COND(3);
when "001" => Q_CC <= L(1) xor I_COND(3);
when "010" => Q_CC <= L(2) xor I_COND(3);
when "011" => Q_CC <= L(3) xor I_COND(3);
when "100" => Q_CC <= L(4) xor I_COND(3);
when "101" => Q_CC <= L(5) xor I_COND(3);
when "110" => Q_CC <= L(6) xor I_COND(3);
when others => Q_CC <= L(7) xor I_COND(3);
end case;
end process;
Q <= L;
end Behavioral;
| gpl-2.0 |
545/Atari7800 | core/cpu6502_true_cycle/branches/avendor/rtl/vhdl/R6502_TC_config.vhd | 2 | 1096 | -- Generation properties:
-- Format : flat
-- Generic mappings : exclude
-- Leaf-level entities : direct binding
-- Regular libraries : use work
-- View name : include
--
library work;
configuration R6502_TC_config of R6502_TC is
for struct
for all : Core
use entity work.Core(struct);
for struct
for all : FSM_Execution_Unit
use entity work.FSM_Execution_Unit(fsm);
end for;
for all : FSM_NMI
use entity work.FSM_NMI(fsm);
end for;
for all : RegBank_AXY
use entity work.RegBank_AXY(struct);
for struct
end for;
end for;
for all : Reg_PC
use entity work.Reg_PC(struct);
for struct
end for;
end for;
for all : Reg_SP
use entity work.Reg_SP(struct);
for struct
end for;
end for;
end for;
end for;
end for;
end R6502_TC_config;
| gpl-2.0 |
freecores/spi_boot | rtl/vhdl/chip-mmc-c.vhd | 1 | 421 | -------------------------------------------------------------------------------
--
-- SD/MMC Bootloader
--
-- $Id: chip-mmc-c.vhd,v 1.1 2005-02-08 20:41:32 arniml Exp $
--
-------------------------------------------------------------------------------
configuration chip_mmc_c0 of chip is
for mmc
for spi_boot_b : spi_boot
use configuration work.spi_boot_rtl_c0;
end for;
end for;
end chip_mmc_c0;
| gpl-2.0 |
545/Atari7800 | lab3sound/lab3sound.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_stub.vhdl | 1 | 1641 | -- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.2 (lin64) Build 1266856 Fri Jun 26 16:35:25 MDT 2015
-- Date : Sun Oct 4 22:06:59 2015
-- Host : cascade.andrew.cmu.edu running 64-bit Red Hat Enterprise Linux Server release 7.1 (Maipo)
-- Command : write_vhdl -force -mode synth_stub
-- /afs/ece.cmu.edu/usr/cmbarker/Private/Atari7800/lab3sound/lab3sound.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_stub.vhdl
-- Design : blk_mem_gen_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity blk_mem_gen_0 is
Port (
clka : in STD_LOGIC;
ena : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 16 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 );
clkb : in STD_LOGIC;
enb : in STD_LOGIC;
addrb : in STD_LOGIC_VECTOR ( 16 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
end blk_mem_gen_0;
architecture stub of blk_mem_gen_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clka,ena,wea[0:0],addra[16:0],dina[15:0],clkb,enb,addrb[16:0],doutb[15:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "blk_mem_gen_v8_2,Vivado 2015.2";
begin
end;
| gpl-2.0 |
freecores/spi_boot | rtl/vhdl/sample/ram_loader.vhd | 1 | 10212 | -------------------------------------------------------------------------------
--
-- SD/MMC Bootloader
-- Sample client for loading an image to asynchronous SRAM
--
-- $Id: ram_loader.vhd,v 1.2 2005-04-10 17:17:23 arniml Exp $
--
-- Copyright (c) 2005, Arnim Laeuger ([email protected])
--
-- All rights reserved, see COPYING.
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/projects.cgi/web/spi_boot/overview
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity ram_loader is
port (
-- Global Interface -------------------------------------------------------
clk_i : in std_logic;
reset_i : in std_logic;
lamp_o : out std_logic;
-- Config Interface -------------------------------------------------------
cfg_clk_i : in std_logic;
cfg_data_i : in std_logic;
start_o : out std_logic;
mode_o : out std_logic;
done_o : out std_logic;
detached_i : in std_logic;
-- Asynchronous RAM Interface ---------------------------------------------
ram_addr_o : out std_logic_vector(15 downto 0);
ram_data_b : out std_logic_vector( 7 downto 0);
ram_ce_no : out std_logic_vector( 3 downto 0);
ram_oe_no : out std_logic;
ram_we_no : out std_logic
);
end ram_loader;
library ieee;
use ieee.numeric_std.all;
architecture rtl of ram_loader is
signal addr_q : unsigned(17 downto 0);
signal inc_addr_s : boolean;
signal shift_dat_q : std_logic_vector(7 downto 0);
signal ser_dat_q : std_logic_vector(7 downto 0);
signal bit_q : unsigned(2 downto 0);
signal bit_ovfl_q : boolean;
type fsm_t is (IDLE,
WE_ON,
WE_OFF,
INC_ADDR1, INC_ADDR2,
FINISHED);
signal fsm_s,
fsm_q : fsm_t;
signal done_q : std_logic;
signal done_s : boolean;
signal mode_q,
mode_s : std_logic;
signal ram_we_n_q,
ram_we_n_s : std_logic;
signal ram_ce_n_q,
ram_ce_n_s : std_logic_vector(3 downto 0);
type start_fsm_t is (WAIT_DETACH,
CHECK_NO_DONE,
WAIT_DONE);
signal start_fsm_s,
start_fsm_q : start_fsm_t;
signal start_s,
start_q : std_logic;
signal enable_s,
enable_q : boolean;
begin
-----------------------------------------------------------------------------
-- Process seq
--
-- Purpose:
-- Implements the sequential elements clocked with cfg_clk_i.
--
seq: process (cfg_clk_i, reset_i)
begin
if reset_i = '0' then
addr_q <= (others => '0');
shift_dat_q <= (others => '0');
ser_dat_q <= (others => '0');
bit_q <= (others => '0');
bit_ovfl_q <= false;
fsm_q <= IDLE;
ram_we_n_q <= '1';
ram_ce_n_q <= (others => '1');
done_q <= '0';
mode_q <= '0';
elsif cfg_clk_i'event and cfg_clk_i = '1' then
if inc_addr_s then
addr_q <= addr_q + 1;
end if;
if enable_q then
bit_q <= bit_q + 1;
bit_ovfl_q <= bit_q = 7;
shift_dat_q(0) <= cfg_data_i;
shift_dat_q(7 downto 1) <= shift_dat_q(6 downto 0);
end if;
-- update register when 8 serial bits have been shifted in
if bit_ovfl_q then
ser_dat_q <= shift_dat_q;
end if;
fsm_q <= fsm_s;
ram_we_n_q <= ram_we_n_s;
ram_ce_n_q <= ram_ce_n_s;
-- done only settable once
if done_s then
done_q <= '1';
end if;
mode_q <= mode_s;
end if;
end process seq;
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Process fsm
--
-- Purpose:
-- Implements the combinational logic of the RAM loader FSM.
--
fsm: process (fsm_q,
bit_ovfl_q,
start_q,
addr_q)
begin
-- default assignments
inc_addr_s <= false;
ram_we_n_s <= '1';
done_s <= false;
fsm_s <= IDLE;
lamp_o <= '1';
mode_s <= '0';
case fsm_q is
when IDLE =>
lamp_o <= '0';
if start_q = '1' then
if bit_ovfl_q then
fsm_s <= WE_ON;
end if;
end if;
when WE_ON =>
ram_we_n_s <= '0';
fsm_s <= WE_OFF;
when WE_OFF =>
fsm_s <= INC_ADDR1;
when INC_ADDR1 =>
fsm_s <= INC_ADDR2;
when INC_ADDR2 =>
if addr_q = "001111111111111111" then -- load only 64k
fsm_s <= FINISHED;
done_s <= true;
mode_s <= '1';
else
inc_addr_s <= true;
fsm_s <= IDLE;
end if;
when FINISHED =>
fsm_s <= FINISHED;
lamp_o <= '1';
mode_s <= '1';
when others =>
end case;
end process fsm;
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Process ce_gen
--
-- Purpose:
-- Generates the four CE signals for the external RAM chips.
--
ce_gen: process (addr_q)
begin
ram_ce_n_s <= (others => '1');
ram_ce_n_s(to_integer(addr_q(17 downto 16))) <= '0';
end process ce_gen;
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Process start_seq
--
-- Purpose:
-- Implements the sequential elements clocked with clk_i.
--
start_seq: process (clk_i, reset_i)
begin
if reset_i = '0' then
start_fsm_q <= WAIT_DETACH;
start_q <= '0';
enable_q <= false;
elsif clk_i'event and clk_i = '1' then
start_fsm_q <= start_fsm_s;
enable_q <= enable_s;
start_q <= start_s;
end if;
end process start_seq;
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Process start_comb
--
-- Purpose:
-- Implements the combinational logic of the start FSM.
--
start_comb: process (start_fsm_q,
detached_i,
done_q,
enable_q,
start_q)
begin
-- default assignments
start_fsm_s <= WAIT_DETACH;
enable_s <= enable_q;
start_s <= start_q;
case start_fsm_q is
-- Wait for detached_i to become '1'
-- This state is entered/left twice:
-- 1. after reset to start the data download
-- 2. after data download to start the next configuration cycle
when WAIT_DETACH =>
if detached_i = '1' then
start_fsm_s <= CHECK_NO_DONE;
enable_s <= true;
start_s <= '1';
else
start_fsm_s <= WAIT_DETACH;
end if;
-- Wait until done_q is '0'
-- This ensures that the FSM stalls when it has started the configuration
-- download. There must be no further action in this case.
when CHECK_NO_DONE =>
if done_q = '0' then
start_fsm_s <= WAIT_DONE;
else
start_fsm_s <= CHECK_NO_DONE;
end if;
-- Wait until done_q is '1'
-- done_q is the signal that the main FSM has finished its work. We
-- need to start the configuration download.
when WAIT_DONE =>
if done_q = '1' then
start_fsm_s <= WAIT_DETACH;
enable_s <= false;
start_s <= '0';
else
start_fsm_s <= WAIT_DONE;
end if;
when others =>
null;
end case;
end process start_comb;
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Output Mapping
-----------------------------------------------------------------------------
start_o <= start_q;
mode_o <= mode_q;
done_o <= done_q
when start_q = '1' else
'1';
ram_addr_o <= std_logic_vector(addr_q(15 downto 0));
ram_data_b <= ser_dat_q;
ram_oe_no <= '1';
ram_ce_no <= ram_ce_n_q;
ram_we_no <= ram_we_n_q;
end rtl;
| gpl-2.0 |
545/Atari7800 | Atari7800/Atari7800.srcs/sources_1/ip/ram1/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd | 41 | 20439 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
S/b+3WZyyE2NN0I2emS78G5gzXg+2HbeNQqzwGLtTu1RKu+fteo7MzjTyI9oicnaXKbXm4TdJtrL
CBdSQSW09g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oaWo0XEQxxtgWP21Pl51U+TGxBlWSne4OYZ7e6qmcKkFCHhELNUyIgcchKHVbgf2g1ekpEKv23up
e9kNBFVP8PaF46NC8zdQhdBiyHY4Fble0m+F7iRrQDFVq53YvvyZi2itfVZuL7dDvQ7rjRV6Giht
d2GSFIryCjqjBh/6DAc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
koGZRj1ONYx4dEkr8kV6F56aDfCqsX6JXZS12blfwpx5PIsZJpmuDMgIo3EW9N+IyQs4IZBMiwKe
dSc2JRW9dzyPk3KGLdehLg3ND67uw233AeitaTQNrr6Khu6xVvrozPCorKIax+/0Qimi7XwzMj7m
Xmf202/pn1cRzzbsuAytg7Rezrh0CchL179vIP4VPBKySnasBil6lSYkJcqS06VlTMjTHfRb3xfi
tafIIN5XblcMv63ip3KW4GQdVYJSfWiROSHkcNAkrJKSj4blZtQgdf2tQRwjIt/Vj1FHmqZ9SrEY
gKl/wx4gLfGe2zBgz58itJ4qyGkNFbYpd43cIQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PdvDeCuHOT7dB2KRfG/IK54ZEWbz64WrER1IKCkqjLU8eyc+Q5B8d5SeXkSSOrUxYfGW2ZL9SNT7
xRi6Jen90/nlGOGQoHQeH4Hv2tMcpx3JZR4LJSNlvk0Fch0YJ2trGlRRUgy9/5BYSx4fpo3IduPl
cpgr7ySt5TSihkyZPms=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MRPtR4KhdHmz3MWfpDi9vdur+0ZJ64TDHCHLQePx1vP6vpjMfLtPdYmIOUj0hNqhjhWBIqWG7vjJ
Q7u7NBs7PqXQYsEqoR/q+MOp0mJjWU6WKlEmqhrW38BvR5rCZ7u9yJx+xsMmX4wq0YjHXCc6HE8T
522tbLrKEgFXa+OBJ4AkLBo3rExrLkvYOgPupOW3BVqIZkXcv4Eld+HiJjV9reUW6AUqY3TxXDvB
PUv0fi76Uyy32UyQIXDYVqGgJ8fBKKraH7t4aeBG2IN+3c4syZtKiOd1xmPockrVtzFkbkKTsl+N
cC6PvaOYL5JLQTD4kKCcofiKg/q+bQsW64XsQA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13392)
`protect data_block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`protect end_protected
| gpl-2.0 |
545/Atari7800 | Atari7900/Atari7900.srcs/sources_1/ip/DIGDUG_ROM/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd | 41 | 20439 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
S/b+3WZyyE2NN0I2emS78G5gzXg+2HbeNQqzwGLtTu1RKu+fteo7MzjTyI9oicnaXKbXm4TdJtrL
CBdSQSW09g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oaWo0XEQxxtgWP21Pl51U+TGxBlWSne4OYZ7e6qmcKkFCHhELNUyIgcchKHVbgf2g1ekpEKv23up
e9kNBFVP8PaF46NC8zdQhdBiyHY4Fble0m+F7iRrQDFVq53YvvyZi2itfVZuL7dDvQ7rjRV6Giht
d2GSFIryCjqjBh/6DAc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
koGZRj1ONYx4dEkr8kV6F56aDfCqsX6JXZS12blfwpx5PIsZJpmuDMgIo3EW9N+IyQs4IZBMiwKe
dSc2JRW9dzyPk3KGLdehLg3ND67uw233AeitaTQNrr6Khu6xVvrozPCorKIax+/0Qimi7XwzMj7m
Xmf202/pn1cRzzbsuAytg7Rezrh0CchL179vIP4VPBKySnasBil6lSYkJcqS06VlTMjTHfRb3xfi
tafIIN5XblcMv63ip3KW4GQdVYJSfWiROSHkcNAkrJKSj4blZtQgdf2tQRwjIt/Vj1FHmqZ9SrEY
gKl/wx4gLfGe2zBgz58itJ4qyGkNFbYpd43cIQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PdvDeCuHOT7dB2KRfG/IK54ZEWbz64WrER1IKCkqjLU8eyc+Q5B8d5SeXkSSOrUxYfGW2ZL9SNT7
xRi6Jen90/nlGOGQoHQeH4Hv2tMcpx3JZR4LJSNlvk0Fch0YJ2trGlRRUgy9/5BYSx4fpo3IduPl
cpgr7ySt5TSihkyZPms=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MRPtR4KhdHmz3MWfpDi9vdur+0ZJ64TDHCHLQePx1vP6vpjMfLtPdYmIOUj0hNqhjhWBIqWG7vjJ
Q7u7NBs7PqXQYsEqoR/q+MOp0mJjWU6WKlEmqhrW38BvR5rCZ7u9yJx+xsMmX4wq0YjHXCc6HE8T
522tbLrKEgFXa+OBJ4AkLBo3rExrLkvYOgPupOW3BVqIZkXcv4Eld+HiJjV9reUW6AUqY3TxXDvB
PUv0fi76Uyy32UyQIXDYVqGgJ8fBKKraH7t4aeBG2IN+3c4syZtKiOd1xmPockrVtzFkbkKTsl+N
cC6PvaOYL5JLQTD4kKCcofiKg/q+bQsW64XsQA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13392)
`protect data_block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`protect end_protected
| gpl-2.0 |
545/Atari7800 | Atari7900/Atari7900.srcs/sources_1/ip/COMBAT_BROM/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd | 41 | 20439 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
S/b+3WZyyE2NN0I2emS78G5gzXg+2HbeNQqzwGLtTu1RKu+fteo7MzjTyI9oicnaXKbXm4TdJtrL
CBdSQSW09g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oaWo0XEQxxtgWP21Pl51U+TGxBlWSne4OYZ7e6qmcKkFCHhELNUyIgcchKHVbgf2g1ekpEKv23up
e9kNBFVP8PaF46NC8zdQhdBiyHY4Fble0m+F7iRrQDFVq53YvvyZi2itfVZuL7dDvQ7rjRV6Giht
d2GSFIryCjqjBh/6DAc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
koGZRj1ONYx4dEkr8kV6F56aDfCqsX6JXZS12blfwpx5PIsZJpmuDMgIo3EW9N+IyQs4IZBMiwKe
dSc2JRW9dzyPk3KGLdehLg3ND67uw233AeitaTQNrr6Khu6xVvrozPCorKIax+/0Qimi7XwzMj7m
Xmf202/pn1cRzzbsuAytg7Rezrh0CchL179vIP4VPBKySnasBil6lSYkJcqS06VlTMjTHfRb3xfi
tafIIN5XblcMv63ip3KW4GQdVYJSfWiROSHkcNAkrJKSj4blZtQgdf2tQRwjIt/Vj1FHmqZ9SrEY
gKl/wx4gLfGe2zBgz58itJ4qyGkNFbYpd43cIQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PdvDeCuHOT7dB2KRfG/IK54ZEWbz64WrER1IKCkqjLU8eyc+Q5B8d5SeXkSSOrUxYfGW2ZL9SNT7
xRi6Jen90/nlGOGQoHQeH4Hv2tMcpx3JZR4LJSNlvk0Fch0YJ2trGlRRUgy9/5BYSx4fpo3IduPl
cpgr7ySt5TSihkyZPms=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MRPtR4KhdHmz3MWfpDi9vdur+0ZJ64TDHCHLQePx1vP6vpjMfLtPdYmIOUj0hNqhjhWBIqWG7vjJ
Q7u7NBs7PqXQYsEqoR/q+MOp0mJjWU6WKlEmqhrW38BvR5rCZ7u9yJx+xsMmX4wq0YjHXCc6HE8T
522tbLrKEgFXa+OBJ4AkLBo3rExrLkvYOgPupOW3BVqIZkXcv4Eld+HiJjV9reUW6AUqY3TxXDvB
PUv0fi76Uyy32UyQIXDYVqGgJ8fBKKraH7t4aeBG2IN+3c4syZtKiOd1xmPockrVtzFkbkKTsl+N
cC6PvaOYL5JLQTD4kKCcofiKg/q+bQsW64XsQA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13392)
`protect data_block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`protect end_protected
| gpl-2.0 |
freecores/spi_boot | bench/vhdl/tb_elem.vhd | 1 | 10891 | -------------------------------------------------------------------------------
--
-- SD/MMC Bootloader
-- Generic testbench element for a specific feature set
--
-- $Id: tb_elem.vhd,v 1.7 2005-04-07 20:43:36 arniml Exp $
--
-- Copyright (c) 2005, Arnim Laeuger ([email protected])
--
-- All rights reserved, see COPYING.
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/projects.cgi/web/spi_boot/overview
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity tb_elem is
generic (
chip_type_g : string := "none";
has_sd_card_g : integer := 1
);
port (
clk_i : in std_logic;
reset_i : in std_logic;
eos_o : out boolean
);
end tb_elem;
library ieee;
use ieee.numeric_std.all;
library std;
use std.textio.all;
use work.spi_boot_pack.all;
use work.tb_pack.all;
architecture behav of tb_elem is
component chip
port (
clk_i : in std_logic;
reset_i : in std_logic;
set_sel_n_i : in std_logic_vector(3 downto 0);
spi_clk_o : out std_logic;
spi_cs_n_o : out std_logic;
spi_data_in_i : in std_logic;
spi_data_out_o : out std_logic;
start_i : in std_logic;
mode_i : in std_logic;
config_n_o : out std_logic;
detached_o : out std_logic;
cfg_init_n_i : in std_logic;
cfg_done_i : in std_logic;
dat_done_i : in std_logic;
cfg_clk_o : out std_logic;
cfg_dat_o : out std_logic
);
end component;
component card
generic (
card_type_g : string := "none";
is_sd_card_g : integer := 1
);
port (
spi_clk_i : in std_logic;
spi_cs_n_i : in std_logic;
spi_data_i : in std_logic;
spi_data_o : out std_logic
);
end component;
signal reset_s : std_logic;
-- SPI interface signals
signal spi_clk_s : std_logic;
signal spi_data_to_card_s : std_logic;
signal spi_data_from_card_s : std_logic;
signal spi_cs_n_s : std_logic;
-- config related signals
signal start_s : std_logic;
signal mode_s : std_logic;
signal config_n_s : std_logic;
signal cfg_init_n_s : std_logic;
signal cfg_done_s : std_logic;
signal dat_done_s : std_logic;
signal cfg_clk_s : std_logic;
signal cfg_dat_s : std_logic;
signal data_s : unsigned(7 downto 0);
signal set_sel_n_s : std_logic_vector(3 downto 0);
constant verbose_c : boolean := false;
begin
-- weak pull-ups
spi_clk_s <= 'H';
spi_cs_n_s <= 'H';
spi_data_to_card_s <= 'H';
-----------------------------------------------------------------------------
-- DUT
-----------------------------------------------------------------------------
dut_b : chip
port map (
clk_i => clk_i,
reset_i => reset_s,
set_sel_n_i => set_sel_n_s,
spi_clk_o => spi_clk_s,
spi_cs_n_o => spi_cs_n_s,
spi_data_in_i => spi_data_from_card_s,
spi_data_out_o => spi_data_to_card_s,
start_i => start_s,
mode_i => mode_s,
config_n_o => config_n_s,
detached_o => open,
cfg_init_n_i => cfg_init_n_s,
cfg_done_i => cfg_done_s,
dat_done_i => dat_done_s,
cfg_clk_o => cfg_clk_s,
cfg_dat_o => cfg_dat_s
);
card_b : card
generic map (
card_type_g => chip_type_g,
is_sd_card_g => has_sd_card_g
)
port map (
spi_clk_i => spi_clk_s,
spi_cs_n_i => spi_cs_n_s,
spi_data_i => spi_data_to_card_s,
spi_data_o => spi_data_from_card_s
);
-----------------------------------------------------------------------------
-- DUT Stimuli
--
stim: process
procedure rise_cfg_clk(num : integer) is
begin
for i in 1 to num loop
wait until cfg_clk_s'event and cfg_clk_s = '1';
end loop;
end rise_cfg_clk;
-- procedure fall_cfg_clk(num : integer) is
-- begin
-- for i in 1 to num loop
-- wait until cfg_clk_s'event and cfg_clk_s = '0';
-- end loop;
-- end fall_cfg_clk;
procedure rise_clk(num : integer) is
begin
for i in 1 to num loop
wait until clk_i'event and clk_i = '1';
end loop;
end rise_clk;
procedure read_check_byte(ref : unsigned(7 downto 0)) is
variable byte_v : unsigned(7 downto 0);
variable dump_line : line;
begin
for bit in 7 downto 0 loop
rise_cfg_clk(1);
byte_v(bit) := cfg_dat_s;
end loop;
data_s <= byte_v;
if byte_v /= ref then
write(dump_line, chip_type_g);
write(dump_line, string'(" at "));
write(dump_line, now);
write(dump_line, string'(": read_check_byte failed "));
write(dump_line, to_integer(byte_v));
write(dump_line, string'(" "));
write(dump_line, to_integer(ref));
writeline(output, dump_line);
end if;
end read_check_byte;
variable dump_line : line;
variable addr_v : unsigned(31 downto 0);
variable temp_v : unsigned( 7 downto 0);
variable set_sel_v : unsigned(3 downto 0);
begin
-- default assignments
-- these defaults show the required pull resistors
-- except start_i as this must be pulled high for automatic start
start_s <= '0';
mode_s <= '1';
cfg_init_n_s <= '1';
cfg_done_s <= '0';
dat_done_s <= '1';
data_s <= (others => '1');
addr_v := (others => '0');
eos_o <= false;
set_sel_n_s <= (others => '1');
reset_s <= '0';
-- loop through some sets
for set in 0 to 3 loop
set_sel_v := to_unsigned(set, 4);
addr_v(23 downto 20) := set_sel_v; -- must match num_bits_per_img_g
-- plus width_img_cnt_g
set_sel_n_s <= not std_logic_vector(set_sel_v);
assert not verbose_c
report chip_type_g & ": Processing set " & to_string(set)
severity note;
wait for 100 us;
reset_s <= '1';
assert not verbose_c
report chip_type_g & ": Requesting image 0"
severity note;
-- signal start
start_s <= '1';
mode_s <= '1';
cfg_done_s <= '0';
addr_v(19 downto 0) := (others => '0');
wait until config_n_s = '0';
-- run through configuration sequence
rise_clk(1);
cfg_init_n_s <= '0';
rise_clk(3);
cfg_init_n_s <= '1';
-- and receive 32 bytes from image 0
for i in 1 to 32 loop
temp_v := addr_v(0) & calc_crc(addr_v);
read_check_byte(temp_v);
addr_v := addr_v + 1;
end loop;
start_s <= '0';
cfg_done_s <= '1';
rise_clk(10);
assert not verbose_c
report chip_type_g & ": Requesting image 1"
severity note;
-- request next image
mode_s <= '0';
start_s <= '1';
addr_v(17 downto 0) := (others => '0');
addr_v(19 downto 18) := "01"; -- must match num_bits_per_img_g in chip-*-a.vhd
dat_done_s <= '0';
-- receive another 32 bytes from image 1
for i in 1 to 32 loop
temp_v := addr_v(0) & calc_crc(addr_v);
read_check_byte(temp_v);
addr_v := addr_v + 1;
end loop;
start_s <= '0';
dat_done_s <= '1';
rise_clk(10);
assert not verbose_c
report chip_type_g & ": Requesting image 2"
severity note;
-- request next image
mode_s <= '1';
start_s <= '1';
addr_v(17 downto 0) := (others => '0');
addr_v(19 downto 18) := "10"; -- must match num_bits_per_img_g in chip-*-a.vhd
wait until config_n_s = '0';
-- run through configuration sequence
rise_clk(1);
cfg_done_s <= '0';
cfg_init_n_s <= '0';
rise_clk(3);
cfg_init_n_s <= '1';
-- receive another 32 bytes from image 2
for i in 1 to 32 loop
temp_v := addr_v(0) & calc_crc(addr_v);
read_check_byte(temp_v);
addr_v := addr_v + 1;
end loop;
start_s <= '0';
cfg_done_s <= '1';
-- give dut a chance to stop current transfer
wait until spi_cs_n_s = '1';
rise_clk(10);
reset_s <= '0';
end loop;
eos_o <= true;
wait;
end process stim;
--
-----------------------------------------------------------------------------
end behav;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.6 2005/03/09 19:48:04 arniml
-- make verbosity level switchable
--
-- Revision 1.5 2005/03/08 22:06:21 arniml
-- added set selection
--
-- Revision 1.4 2005/02/17 18:59:23 arniml
-- clarify wording for images
--
-- Revision 1.3 2005/02/16 19:34:56 arniml
-- add weak pull-ups for SPI lines
--
-- Revision 1.2 2005/02/13 17:14:03 arniml
-- change dat_done handling
--
-- Revision 1.1 2005/02/08 21:09:20 arniml
-- initial check-in
--
-------------------------------------------------------------------------------
| gpl-2.0 |
yamaya/ctags | Test/bug2374109.vhd | 98 | 196 | function Pow2( N, Exp : integer ) return mylib.myinteger is
Variable Result : integer := 1;
begin
for i in 1 to Exp loop
Result := Result * N;
end loop;
return( Result );
end Pow;
| gpl-2.0 |
freecores/spi_boot | rtl/vhdl/chip-minimal-a.vhd | 1 | 6399 | -------------------------------------------------------------------------------
--
-- SD/MMC Bootloader
-- Chip toplevel design with minimal feature set
--
-- $Id: chip-minimal-a.vhd,v 1.7 2007-08-06 23:31:42 arniml Exp $
--
-- Copyright (c) 2005, Arnim Laeuger ([email protected])
--
-- All rights reserved, see COPYING.
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/projects.cgi/web/spi_boot/overview
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
architecture minimal of chip is
component spi_boot
generic (
width_bit_cnt_g : integer := 6;
width_img_cnt_g : integer := 2;
num_bits_per_img_g : integer := 18;
sd_init_g : integer := 0;
mmc_compat_clk_div_g : integer := 0;
width_mmc_clk_div_g : integer := 0;
reset_level_g : integer := 0
);
port (
clk_i : in std_logic;
reset_i : in std_logic;
set_sel_i : in std_logic_vector(31-width_img_cnt_g-num_bits_per_img_g
downto 0);
spi_clk_o : out std_logic;
spi_cs_n_o : out std_logic;
spi_data_in_i : in std_logic;
spi_data_out_o : out std_logic;
spi_en_outs_o : out std_logic;
start_i : in std_logic;
mode_i : in std_logic;
config_n_o : out std_logic;
detached_o : out std_logic;
cfg_init_n_i : in std_logic;
cfg_done_i : in std_logic;
dat_done_i : in std_logic;
cfg_clk_o : out std_logic;
cfg_dat_o : out std_logic
);
end component;
signal spi_clk_s : std_logic;
signal spi_cs_n_s : std_logic;
signal spi_data_out_s : std_logic;
signal spi_en_outs_s : std_logic;
constant width_img_cnt_c : integer := 2; -- 4 images
constant num_bits_per_img_c : integer := 18; -- 256 kByte per image
constant set_sel_width_c : integer := 31-width_img_cnt_c-num_bits_per_img_c;
signal set_sel_s : std_logic_vector(set_sel_width_c downto 0);
begin
set_sel_s <= (3 => not set_sel_n_i(3),
2 => not set_sel_n_i(2),
1 => not set_sel_n_i(1),
0 => not set_sel_n_i(0),
others => '0');
spi_boot_b : spi_boot
generic map (
width_bit_cnt_g => 6, -- 8 bytes per block
width_img_cnt_g => width_img_cnt_c,
num_bits_per_img_g => num_bits_per_img_c,
sd_init_g => 0, -- no SD specific initialization
mmc_compat_clk_div_g => 0, -- no MMC compatibility
width_mmc_clk_div_g => 0 -- no MMC compatibility
)
port map (
clk_i => clk_i,
reset_i => reset_i,
set_sel_i => set_sel_s,
spi_clk_o => spi_clk_s,
spi_cs_n_o => spi_cs_n_s,
spi_data_in_i => spi_data_in_i,
spi_data_out_o => spi_data_out_s,
spi_en_outs_o => spi_en_outs_s,
start_i => start_i,
mode_i => mode_i,
config_n_o => config_n_o,
detached_o => detached_o,
cfg_init_n_i => cfg_init_n_i,
cfg_done_i => cfg_done_i,
dat_done_i => dat_done_i,
cfg_clk_o => cfg_clk_o,
cfg_dat_o => cfg_dat_o
);
-----------------------------------------------------------------------------
-- Three state drivers for SPI outputs.
-----------------------------------------------------------------------------
spi_clk_o <= spi_clk_s
when spi_en_outs_s = '1' else
'Z';
spi_cs_n_o <= spi_cs_n_s
when spi_en_outs_s = '1' else
'Z';
spi_data_out_o <= spi_data_out_s
when spi_en_outs_s = '1' else
'Z';
end minimal;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.6 2005/04/07 20:44:23 arniml
-- add new port detached_o
--
-- Revision 1.5 2005/03/09 19:48:34 arniml
-- invert level of set_sel input
--
-- Revision 1.4 2005/03/08 22:07:12 arniml
-- added set selection
--
-- Revision 1.3 2005/02/18 06:42:12 arniml
-- clarify wording for images
--
-- Revision 1.2 2005/02/16 18:54:39 arniml
-- added tri-state drivers for spi outputs
--
-- Revision 1.1 2005/02/08 20:41:31 arniml
-- initial check-in
--
-------------------------------------------------------------------------------
| gpl-2.0 |
freecores/spi_boot | rtl/vhdl/spi_boot_pack-p.vhd | 1 | 1068 | -------------------------------------------------------------------------------
--
-- SD/MMC Bootloader
--
-- $Id: spi_boot_pack-p.vhd,v 1.1 2005-02-08 20:41:33 arniml Exp $
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package spi_boot_pack is
function "=" (a : std_logic; b : integer) return boolean;
end spi_boot_pack;
package body spi_boot_pack is
function "=" (a : std_logic; b : integer) return boolean is
variable result_v : boolean;
begin
result_v := false;
case a is
when '0' =>
if b = 0 then
result_v := true;
end if;
when '1' =>
if b = 1 then
result_v := true;
end if;
when others =>
null;
end case;
return result_v;
end;
end spi_boot_pack;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-------------------------------------------------------------------------------
| gpl-2.0 |
545/Atari7800 | lab3sound/lab3sound.srcs/sources_1/imports/dsp_base_project/i2c.vhd | 3 | 2470 | ----------------------------------------------------------------------------------
-- Engineer: Mike Field <[email protected]>
--
-- Description: A controller to send I2C commands to the ADAU1761 codec
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity i2c is
Port ( clk : in STD_LOGIC;
i2c_sda_i : IN std_logic;
i2c_sda_o : OUT std_logic;
i2c_sda_t : OUT std_logic;
i2c_scl : out STD_LOGIC);
end i2c;
architecture Behavioral of i2c is
COMPONENT i3c2
Generic( clk_divide : STD_LOGIC_VECTOR (7 downto 0));
PORT(
clk : IN std_logic;
i2c_sda_i : IN std_logic;
i2c_sda_o : OUT std_logic;
i2c_sda_t : OUT std_logic;
i2c_scl : OUT std_logic;
inst_data : IN std_logic_vector(8 downto 0);
inputs : IN std_logic_vector(15 downto 0);
inst_address : OUT std_logic_vector(9 downto 0);
debug_sda : OUT std_logic;
debug_scl : OUT std_logic;
outputs : OUT std_logic_vector(15 downto 0);
reg_addr : OUT std_logic_vector(4 downto 0);
reg_data : OUT std_logic_vector(7 downto 0);
reg_write : OUT std_logic;
error : OUT std_logic
);
END COMPONENT;
COMPONENT adau1761_configuraiton_data
PORT(
clk : IN std_logic;
address : IN std_logic_vector(9 downto 0);
data : OUT std_logic_vector(8 downto 0)
);
END COMPONENT;
signal inst_address : std_logic_vector(9 downto 0);
signal inst_data : std_logic_vector(8 downto 0);
signal debug_big : std_logic_vector(15 downto 0);
begin
Inst_adau1761_configuraiton_data: adau1761_configuraiton_data PORT MAP(
clk => clk,
address => inst_address,
data => inst_data
);
Inst_i3c2: i3c2 GENERIC MAP (
clk_divide => "01111000" -- 120 (48,000/120 = 400kHz I2C clock)
) PORT MAP(
clk => clk,
inst_address => inst_address,
inst_data => inst_data,
i2c_scl => i2c_scl,
i2c_sda_i => i2c_sda_i,
i2c_sda_o => i2c_sda_o,
i2c_sda_t => i2c_sda_t,
inputs => (others => '0'),
outputs => debug_big,
reg_addr => open,
reg_data => open,
reg_write => open,
debug_scl => open,
debug_sda => open,
error => open
);
end Behavioral; | gpl-2.0 |
545/Atari7800 | new_atari/project_1/project_1.srcs/sources_1/ip/clock_divider/clock_divider_clk_wiz.vhd | 1 | 7511 | -- file: clock_divider_clk_wiz.vhd
--
-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- Output Output Phase Duty Cycle Pk-to-Pk Phase
-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
------------------------------------------------------------------------------
-- CLK_OUT1___100.000______0.000______50.0______144.719____114.212
-- CLK_OUT2_____7.143______0.000______50.0______244.806____114.212
-- CLK_OUT3____25.000______0.000______50.0______191.696____114.212
--
------------------------------------------------------------------------------
-- Input Clock Freq (MHz) Input Jitter (UI)
------------------------------------------------------------------------------
-- __primary_________100.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clock_divider_clk_wiz is
port
(-- Clock in ports
CLOCK_PLL : in std_logic;
-- Clock out ports
CLOCK_100 : out std_logic;
CLOCK_7_143 : out std_logic;
CLOCK_25 : out std_logic;
-- Status and control signals
reset : in std_logic;
locked : out std_logic
);
end clock_divider_clk_wiz;
architecture xilinx of clock_divider_clk_wiz is
-- Input clock buffering / unused connectors
signal CLOCK_PLL_clock_divider : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout_clock_divider : std_logic;
signal clkfbout_buf_clock_divider : std_logic;
signal clkfboutb_unused : std_logic;
signal CLOCK_100_clock_divider : std_logic;
signal clkout0b_unused : std_logic;
signal CLOCK_7_143_clock_divider : std_logic;
signal clkout1b_unused : std_logic;
signal CLOCK_25_clock_divider : std_logic;
signal clkout2b_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout3b_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
signal clkout6_unused : std_logic;
-- Dynamic programming unused signals
signal do_unused : std_logic_vector(15 downto 0);
signal drdy_unused : std_logic;
-- Dynamic phase shift unused signals
signal psdone_unused : std_logic;
signal locked_int : std_logic;
-- Unused status signals
signal clkfbstopped_unused : std_logic;
signal clkinstopped_unused : std_logic;
signal reset_high : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1_ibufg : IBUF
port map
(O => CLOCK_PLL_clock_divider,
I => CLOCK_PLL);
-- Clocking PRIMITIVE
--------------------------------------
-- Instantiation of the MMCM PRIMITIVE
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
plle2_adv_inst : PLLE2_ADV
generic map
(BANDWIDTH => "OPTIMIZED",
COMPENSATION => "ZHOLD",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 8,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 8,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT1_DIVIDE => 112,
CLKOUT1_PHASE => 0.000,
CLKOUT1_DUTY_CYCLE => 0.500,
CLKOUT2_DIVIDE => 32,
CLKOUT2_PHASE => 0.000,
CLKOUT2_DUTY_CYCLE => 0.500,
CLKIN1_PERIOD => 10.0)
port map
-- Output clocks
(
CLKFBOUT => clkfbout_clock_divider,
CLKOUT0 => CLOCK_100_clock_divider,
CLKOUT1 => CLOCK_7_143_clock_divider,
CLKOUT2 => CLOCK_25_clock_divider,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
-- Input clock control
CLKFBIN => clkfbout_buf_clock_divider,
CLKIN1 => CLOCK_PLL_clock_divider,
CLKIN2 => '0',
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DO => do_unused,
DRDY => drdy_unused,
DWE => '0',
-- Other control and status signals
LOCKED => locked_int,
PWRDWN => '0',
RST => reset_high);
reset_high <= reset;
locked <= locked_int;
-- Output buffering
-------------------------------------
clkf_buf : BUFG
port map
(O => clkfbout_buf_clock_divider,
I => clkfbout_clock_divider);
clkout1_buf : BUFG
port map
(O => CLOCK_100,
I => CLOCK_100_clock_divider);
clkout2_buf : BUFG
port map
(O => CLOCK_7_143,
I => CLOCK_7_143_clock_divider);
clkout3_buf : BUFG
port map
(O => CLOCK_25,
I => CLOCK_25_clock_divider);
end xilinx;
| gpl-2.0 |
545/Atari7800 | core/cpu6502_true_cycle/branches/avendor/rtl/vhdl/fsm_nmi.vhd | 2 | 5422 | -- VHDL Entity R6502_TC.FSM_NMI.symbol
--
-- Created:
-- by - eda.UNKNOWN (ENTWICKL4-XP-PR)
-- at - 22:43:05 04.01.2009
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
entity FSM_NMI is
port(
clk_clk_i : in std_logic;
fetch_i : in std_logic;
nmi_n_i : in std_logic;
rst_rst_n_i : in std_logic;
nmi_o : out std_logic
);
-- Declarations
end FSM_NMI ;
-- Jens-D. Gutschmidt Project: R6502_TC
-- [email protected]
-- COPYRIGHT (C) 2008 by Jens Gutschmidt and OPENCORES.ORG
--
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or any later version.
--
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- CVS Revisins History
--
-- $Log: not supported by cvs2svn $
-- <<-- more -->>
-- Title: FSM for NMI
-- Path: R6502_TC/FSM_NMI/fsm
-- Edited: by eda on 03 Jan 2009
--
-- VHDL Architecture R6502_TC.FSM_NMI.fsm
--
-- Created:
-- by - eda.UNKNOWN (ENTWICKL4-XP-PR)
-- at - 22:43:05 04.01.2009
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
architecture fsm of FSM_NMI is
type state_type is (
idle,
idle1,
idle2,
IMP
);
-- State vector declaration
attribute state_vector : string;
attribute state_vector of fsm : architecture is "current_state";
-- Declare current and next state signals
signal current_state : state_type;
signal next_state : state_type;
-- Declare any pre-registered internal signals
signal nmi_o_cld : std_logic ;
begin
-----------------------------------------------------------------
clocked_proc : process (
clk_clk_i,
rst_rst_n_i
)
-----------------------------------------------------------------
begin
if (rst_rst_n_i = '0') then
current_state <= idle;
-- Default Reset Values
nmi_o_cld <= '0';
elsif (clk_clk_i'event and clk_clk_i = '1') then
current_state <= next_state;
-- Default Assignment To Internals
nmi_o_cld <= '0';
-- Combined Actions
case current_state is
when IMP =>
nmi_o_cld <= '1';
when others =>
null;
end case;
end if;
end process clocked_proc;
-----------------------------------------------------------------
nextstate_proc : process (
current_state,
fetch_i,
nmi_n_i
)
-----------------------------------------------------------------
begin
case current_state is
-- <<< REQ1
when idle =>
if (nmi_n_i = '1') then
next_state <= idle1;
else
next_state <= idle;
end if;
when idle1 =>
if (nmi_n_i = '0') then
next_state <= idle2;
else
next_state <= idle1;
end if;
when idle2 =>
if (nmi_n_i = '0') then
next_state <= IMP;
else
next_state <= idle;
end if;
when IMP =>
if (fetch_i = '1') then
next_state <= idle;
else
next_state <= IMP;
end if;
when others =>
next_state <= idle;
end case;
end process nextstate_proc;
-- Concurrent Statements
-- Clocked output assignments
nmi_o <= nmi_o_cld;
end fsm;
| gpl-2.0 |
cafe-alpha/wasca | v12/fpga_firmware/wasca/synthesis/submodules/Altera_UP_SD_Card_Buffer.vhd | 7 | 13242 | -- (C) 2001-2015 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-------------------------------------------------------------------------------------
-- This module is a dual port memory block. It has a 16-bit port and a 1-bit port.
-- The 1-bit port is used to either send or receive data, while the 16-bit port is used
-- by Avalon interconnet to store and retrieve data.
--
-- NOTES/REVISIONS:
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity Altera_UP_SD_Card_Buffer is
generic (
TIMEOUT : std_logic_vector(15 downto 0) := "1111111111111111";
BUSY_WAIT : std_logic_vector(15 downto 0) := "0000001111110000"
);
port
(
i_clock : in std_logic;
i_reset_n : in std_logic;
-- 1 bit port to transmit and receive data on the data line.
i_begin : in std_logic;
i_sd_clock_pulse_trigger : in std_logic;
i_transmit : in std_logic;
i_1bit_data_in : in std_logic;
o_1bit_data_out : out std_logic;
o_operation_complete : out std_logic;
o_crc_passed : out std_logic;
o_timed_out : out std_logic;
o_dat_direction : out std_logic; -- set to 1 to send data, set to 0 to receive it.
-- 16 bit port to be accessed by a user circuit.
i_enable_16bit_port : in std_logic;
i_address_16bit_port : in std_logic_vector(7 downto 0);
i_write_16bit : in std_logic;
i_16bit_data_in : in std_logic_vector(15 downto 0);
o_16bit_data_out : out std_logic_vector(15 downto 0)
);
end entity;
architecture rtl of Altera_UP_SD_Card_Buffer is
component Altera_UP_SD_CRC16_Generator
port
(
i_clock : in std_logic;
i_enable : in std_logic;
i_reset_n : in std_logic;
i_sync_reset : in std_logic;
i_shift : in std_logic;
i_datain : in std_logic;
o_dataout : out std_logic;
o_crcout : out std_logic_vector(15 downto 0)
);
end component;
component Altera_UP_SD_Card_Memory_Block
PORT
(
address_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
address_b : IN STD_LOGIC_VECTOR (11 DOWNTO 0);
clock_a : IN STD_LOGIC ;
clock_b : IN STD_LOGIC ;
data_a : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
data_b : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
enable_a : IN STD_LOGIC := '1';
enable_b : IN STD_LOGIC := '1';
wren_a : IN STD_LOGIC := '1';
wren_b : IN STD_LOGIC := '1';
q_a : OUT STD_LOGIC_VECTOR (15 DOWNTO 0);
q_b : OUT STD_LOGIC_VECTOR (0 DOWNTO 0)
);
END component;
-- Build an enumerated type for the state machine. On reset always reset the DE2 and read the state
-- of the switches.
type state_type is (s_RESET, s_WAIT_REQUEST, s_SEND_START_BIT, s_SEND_DATA, s_SEND_CRC, s_SEND_STOP, s_WAIT_BUSY, s_WAIT_BUSY_END,
s_WAIT_DATA_START, s_RECEIVING_LEADING_BITS, s_RECEIVING_DATA, s_RECEIVING_STOP_BIT, s_WAIT_DEASSERT);
-- Register to hold the current state
signal current_state : state_type;
signal next_state : state_type;
-- Local wires
-- REGISTERED
signal crc_counter : std_logic_vector(3 downto 0);
signal local_mode : std_logic;
signal dataout_1bit : std_logic;
signal bit_counter : std_logic_vector(2 downto 0);
signal byte_counter : std_logic_vector(8 downto 0);
signal shift_register : std_logic_vector(16 downto 0);
signal timeout_register : std_logic_vector(15 downto 0);
signal data_in_reg : std_logic;
-- UNREGISTERED
signal crc_out : std_logic_vector(15 downto 0);
signal single_bit_conversion, single_bit_out : std_logic_vector( 0 downto 0);
signal packet_mem_addr_b : std_logic_vector(11 downto 0);
signal local_reset, to_crc_generator, from_crc_generator, from_mem_1_bit, shift_crc,
recv_data, crc_generator_enable : std_logic;
begin
-- State transitions
state_transitions: process( current_state, i_begin, i_sd_clock_pulse_trigger, i_transmit, byte_counter,
bit_counter, crc_counter, i_1bit_data_in, timeout_register, data_in_reg)
begin
case (current_state) is
when s_RESET =>
-- Reset local registers and begin waiting for user input.
next_state <= s_WAIT_REQUEST;
when s_WAIT_REQUEST =>
-- Wait for i_begin to be high
if ((i_begin = '1') and (i_sd_clock_pulse_trigger = '1')) then
if (i_transmit = '1') then
next_state <= s_SEND_START_BIT;
else
next_state <= s_WAIT_DATA_START;
end if;
else
next_state <= s_WAIT_REQUEST;
end if;
when s_SEND_START_BIT =>
-- Send a 0 first, followed by 4096 bits of data, 16 CRC bits, and stop bit.
if (i_sd_clock_pulse_trigger = '1') then
next_state <= s_SEND_DATA;
else
next_state <= s_SEND_START_BIT;
end if;
when s_SEND_DATA =>
-- Send 4096 data bits
if ((i_sd_clock_pulse_trigger = '1') and (bit_counter = "000") and (byte_counter = "111111111")) then
next_state <= s_SEND_CRC;
else
next_state <= s_SEND_DATA;
end if;
when s_SEND_CRC =>
-- Send 16 CRC bits
if ((i_sd_clock_pulse_trigger = '1') and (crc_counter = "1111")) then
next_state <= s_SEND_STOP;
else
next_state <= s_SEND_CRC;
end if;
when s_SEND_STOP =>
-- Send stop bit.
if (i_sd_clock_pulse_trigger = '1') then
next_state <= s_WAIT_BUSY;
else
next_state <= s_SEND_STOP;
end if;
when s_WAIT_BUSY =>
-- After a write, wait for the busy signal. Do not return a done signal until you receive a busy signal.
-- If you do not and a long time expires, then the data must have been rejected (due to CRC error maybe).
-- In such a case return failure.
if ((i_sd_clock_pulse_trigger = '1') and (data_in_reg = '0') and (timeout_register = "0000000000010000")) then
next_state <= s_WAIT_BUSY_END;
else
if (timeout_register = BUSY_WAIT) then
next_state <= s_WAIT_DEASSERT;
else
next_state <= s_WAIT_BUSY;
end if;
end if;
when s_WAIT_BUSY_END =>
if (i_sd_clock_pulse_trigger = '1') then
if (data_in_reg = '1') then
next_state <= s_WAIT_DEASSERT;
else
next_state <= s_WAIT_BUSY_END;
end if;
else
next_state <= s_WAIT_BUSY_END;
end if;
when s_WAIT_DATA_START =>
-- Wait for the start bit
if ((i_sd_clock_pulse_trigger = '1') and (data_in_reg = '0')) then
next_state <= s_RECEIVING_LEADING_BITS;
else
if (timeout_register = TIMEOUT) then
next_state <= s_WAIT_DEASSERT;
else
next_state <= s_WAIT_DATA_START;
end if;
end if;
when s_RECEIVING_LEADING_BITS =>
-- shift the start bit in as well as the next 16 bits. Once they are all in you can start putting data into memory.
if ((i_sd_clock_pulse_trigger = '1') and (crc_counter = "1111")) then
next_state <= s_RECEIVING_DATA;
else
next_state <= s_RECEIVING_LEADING_BITS;
end if;
when s_RECEIVING_DATA =>
-- Wait until all bits arrive.
if ((i_sd_clock_pulse_trigger = '1') and (bit_counter = "000") and (byte_counter = "111111111")) then
next_state <= s_RECEIVING_STOP_BIT;
else
next_state <= s_RECEIVING_DATA;
end if;
when s_RECEIVING_STOP_BIT =>
-- Wait until all bits arrive.
if (i_sd_clock_pulse_trigger = '1')then
next_state <= s_WAIT_DEASSERT;
else
next_state <= s_RECEIVING_STOP_BIT;
end if;
when s_WAIT_DEASSERT =>
if (i_begin = '1') then
next_state <= s_WAIT_DEASSERT;
else
next_state <= s_WAIT_REQUEST;
end if;
when others =>
next_state <= s_RESET;
end case;
end process;
-- State registers
state_regs: process(i_clock, i_reset_n, local_reset)
begin
if (i_reset_n = '0') then
current_state <= s_RESET;
elsif (rising_edge(i_clock)) then
current_state <= next_state;
end if;
end process;
-- FSM outputs
to_crc_generator <= shift_register(16) when (current_state = s_RECEIVING_DATA) else
from_mem_1_bit when (current_state = s_SEND_DATA) else
'0';
shift_crc <= '1' when (current_state = s_SEND_CRC) else '0';
local_reset <= '1' when ((current_state = s_RESET) or (current_state = s_WAIT_REQUEST)) else '0';
recv_data <= '1' when (current_state = s_RECEIVING_DATA) else '0';
single_bit_conversion(0) <= shift_register(15);
crc_generator_enable <= '0' when (current_state = s_WAIT_DEASSERT) else i_sd_clock_pulse_trigger;
o_operation_complete <= '1' when (current_state = s_WAIT_DEASSERT) else '0';
o_dat_direction <= '1' when ( (current_state = s_SEND_START_BIT) or
(current_state = s_SEND_DATA) or
(current_state = s_SEND_CRC) or
(current_state = s_SEND_STOP))
else '0';
o_1bit_data_out <= dataout_1bit;
o_crc_passed <= '1' when ((crc_out = shift_register(16 downto 1)) and (shift_register(0) = '1')) else '0';
o_timed_out <= '1' when (timeout_register = TIMEOUT) else '0';
-- Local components
local_regs: process(i_clock, i_reset_n, local_reset)
begin
if (i_reset_n = '0') then
bit_counter <= (OTHERS => '1');
byte_counter <= (OTHERS => '0');
dataout_1bit <= '1';
crc_counter <= (OTHERS => '0');
shift_register <= (OTHERS => '0');
elsif (rising_edge(i_clock)) then
-- counters and serial output
if (local_reset = '1') then
bit_counter <= (OTHERS => '1');
byte_counter <= (OTHERS => '0');
dataout_1bit <= '1';
data_in_reg <= '1';
crc_counter <= (OTHERS => '0');
shift_register <= (OTHERS => '0');
elsif (i_sd_clock_pulse_trigger = '1') then
if ((not (current_state = s_RECEIVING_LEADING_BITS)) and (not (current_state = s_SEND_CRC))) then
crc_counter <= (OTHERS => '0');
else
if (not (crc_counter = "1111")) then
crc_counter <= crc_counter + '1';
end if;
end if;
if ((current_state = s_RECEIVING_DATA) or (current_state = s_SEND_DATA)) then
if (not ((bit_counter = "000") and (byte_counter = "111111111"))) then
if (bit_counter = "000") then
byte_counter <= byte_counter + '1';
bit_counter <= "111";
else
bit_counter <= bit_counter - '1';
end if;
end if;
end if;
-- Output data bit.
if (current_state = s_SEND_START_BIT) then
dataout_1bit <= '0';
elsif (current_state = s_SEND_DATA) then
dataout_1bit <= from_mem_1_bit;
elsif (current_state = s_SEND_CRC) then
dataout_1bit <= from_crc_generator;
else
dataout_1bit <= '1'; -- Stop bit.
end if;
-- Shift register to store the CRC bits once the message is received.
if ((current_state = s_RECEIVING_DATA) or
(current_state = s_RECEIVING_LEADING_BITS) or
(current_state = s_RECEIVING_STOP_BIT)) then
shift_register(16 downto 1) <= shift_register(15 downto 0);
shift_register(0) <= data_in_reg;
end if;
data_in_reg <= i_1bit_data_in;
end if;
end if;
end process;
-- Register holding the timeout value for data transmission.
timeout_reg: process(i_clock, i_reset_n, current_state, i_sd_clock_pulse_trigger)
begin
if (i_reset_n = '0') then
timeout_register <= (OTHERS => '0');
elsif (rising_edge(i_clock)) then
if ((current_state = s_SEND_STOP) or
(current_state = s_WAIT_REQUEST)) then
timeout_register <= (OTHERS => '0');
elsif (i_sd_clock_pulse_trigger = '1') then
-- Increment the timeout counter
if (((current_state = s_WAIT_DATA_START) or
(current_state = s_WAIT_BUSY)) and (not (timeout_register = TIMEOUT))) then
timeout_register <= timeout_register + '1';
end if;
end if;
end if;
end process;
-- Instantiated components.
crc16_checker: Altera_UP_SD_CRC16_Generator
port map
(
i_clock => i_clock,
i_reset_n => i_reset_n,
i_sync_reset => local_reset,
i_enable => crc_generator_enable,
i_shift => shift_crc,
i_datain => to_crc_generator,
o_dataout => from_crc_generator,
o_crcout => crc_out
);
packet_memory: Altera_UP_SD_Card_Memory_Block
PORT MAP
(
address_a => i_address_16bit_port,
address_b => packet_mem_addr_b,
clock_a => i_clock,
clock_b => i_clock,
data_a => i_16bit_data_in,
data_b => single_bit_conversion,
enable_a => i_enable_16bit_port,
enable_b => '1',
wren_a => i_write_16bit,
wren_b => recv_data,
q_a => o_16bit_data_out,
q_b => single_bit_out
);
from_mem_1_bit <= single_bit_out(0);
packet_mem_addr_b <= (byte_counter & bit_counter);
end rtl;
| gpl-2.0 |
cafe-alpha/wasca | fpga_firmware/wasca/synthesis/submodules/Altera_UP_SD_Card_Control_FSM.vhd | 7 | 13102 | -- (C) 2001-2015 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
----------------------------------------------------------------------------------------------------------------
-- This is an FSM that controls the SD Card interface circuitry.
--
-- On reset, the FSM will initiate a predefined set of commands in an attempt to connect to the SD Card.
-- When successful, it will allow commands to be issued to the SD Card, otherwise it will return a signal that
-- no card is present in the SD Card slot.
--
-- NOTES/REVISIONS:
----------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity Altera_UP_SD_Card_Control_FSM is
generic (
PREDEFINED_COMMAND_GET_STATUS : STD_LOGIC_VECTOR(3 downto 0) := "1001"
);
port
(
-- Clock and Reset signals
i_clock : in STD_LOGIC;
i_reset_n : in STD_LOGIC;
-- FSM Inputs
i_user_command_ready : in std_logic;
i_response_received : in STD_LOGIC;
i_response_timed_out : in STD_LOGIC;
i_response_crc_passed : in STD_LOGIC;
i_command_sent : in STD_LOGIC;
i_powerup_busy_n : in STD_LOGIC;
i_clocking_pulse_enable : in std_logic;
i_current_clock_mode : in std_logic;
i_user_message_valid : in std_logic;
i_last_cmd_was_55 : in std_logic;
i_allow_partial_rw : in std_logic;
-- FSM Outputs
o_generate_command : out STD_LOGIC;
o_predefined_command_ID : out STD_LOGIC_VECTOR(3 downto 0);
o_receive_response : out STD_LOGIC;
o_drive_CMD_line : out STD_LOGIC;
o_SD_clock_mode : out STD_LOGIC; -- 0 means slow clock for card identification, 1 means fast clock for transfer mode.
o_resetting : out std_logic;
o_card_connected : out STD_LOGIC;
o_command_completed : out std_logic;
o_clear_response_register : out std_logic;
o_enable_clock_generator : out std_logic
);
end entity;
architecture rtl of Altera_UP_SD_Card_Control_FSM is
-- Build an enumerated type for the state machine. On reset always reset the DE2 and read the state
-- of the switches.
type state_type is (s_RESET, s_WAIT_74_CYCLES, s_GENERATE_PREDEFINED_COMMAND, s_WAIT_PREDEFINED_COMMAND_TRANSMITTED, s_WAIT_PREDEFINED_COMMAND_RESPONSE,
s_GO_TO_NEXT_COMMAND, s_TOGGLE_CLOCK_FREQUENCY, s_AWAIT_USER_COMMAND, s_REACTIVATE_CLOCK,
s_GENERATE_COMMAND, s_SEND_COMMAND, s_WAIT_RESPONSE, s_WAIT_FOR_CLOCK_EDGE_BEFORE_DISABLE, s_WAIT_DEASSERT,
s_PERIODIC_STATUS_CHECK);
-- Register to hold the current state
signal current_state : state_type;
signal next_state : state_type;
-------------------
-- Local signals
-------------------
-- REGISTERED
signal SD_clock_mode, waiting_for_vdd_setup : std_logic;
signal id_sequence_step_index : std_logic_vector(3 downto 0);
signal delay_counter : std_logic_vector(6 downto 0);
signal periodic_status_check : std_logic_vector(23 downto 0);
-- UNREGISTERED
begin
-- Define state transitions.
state_transitions: process (current_state, i_command_sent, i_response_received, id_sequence_step_index,
i_response_timed_out, i_response_crc_passed, delay_counter, waiting_for_vdd_setup,
i_user_command_ready, i_clocking_pulse_enable, i_current_clock_mode,
i_user_message_valid, i_last_cmd_was_55, periodic_status_check)
begin
case current_state is
when s_RESET =>
-- Reset local registers and begin identification process.
next_state <= s_WAIT_74_CYCLES;
when s_WAIT_74_CYCLES =>
-- Wait 74 cycles before the card can be sent commands to.
if (delay_counter = "1001010") then
next_state <= s_GENERATE_PREDEFINED_COMMAND;
else
next_state <= s_WAIT_74_CYCLES;
end if;
when s_GENERATE_PREDEFINED_COMMAND =>
-- Generate a predefined command to the SD card. This is the identification process for the SD card.
next_state <= s_WAIT_PREDEFINED_COMMAND_TRANSMITTED;
when s_WAIT_PREDEFINED_COMMAND_TRANSMITTED =>
-- Send a predefined command to the SD card. This is the identification process for the SD card.
if (i_command_sent = '1') then
next_state <= s_WAIT_PREDEFINED_COMMAND_RESPONSE;
else
next_state <= s_WAIT_PREDEFINED_COMMAND_TRANSMITTED;
end if;
when s_WAIT_PREDEFINED_COMMAND_RESPONSE =>
-- Wait for a response from SD card.
if (i_response_received = '1') then
if (i_response_timed_out = '1') then
if (waiting_for_vdd_setup = '1') then
next_state <= s_GO_TO_NEXT_COMMAND;
else
next_state <= s_RESET;
end if;
else
if (i_response_crc_passed = '0') then
next_state <= s_GENERATE_PREDEFINED_COMMAND;
else
next_state <= s_GO_TO_NEXT_COMMAND;
end if;
end if;
else
next_state <= s_WAIT_PREDEFINED_COMMAND_RESPONSE;
end if;
when s_GO_TO_NEXT_COMMAND =>
-- Process the next command in the ID sequence.
if (id_sequence_step_index = PREDEFINED_COMMAND_GET_STATUS) then
next_state <= s_TOGGLE_CLOCK_FREQUENCY;
else
next_state <= s_GENERATE_PREDEFINED_COMMAND;
end if;
when s_TOGGLE_CLOCK_FREQUENCY =>
-- Now that the card has been initialized, increase the SD card clock frequency to 25MHz.
-- Wait for the clock generator to switch operating mode before proceeding further.
if (i_current_clock_mode = '1') then
next_state <= s_AWAIT_USER_COMMAND;
else
next_state <= s_TOGGLE_CLOCK_FREQUENCY;
end if;
when s_AWAIT_USER_COMMAND =>
-- Wait for the user to send a command to the SD card
if (i_user_command_ready = '1') then
next_state <= s_REACTIVATE_CLOCK;
else
-- Every 5 million cycles, or 0.1 of a second.
if (periodic_status_check = "010011000100101101000000") then
next_state <= s_PERIODIC_STATUS_CHECK;
else
next_state <= s_AWAIT_USER_COMMAND;
end if;
end if;
when s_PERIODIC_STATUS_CHECK =>
-- Update status every now and then.
next_state <= s_GENERATE_PREDEFINED_COMMAND;
when s_REACTIVATE_CLOCK =>
-- Activate the clock signal and wait 8 clock cycles.
if (delay_counter = "0001000") then
next_state <= s_GENERATE_COMMAND;
else
next_state <= s_REACTIVATE_CLOCK;
end if;
when s_GENERATE_COMMAND =>
-- Generate user command. If valid, proceed further. Otherwise, indicate that the command is invalid.
if (i_user_message_valid = '0') then
next_state <= s_WAIT_DEASSERT;
else
next_state <= s_SEND_COMMAND;
end if;
when s_SEND_COMMAND =>
-- Wait for the command to be sent.
if (i_command_sent = '1') then
next_state <= s_WAIT_RESPONSE;
else
next_state <= s_SEND_COMMAND;
end if;
when s_WAIT_RESPONSE =>
-- Wait for the SD card to respond.
if (i_response_received = '1') then
if (i_response_timed_out = '1') then
next_state <= s_WAIT_DEASSERT;
else
next_state <= s_WAIT_FOR_CLOCK_EDGE_BEFORE_DISABLE;
end if;
else
next_state <= s_WAIT_RESPONSE;
end if;
when s_WAIT_FOR_CLOCK_EDGE_BEFORE_DISABLE =>
-- Wait for a positive clock edge before you disable the clock.
if (i_clocking_pulse_enable = '1') then
next_state <= s_WAIT_DEASSERT;
else
next_state <= s_WAIT_FOR_CLOCK_EDGE_BEFORE_DISABLE;
end if;
when s_WAIT_DEASSERT =>
-- wait for the user to release command generation request.
if (i_user_command_ready = '1') then
next_state <= s_WAIT_DEASSERT;
else
if (i_last_cmd_was_55 = '1') then
next_state <= s_AWAIT_USER_COMMAND;
else
-- Send a get status command to obtain the result of sending the last command.
next_state <= s_GENERATE_PREDEFINED_COMMAND;
end if;
end if;
when others =>
-- Make sure to start in the reset state if the circuit powers up in an odd state.
next_state <= s_RESET;
end case;
end process;
-- State registers.
state_registers: process (i_clock, i_reset_n)
begin
if (i_reset_n = '0') then
current_state <= s_RESET;
elsif (rising_edge(i_clock)) then
current_state <= next_state;
end if;
end process;
-- Local FFs:
local_ffs:process ( i_clock, i_reset_n, i_powerup_busy_n, current_state,
id_sequence_step_index, i_response_received, i_response_timed_out,
i_allow_partial_rw)
begin
if (i_reset_n = '0') then
SD_clock_mode <= '0';
id_sequence_step_index <= (OTHERS => '0');
periodic_status_check <= (OTHERS => '0');
waiting_for_vdd_setup <= '0';
elsif (rising_edge(i_clock)) then
-- Set SD clock mode to 0 initially, thereby using a clock with frequency between 100 kHz and 400 kHz as
-- per SD card specifications. When the card is initialized change the clock to run at 25 MHz.
if (current_state = s_WAIT_DEASSERT) then
periodic_status_check <= (OTHERS => '0');
elsif (current_state = s_AWAIT_USER_COMMAND) then
periodic_status_check <= periodic_status_check + '1';
end if;
if (current_state = s_RESET) then
SD_clock_mode <= '0';
elsif (current_state = s_TOGGLE_CLOCK_FREQUENCY) then
SD_clock_mode <= '1';
end if;
-- Update the ID sequence step as needed.
if (current_state = s_RESET) then
id_sequence_step_index <= (OTHERS => '0');
elsif (current_state = s_GO_TO_NEXT_COMMAND) then
if ((i_powerup_busy_n = '0') and (id_sequence_step_index = "0010")) then
id_sequence_step_index <= "0001";
else
if (id_sequence_step_index = "0110") then
if (i_allow_partial_rw = '0') then
-- If partial read-write not allowed, then skip SET_BLK_LEN command - it will fail.
id_sequence_step_index <= "1000";
else
id_sequence_step_index <= "0111";
end if;
else
id_sequence_step_index <= id_sequence_step_index + '1';
end if;
end if;
elsif (current_state = s_WAIT_DEASSERT) then
if (i_last_cmd_was_55 = '0') then
-- After each command execute a get status command.
id_sequence_step_index <= PREDEFINED_COMMAND_GET_STATUS;
end if;
elsif (current_state = s_PERIODIC_STATUS_CHECK) then
id_sequence_step_index <= PREDEFINED_COMMAND_GET_STATUS;
end if;
-- Do not reset the card when SD card is having its VDD set up. Wait for it to respond, this may take some time.
if (id_sequence_step_index = "0010") then
waiting_for_vdd_setup <= '1';
elsif ((id_sequence_step_index = "0011") or (current_state = s_RESET)) then
waiting_for_vdd_setup <= '0';
end if;
end if;
end process;
-- Counter that counts to 74 to delay any commands.
initial_delay_counter: process(i_clock, i_reset_n, i_clocking_pulse_enable )
begin
if (i_reset_n = '0') then
delay_counter <= (OTHERS => '0');
elsif (rising_edge(i_clock)) then
if ((current_state = s_RESET) or (current_state = s_AWAIT_USER_COMMAND))then
delay_counter <= (OTHERS => '0');
elsif (((current_state = s_WAIT_74_CYCLES) or (current_state = s_REACTIVATE_CLOCK)) and
(i_clocking_pulse_enable = '1')) then
delay_counter <= delay_counter + '1';
end if;
end if;
end process;
-- FSM outputs.
o_SD_clock_mode <= SD_clock_mode;
o_generate_command <= '1' when ((current_state = s_GENERATE_PREDEFINED_COMMAND) or
(current_state = s_GENERATE_COMMAND))
else '0';
o_receive_response <= '1' when ((current_state = s_WAIT_PREDEFINED_COMMAND_RESPONSE) or
(current_state = s_WAIT_RESPONSE))
else '0';
o_drive_CMD_line <= '1' when ( (current_state = s_WAIT_PREDEFINED_COMMAND_TRANSMITTED) or
(current_state = s_SEND_COMMAND)) else '0';
o_predefined_command_ID <= id_sequence_step_index;
o_card_connected <= '1' when (id_sequence_step_index(3) = '1') and (
(id_sequence_step_index(2) = '1') or
(id_sequence_step_index(1) = '1') or
(id_sequence_step_index(0) = '1'))
else '0';
o_resetting <= '1' when (current_state = s_RESET) else '0';
o_command_completed <= '1' when (current_state = s_WAIT_DEASSERT) else '0';
o_enable_clock_generator <= '0' when (current_state = s_AWAIT_USER_COMMAND) else '1';
o_clear_response_register <= '1' when (current_state = s_REACTIVATE_CLOCK) else '0';
end rtl;
| gpl-2.0 |
cafe-alpha/wasca | v12/fpga_firmware/wasca_toplevel.vhd | 2 | 11924 | -- wasca.vhd
-- Generated using ACDS version 14.1 186 at 2015.05.28.08:37:08
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca_toplevel is
port (
clk_clk : in std_logic := '0'; -- clk.clk
external_sdram_controller_wire_addr : out std_logic_vector(12 downto 0); -- external_sdram_controller_wire.addr
external_sdram_controller_wire_ba : out std_logic_vector(1 downto 0); -- .ba
external_sdram_controller_wire_cas_n : out std_logic; -- .cas_n
external_sdram_controller_wire_cke : out std_logic; -- .cke
external_sdram_controller_wire_cs_n : out std_logic; -- .cs_n
external_sdram_controller_wire_dq : inout std_logic_vector(15 downto 0) := (others => '0'); -- .dq
external_sdram_controller_wire_dqm : out std_logic_vector(1 downto 0); -- .dqm
external_sdram_controller_wire_ras_n : out std_logic; -- .ras_n
external_sdram_controller_wire_we_n : out std_logic; -- .we_n
external_sdram_controller_wire_clk : out std_logic; -- .clk
reset_reset_n : in std_logic := '0'; -- reset.reset_n
sega_saturn_abus_slave_0_abus_address : in std_logic_vector(25 downto 16) := (others => '0'); -- sega_saturn_abus_slave_0_abus.address
sega_saturn_abus_slave_0_abus_addressdata : inout std_logic_vector(15 downto 0) := (others => '0'); -- .data
sega_saturn_abus_slave_0_abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
sega_saturn_abus_slave_0_abus_read : in std_logic := '0'; -- .read
sega_saturn_abus_slave_0_abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write
sega_saturn_abus_slave_0_abus_waitrequest : out std_logic; -- .waitrequest
sega_saturn_abus_slave_0_abus_interrupt : out std_logic := '0'; -- .interrupt
sega_saturn_abus_slave_0_abus_disableout : out std_logic := '0'; -- .muxing
sega_saturn_abus_slave_0_abus_muxing : out std_logic_vector(1 downto 0) := (others => '0'); -- .muxing
sega_saturn_abus_slave_0_abus_direction : out std_logic := '0'; -- .direction
spi_sd_card_MISO : in std_logic := '0'; -- MISO
spi_sd_card_MOSI : out std_logic; -- MOSI
spi_sd_card_SCLK : out std_logic; -- SCLK
spi_sd_card_SS_n : out std_logic; -- SS_n
audio_out_BCLK : in std_logic := '0'; -- BCLK
audio_out_DACDAT : out std_logic; -- DACDAT
audio_out_DACLRCK : in std_logic := '0'; -- DACLRCK
audio_SSEL : out std_logic := '0'
);
end entity wasca_toplevel;
architecture rtl of wasca_toplevel is
component wasca is
port (
altpll_0_areset_conduit_export : in std_logic := '0'; -- altpll_0_areset_conduit.export
altpll_0_locked_conduit_export : out std_logic; -- altpll_0_locked_conduit.export
altpll_0_phasedone_conduit_export : out std_logic; -- altpll_0_phasedone_conduit.export
clk_clk : in std_logic := '0'; -- clk.clk
clock_116_mhz_clk : out std_logic ; -- cl
external_sdram_controller_wire_addr : out std_logic_vector(12 downto 0); -- external_sdram_controller_wire.addr
external_sdram_controller_wire_ba : out std_logic_vector(1 downto 0); -- .ba
external_sdram_controller_wire_cas_n : out std_logic; -- .cas_n
external_sdram_controller_wire_cke : out std_logic; -- .cke
external_sdram_controller_wire_cs_n : out std_logic; -- .cs_n
external_sdram_controller_wire_dq : inout std_logic_vector(15 downto 0) := (others => '0'); -- .dq
external_sdram_controller_wire_dqm : out std_logic_vector(1 downto 0); -- .dqm
external_sdram_controller_wire_ras_n : out std_logic; -- .ras_n
external_sdram_controller_wire_we_n : out std_logic; -- .we_n
sega_saturn_abus_slave_0_abus_address : in std_logic_vector(9 downto 0) := (others => '0'); -- sega_saturn_abus_slave_0_abus.address
sega_saturn_abus_slave_0_abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
sega_saturn_abus_slave_0_abus_read : in std_logic := '0'; -- .read
sega_saturn_abus_slave_0_abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write
sega_saturn_abus_slave_0_abus_waitrequest : out std_logic; -- .waitrequest
sega_saturn_abus_slave_0_abus_interrupt : out std_logic := '0'; -- .interrupt
sega_saturn_abus_slave_0_abus_addressdata : inout std_logic_vector(15 downto 0) := (others => '0'); -- .writedata
sega_saturn_abus_slave_0_abus_direction : out std_logic := '0';
sega_saturn_abus_slave_0_abus_muxing : out std_logic_vector(1 downto 0) := (others => '0');
sega_saturn_abus_slave_0_abus_disableout : out std_logic := '0' ; -- .muxing
sega_saturn_abus_slave_0_conduit_saturn_reset_saturn_reset : in std_logic := '0'; -- saturn_reset
spi_sd_card_MISO : in std_logic := '0'; -- MISO
spi_sd_card_MOSI : out std_logic; -- MOSI
spi_sd_card_SCLK : out std_logic; -- SCLK
spi_sd_card_SS_n : out std_logic; -- SS_n
audio_out_BCLK : in std_logic := '0'; -- BCLK
audio_out_DACDAT : out std_logic; -- DACDAT
audio_out_DACLRCK : in std_logic := '0' -- DACLRCK
);
end component;
--signal altpll_0_areset_conduit_export : std_logic := '0';
signal altpll_0_locked_conduit_export : std_logic := '0';
--signal altpll_0_phasedone_conduit_export : std_logic := '0';
--signal sega_saturn_abus_slave_0_abus_address_demuxed : std_logic_vector(25 downto 0) := (others => '0');
--signal sega_saturn_abus_slave_0_abus_data_demuxed : std_logic_vector(15 downto 0) := (others => '0');
signal clock_116_mhz : std_logic := '0';
begin
--sega_saturn_abus_slave_0_abus_muxing (0) <= not sega_saturn_abus_slave_0_abus_muxing(1);
external_sdram_controller_wire_clk <= clock_116_mhz;
my_little_wasca : component wasca
port map (
clk_clk => clk_clk,
clock_116_mhz_clk => clock_116_mhz,
external_sdram_controller_wire_addr => external_sdram_controller_wire_addr,
external_sdram_controller_wire_ba => external_sdram_controller_wire_ba,
external_sdram_controller_wire_cas_n => external_sdram_controller_wire_cas_n,
external_sdram_controller_wire_cke => external_sdram_controller_wire_cke,
external_sdram_controller_wire_cs_n => external_sdram_controller_wire_cs_n,
external_sdram_controller_wire_dq => external_sdram_controller_wire_dq,
external_sdram_controller_wire_dqm => external_sdram_controller_wire_dqm,
external_sdram_controller_wire_ras_n => external_sdram_controller_wire_ras_n,
external_sdram_controller_wire_we_n => external_sdram_controller_wire_we_n,
sega_saturn_abus_slave_0_abus_address => sega_saturn_abus_slave_0_abus_address,
sega_saturn_abus_slave_0_abus_chipselect => "1"&sega_saturn_abus_slave_0_abus_chipselect(1 downto 0),--work only with CS1 and CS0 for now
sega_saturn_abus_slave_0_abus_read => sega_saturn_abus_slave_0_abus_read,
sega_saturn_abus_slave_0_abus_write => sega_saturn_abus_slave_0_abus_write,
sega_saturn_abus_slave_0_abus_waitrequest => sega_saturn_abus_slave_0_abus_waitrequest,
sega_saturn_abus_slave_0_abus_interrupt => sega_saturn_abus_slave_0_abus_interrupt,
sega_saturn_abus_slave_0_abus_addressdata => sega_saturn_abus_slave_0_abus_addressdata,
sega_saturn_abus_slave_0_abus_direction => sega_saturn_abus_slave_0_abus_direction,
sega_saturn_abus_slave_0_abus_muxing => sega_saturn_abus_slave_0_abus_muxing,
sega_saturn_abus_slave_0_abus_disableout => sega_saturn_abus_slave_0_abus_disableout,
sega_saturn_abus_slave_0_conduit_saturn_reset_saturn_reset => reset_reset_n,
spi_sd_card_MISO => spi_sd_card_MISO,
spi_sd_card_MOSI => spi_sd_card_MOSI,
spi_sd_card_SCLK => spi_sd_card_SCLK,
spi_sd_card_SS_n => spi_sd_card_SS_n,
altpll_0_areset_conduit_export => open,
altpll_0_locked_conduit_export => altpll_0_locked_conduit_export,
altpll_0_phasedone_conduit_export => open,
audio_out_BCLK => audio_out_BCLK,
audio_out_DACDAT => audio_out_DACDAT,
audio_out_DACLRCK => audio_out_DACLRCK
);
audio_SSEL <= '0';
--sega_saturn_abus_slave_0_abus_waitrequest <= '1';
--sega_saturn_abus_slave_0_abus_direction <= '0';
--sega_saturn_abus_slave_0_abus_muxing <= "01";
end architecture rtl; -- of wasca_toplevel
| gpl-2.0 |
cafe-alpha/wasca | fpga_firmware/wasca/synthesis/submodules/Altera_UP_SD_Card_48_bit_Command_Generator.vhd | 7 | 25262 | -- (C) 2001-2015 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-------------------------------------------------------------------------------------
-- This module takes a command ID and data, and generates a 48-bit message for it.
-- It will first check if the command is a valid 48-bit command and produce the
-- following outputs:
-- 1. o_dataout -> a single bit output that produces the message to be sent to the
-- SD card one bit at a time. Every time the i_message_bit_out input
-- is high and the i_clock has a positive edge, a new bit is produced.
-- 2. o_message_done -> a signal that is asserted high when the entire message has been
-- produced through the o_dataout output.
-- 3. o_valid -> is a signal that is asserted high if the specified message is valid.
-- 4. o_response_type -> indicates the command response type.
-- 5. o_returning_ocr -> the response from the SD card will contain the OCR register
-- 6. o_returning_cid -> the response from the SD card will contain the CID register
-- 7. o_returning_rca -> the response from the SD card will contain the RCA register
-- 8. o_returning_csd -> the response from the SD card will contain the CSD register
-- 9. o_data_read -> asserted when the command being sent is a data read command.
-- 10. o_data_write -> asserted when the command being sent is a data write command.
-- 11. o_wait_cmd_busy -> is set high when the response to this command will be
-- followed by a busy signal.
--
-- NOTES/REVISIONS:
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity Altera_UP_SD_Card_48_bit_Command_Generator is
generic (
-- Basic commands
COMMAND_0_GO_IDLE : STD_LOGIC_VECTOR(5 downto 0) := "000000";
COMMAND_2_ALL_SEND_CID : STD_LOGIC_VECTOR(5 downto 0) := "000010";
COMMAND_3_SEND_RCA : STD_LOGIC_VECTOR(5 downto 0) := "000011";
COMMAND_4_SET_DSR : STD_LOGIC_VECTOR(5 downto 0) := "000100";
COMMAND_6_SWITCH_FUNCTION : STD_LOGIC_VECTOR(5 downto 0) := "000110";
COMMAND_7_SELECT_CARD : STD_LOGIC_VECTOR(5 downto 0) := "000111";
COMMAND_9_SEND_CSD : STD_LOGIC_VECTOR(5 downto 0) := "001001";
COMMAND_10_SEND_CID : STD_LOGIC_VECTOR(5 downto 0) := "001010";
COMMAND_12_STOP_TRANSMISSION : STD_LOGIC_VECTOR(5 downto 0) := "001100";
COMMAND_13_SEND_STATUS : STD_LOGIC_VECTOR(5 downto 0) := "001101";
COMMAND_15_GO_INACTIVE : STD_LOGIC_VECTOR(5 downto 0) := "001111";
-- Block oriented read/write/lock commands
COMMAND_16_SET_BLOCK_LENGTH : STD_LOGIC_VECTOR(5 downto 0) := "010000";
-- Block oriented read commands
COMMAND_17_READ_BLOCK : STD_LOGIC_VECTOR(5 downto 0) := "010001";
COMMAND_18_READ_MULTIPLE_BLOCKS : STD_LOGIC_VECTOR(5 downto 0) := "010010";
-- Block oriented write commands
COMMAND_24_WRITE_BLOCK : STD_LOGIC_VECTOR(5 downto 0) := "011000";
COMMAND_25_WRITE_MULTIPLE_BLOCKS : STD_LOGIC_VECTOR(5 downto 0) := "011001";
COMMAND_27_PROGRAM_CSD : STD_LOGIC_VECTOR(5 downto 0) := "011011";
-- Block oriented write-protection commands
COMMAND_28_SET_WRITE_PROTECT : STD_LOGIC_VECTOR(5 downto 0) := "011100";
COMMAND_29_CLEAR_WRITE_PROTECT : STD_LOGIC_VECTOR(5 downto 0) := "011101";
COMMAND_30_SEND_PROTECTED_GROUPS : STD_LOGIC_VECTOR(5 downto 0) := "011110";
-- Erase commands
COMMAND_32_ERASE_BLOCK_START : STD_LOGIC_VECTOR(5 downto 0) := "100000";
COMMAND_33_ERASE_BLOCK_END : STD_LOGIC_VECTOR(5 downto 0) := "100001";
COMMAND_38_ERASE_SELECTED_GROUPS: STD_LOGIC_VECTOR(5 downto 0) := "100110";
-- Block lock commands
COMMAND_42_LOCK_UNLOCK : STD_LOGIC_VECTOR(5 downto 0) := "101010";
-- Command Type Settings
COMMAND_55_APP_CMD : STD_LOGIC_VECTOR(5 downto 0) := "110111";
COMMAND_56_GEN_CMD : STD_LOGIC_VECTOR(5 downto 0) := "111000";
-- Application Specific commands - must be preceeded with command 55.
ACOMMAND_6_SET_BUS_WIDTH : STD_LOGIC_VECTOR(5 downto 0) := "000110";
ACOMMAND_13_SD_STATUS : STD_LOGIC_VECTOR(5 downto 0) := "001101";
ACOMMAND_22_SEND_NUM_WR_BLOCKS : STD_LOGIC_VECTOR(5 downto 0) := "010100";
ACOMMAND_23_SET_BLK_ERASE_COUNT : STD_LOGIC_VECTOR(5 downto 0) := "010101";
ACOMMAND_41_SEND_OP_CONDITION : STD_LOGIC_VECTOR(5 downto 0) := "101001";
ACOMMAND_42_SET_CLR_CARD_DETECT : STD_LOGIC_VECTOR(5 downto 0) := "101010";
ACOMMAND_51_SEND_SCR : STD_LOGIC_VECTOR(5 downto 0) := "110011";
-- First custom_command
FIRST_NON_PREDEFINED_COMMAND : STD_LOGIC_VECTOR(3 downto 0) := "1010"
);
port
(
i_clock : in std_logic;
i_reset_n : in std_logic;
i_message_bit_out : in std_logic;
i_command_ID : in std_logic_vector(5 downto 0);
i_argument : in std_logic_vector(31 downto 0);
i_predefined_message : in std_logic_vector(3 downto 0);
i_generate : in std_logic;
i_DSR : in std_logic_vector(15 downto 0);
i_OCR : in std_logic_vector(31 downto 0);
i_RCA : in std_logic_vector(15 downto 0);
o_dataout : out std_logic;
o_message_done : out std_logic;
o_valid : out std_logic;
o_returning_ocr : out std_logic;
o_returning_cid : out std_logic;
o_returning_rca : out std_logic;
o_returning_csd : out std_logic;
o_returning_status : out std_logic;
o_data_read : out std_logic;
o_data_write : out std_logic;
o_wait_cmd_busy : out std_logic;
o_last_cmd_was_55 : out std_logic;
o_response_type : out std_logic_vector(2 downto 0)
);
end entity;
architecture rtl of Altera_UP_SD_Card_48_bit_Command_Generator is
component Altera_UP_SD_CRC7_Generator
port
(
i_clock : in std_logic;
i_enable : in std_logic;
i_reset_n : in std_logic;
i_shift : in std_logic;
i_datain : in std_logic;
o_dataout : out std_logic;
o_crcout : out std_logic_vector(6 downto 0)
);
end component;
-- Local wires
-- REGISTERED
signal counter : std_logic_vector(6 downto 0);
signal last_command_id : std_logic_vector(5 downto 0);
signal message_bits : std_logic_vector(39 downto 0);
signal last_command_sent_was_CMD55, valid : std_logic;
signal bit_to_send, sending_CRC, command_valid : std_logic;
signal returning_cid_reg, returning_rca_reg, returning_csd_reg, returning_dsr_reg, returning_ocr_reg, returning_status_reg : std_logic;
-- UNREGISTERED
signal temp_4_bits : std_logic_vector(3 downto 0);
signal message_done, CRC_generator_out, produce_next_bit : std_logic;
signal app_specific_valid, regular_command_valid : std_logic;
signal response_type, response_type_reg : std_logic_vector(2 downto 0);
signal cmd_argument : std_logic_vector(31 downto 0);
begin
-- This set of bits is necessary to allow the SD card to accept a VDD level for communication.
temp_4_bits <= "1111" when ((i_OCR(23) = '1') or (i_OCR(22) = '1') or (i_OCR(21) = '1') or (i_OCR(20) = '1')) else "0000";
-- Generate the bits to be sent to the SD card. These bits must pass through the CRC generator
-- to produce error checking code. The error checking code will follow the message. The message terminates with
-- a logic '1'. Total message length is 48 bits.
message_data_generator: process(i_clock, i_reset_n)
begin
if (i_reset_n = '0') then
message_bits <= (OTHERS => '0');
else
if (rising_edge(i_clock)) then
if (i_generate = '1') then
-- Store type of a response.
response_type_reg <= response_type;
-- Generate a message. Please note that the predefined messages are used for initialization.
-- If executed in sequence, they will initialize the SD card to work correctly. Only once these
-- instructions are completed can the data transfer begin.
case (i_predefined_message) is
when "0000" =>
-- Generate a predefined message - CMD0.
message_bits <= ("01" & COMMAND_0_GO_IDLE & "00000000000000000000000000000000");
when "0001" =>
-- Generate a predefined message - CMD55.
message_bits <= ("01" & COMMAND_55_APP_CMD & "0000000000000000" & "0000000000000000");
when "0010" =>
-- Generate a predefined message - ACMD41.
message_bits <= ("01" & ACOMMAND_41_SEND_OP_CONDITION & "0000" & temp_4_bits & "000" & i_OCR(20) & "00000000000000000000");
when "0011" =>
-- Generate a predefined message - CMD2.
message_bits <= ("01" & COMMAND_2_ALL_SEND_CID & "00000000000000000000000000000000");
when "0100" =>
-- Generate a predefined message - CMD3.
message_bits <= ("01" & COMMAND_3_SEND_RCA & "00000000000000000000000000000000");
when "0101" =>
-- Generate a predefined message - CMD9.
message_bits <= ("01" & COMMAND_9_SEND_CSD & i_RCA & "0000000000000000");
when "0110" =>
-- Generate a predefined message - CMD4.
message_bits <= ("01" & COMMAND_4_SET_DSR & i_DSR & "0000000000000000");
when "0111" =>
-- Generate a predefined message - CMD16. Set block length to 512.
message_bits <= ("01" & COMMAND_16_SET_BLOCK_LENGTH & "0000000000000000" & "0000001000000000" );
when "1000" =>
-- Generate a predefined message - CMD7. Select the card so we can access it's data.
message_bits <= ("01" & COMMAND_7_SELECT_CARD & i_RCA & "0000001000000000" );
when "1001" =>
-- Generate a predefined message - CMD13. Send SD card status.
message_bits <= ("01" & COMMAND_13_SEND_STATUS & i_RCA & "0000000000000000");
when others =>
-- Generate a custom message
message_bits <= ("01" & i_command_ID & cmd_argument);
end case;
else
-- Shift bits out as needed
if (produce_next_bit = '1') then
-- Shift message bits.
message_bits(39 downto 1) <= message_bits(38 downto 0);
message_bits(0) <= '0';
end if;
end if;
end if;
end if;
end process;
-- Generate command argument based on the command_ID. For most commands, the argument is user specified.
-- For some commands, it is necessary to send a particular SD Card register contents. Hence, these contents are
-- sent instead of the user data.
argument_generator: process (i_command_ID, last_command_sent_was_CMD55, i_generate, i_RCA, i_DSR, i_OCR, i_argument)
begin
cmd_argument <= i_argument;
if (i_generate = '1') then
case (i_command_ID) is
when COMMAND_4_SET_DSR =>
cmd_argument <= i_DSR & i_argument(15 downto 0);
when COMMAND_7_SELECT_CARD =>
cmd_argument <= i_RCA & i_argument(15 downto 0);
when COMMAND_9_SEND_CSD =>
cmd_argument <= i_RCA & i_argument(15 downto 0);
when COMMAND_10_SEND_CID =>
cmd_argument <= i_RCA & i_argument(15 downto 0);
when COMMAND_13_SEND_STATUS =>
cmd_argument <= i_RCA & i_argument(15 downto 0);
when COMMAND_15_GO_INACTIVE =>
cmd_argument <= i_RCA & i_argument(15 downto 0);
when COMMAND_55_APP_CMD =>
cmd_argument <= i_RCA & i_argument(15 downto 0);
when ACOMMAND_41_SEND_OP_CONDITION =>
if (last_command_sent_was_CMD55 = '1') then
cmd_argument <= i_OCR;
end if;
when others =>
cmd_argument <= i_argument;
end case;
end if;
end process;
-- Validate the message ID before sending it out.
command_validator: process(i_clock, i_reset_n)
begin
if (i_reset_n = '0') then
command_valid <= '0';
else
if (rising_edge(i_clock)) then
if (i_generate = '1') then
if (("0" & i_predefined_message) >= ("0" & FIRST_NON_PREDEFINED_COMMAND)) then
-- Check the custom message
if (last_command_sent_was_CMD55 = '1') then
-- Check the application specific messages
command_valid <= app_specific_valid;
else
-- Check the default messages.
command_valid <= regular_command_valid;
end if;
else
-- A command is valid if the message is predefined.
command_valid <= '1';
end if;
end if;
end if;
end if;
end process;
-- Registers that indicate that the command sent will return contents of a control register.
-- The contents of the response should therefore be stored in the appropriate register.
responses_with_control_regs: process(i_clock, i_reset_n, last_command_sent_was_CMD55, last_command_id, message_done)
begin
if (i_reset_n = '0') then
returning_ocr_reg <= '0';
returning_cid_reg <= '0';
returning_rca_reg <= '0';
returning_csd_reg <= '0';
returning_status_reg <= '0';
elsif (rising_edge(i_clock)) then
if (i_generate = '1') then
returning_ocr_reg <= '0';
returning_cid_reg <= '0';
returning_rca_reg <= '0';
returning_csd_reg <= '0';
returning_status_reg <= '0';
elsif (message_done = '1') then
-- OCR
if ((last_command_sent_was_CMD55 = '1') and (last_command_id = ACOMMAND_41_SEND_OP_CONDITION)) then
returning_ocr_reg <= '1';
end if;
-- CID
if (last_command_id = COMMAND_2_ALL_SEND_CID) then
returning_cid_reg <= '1';
end if;
-- RCA
if (last_command_id = COMMAND_3_SEND_RCA) then
returning_rca_reg <= '1';
end if;
-- CSD
if (last_command_id = COMMAND_9_SEND_CSD) then
returning_csd_reg <= '1';
end if;
-- Status
if ((last_command_sent_was_CMD55 = '0') and (last_command_id = COMMAND_13_SEND_STATUS)) then
returning_status_reg <= '1';
end if;
end if;
end if;
end process;
-- Count the number of bits sent using a counter.
sent_bit_counter: process(i_clock, i_reset_n, i_generate, produce_next_bit, counter)
begin
if (i_reset_n = '0') then
counter <= (OTHERS => '0');
else
if (rising_edge(i_clock)) then
if (i_generate = '1') then
-- Reset the counter indicating the number of bits produced.
counter <= "0000000";
else
if (produce_next_bit = '1') then
-- Update the number of message bits sent.
counter <= counter + '1';
end if;
end if;
end if;
end if;
end process;
-- Select the source for the output data to be either the message data or the CRC bits.
source_selector: process(i_clock, i_reset_n, i_generate)
begin
if (i_reset_n = '0') then
sending_CRC <= '0';
else
if (rising_edge(i_clock)) then
if (i_generate = '1') then
-- Set sending CRC flag to 0.
sending_CRC <= '0';
else
-- If this is the last bit being sent, then bits that follow are the CRC bits.
if (counter = "0101000") then
sending_CRC <= '1';
end if;
end if;
end if;
end if;
end process;
-- When the message is sent, store its ID. In a special case when CMD55 is sent, the next command can be an application
-- specific command. We need to check those command IDs to verify the validity of the message.
CMD55_recognizer: process(i_clock, i_reset_n, i_generate, produce_next_bit, counter, message_done, last_command_id)
begin
if (i_reset_n = '0') then
last_command_sent_was_CMD55 <= '0';
else
if (rising_edge(i_clock)) then
if (i_generate = '0') then
-- Store the ID of the current command.
if (produce_next_bit = '1') then
if (counter = "0000000") then
last_command_id <= message_bits(37 downto 32);
end if;
end if;
-- When message has been sent then check if it was CMD55.
if (message_done = '1') then
if (last_command_id = COMMAND_55_APP_CMD) then
last_command_sent_was_CMD55 <= '1';
else
last_command_sent_was_CMD55 <= '0';
end if;
end if;
end if;
end if;
end if;
end process;
-- Instantiate a CRC7 generator. Message bits will pass through it to create the CRC code for the message.
CRC7_Gen: Altera_UP_SD_CRC7_Generator PORT MAP
(
i_clock => i_clock,
i_reset_n => i_reset_n,
i_enable => i_message_bit_out,
i_shift => sending_CRC,
i_datain => message_bits(39),
o_dataout => CRC_generator_out
);
-- Define the source of the data produced by this module, depending on the counter value and the sending_CRC register state.
data_bit_register: process(i_clock, i_reset_n, i_generate, produce_next_bit, counter)
begin
if (i_reset_n = '0') then
bit_to_send <= '1';
else
if (rising_edge(i_clock)) then
if (i_generate = '1') then
bit_to_send <= '1';
elsif (produce_next_bit = '1') then
-- Send data to output.
if (sending_CRC = '0') then
-- Send message bits
bit_to_send <= message_bits(39);
else
-- Send CRC bits
if ((counter = "0101111") or (counter = "0110000")) then
-- At the end of CRC bits put a 1.
bit_to_send <= '1';
else
bit_to_send <= CRC_generator_out;
end if;
end if;
end if;
end if;
end if;
end process;
-- Define conditions to produce the next message bit on the module output port o_dataout.
produce_next_bit <= i_message_bit_out and (not message_done);
-- Message is done when the last bit appears at the output.
message_done <= '1' when (counter = "0110001") else '0';
-- Check the application specific messages
app_specific_valid <= '1' when (
--(i_command_ID = COMMAND_0_GO_IDLE) or
(i_command_ID = COMMAND_2_ALL_SEND_CID) or
(i_command_ID = COMMAND_3_SEND_RCA) or
(i_command_ID = COMMAND_4_SET_DSR) or
--(i_command_ID = ACOMMAND_6_SET_BUS_WIDTH) or
--(i_command_ID = COMMAND_7_SELECT_CARD) or
(i_command_ID = COMMAND_9_SEND_CSD) or
(i_command_ID = COMMAND_10_SEND_CID) or
--(i_command_ID = COMMAND_12_STOP_TRANSMISSION) or
(i_command_ID = ACOMMAND_13_SD_STATUS) or
--(i_command_ID = COMMAND_15_GO_INACTIVE) or
--(i_command_ID = COMMAND_16_SET_BLOCK_LENGTH) or
(i_command_ID = COMMAND_17_READ_BLOCK) or
--(i_command_ID = COMMAND_18_READ_MULTIPLE_BLOCKS) or
(i_command_ID = ACOMMAND_22_SEND_NUM_WR_BLOCKS) or
(i_command_ID = ACOMMAND_23_SET_BLK_ERASE_COUNT) or
(i_command_ID = COMMAND_24_WRITE_BLOCK) or
(i_command_ID = COMMAND_25_WRITE_MULTIPLE_BLOCKS) or
(i_command_ID = COMMAND_27_PROGRAM_CSD) or
(i_command_ID = COMMAND_28_SET_WRITE_PROTECT) or
(i_command_ID = COMMAND_29_CLEAR_WRITE_PROTECT) or
(i_command_ID = COMMAND_30_SEND_PROTECTED_GROUPS) or
(i_command_ID = COMMAND_32_ERASE_BLOCK_START) or
(i_command_ID = COMMAND_33_ERASE_BLOCK_END) or
(i_command_ID = COMMAND_38_ERASE_SELECTED_GROUPS) or
(i_command_ID = ACOMMAND_41_SEND_OP_CONDITION) or
(i_command_ID = ACOMMAND_42_SET_CLR_CARD_DETECT) or
(i_command_ID = ACOMMAND_51_SEND_SCR) or
(i_command_ID = COMMAND_55_APP_CMD) or
(i_command_ID = COMMAND_56_GEN_CMD)
)
else '0';
-- Check the default messages.
regular_command_valid <= '1' when (
-------------------------------------------------------
-- Disabled to prevent malfunction of the core
-------------------------------------------------------
--(i_command_ID = COMMAND_0_GO_IDLE) or
--(i_command_ID = COMMAND_6_SWITCH_FUNCTION) or
--(i_command_ID = COMMAND_7_SELECT_CARD) or
--(i_command_ID = COMMAND_15_GO_INACTIVE) or
--(i_command_ID = COMMAND_27_PROGRAM_CSD) or
--(i_command_ID = COMMAND_30_SEND_PROTECTED_GROUPS) or
--(i_command_ID = COMMAND_42_LOCK_UNLOCK) or
-------------------------------------------------------
(i_command_ID = COMMAND_2_ALL_SEND_CID) or
(i_command_ID = COMMAND_3_SEND_RCA) or
(i_command_ID = COMMAND_4_SET_DSR) or
(i_command_ID = COMMAND_9_SEND_CSD) or
(i_command_ID = COMMAND_10_SEND_CID) or
(i_command_ID = COMMAND_13_SEND_STATUS) or
-------------------------------------------------------
-- Disabled to simplify the circuit
-------------------------------------------------------
--(i_command_ID = COMMAND_12_STOP_TRANSMISSION) or
--(i_command_ID = COMMAND_16_SET_BLOCK_LENGTH) or
--(i_command_ID = COMMAND_18_READ_MULTIPLE_BLOCKS) or
--(i_command_ID = COMMAND_25_WRITE_MULTIPLE_BLOCKS) or
-------------------------------------------------------
(i_command_ID = COMMAND_17_READ_BLOCK) or
(i_command_ID = COMMAND_24_WRITE_BLOCK) or
(i_command_ID = COMMAND_28_SET_WRITE_PROTECT) or
(i_command_ID = COMMAND_29_CLEAR_WRITE_PROTECT) or
(i_command_ID = COMMAND_32_ERASE_BLOCK_START) or
(i_command_ID = COMMAND_33_ERASE_BLOCK_END) or
(i_command_ID = COMMAND_38_ERASE_SELECTED_GROUPS) or
(i_command_ID = COMMAND_55_APP_CMD) or
(i_command_ID = COMMAND_56_GEN_CMD)
)
else '0';
response_type <= "001" when -- Wait for type 1 response when
(
(i_predefined_message = "0001") or
(i_predefined_message = "0111") or
(i_predefined_message = "1000") or
(i_predefined_message = "1001") or
((i_predefined_message = FIRST_NON_PREDEFINED_COMMAND) and
((i_command_ID = COMMAND_6_SWITCH_FUNCTION) or
(i_command_ID = COMMAND_7_SELECT_CARD) or
(i_command_ID = COMMAND_12_STOP_TRANSMISSION) or
(i_command_ID = COMMAND_13_SEND_STATUS) or
(i_command_ID = COMMAND_16_SET_BLOCK_LENGTH) or
(i_command_ID = COMMAND_17_READ_BLOCK) or
(i_command_ID = COMMAND_18_READ_MULTIPLE_BLOCKS) or
(i_command_ID = COMMAND_24_WRITE_BLOCK) or
(i_command_ID = COMMAND_25_WRITE_MULTIPLE_BLOCKS) or
(i_command_ID = COMMAND_27_PROGRAM_CSD) or
(i_command_ID = COMMAND_28_SET_WRITE_PROTECT) or
(i_command_ID = COMMAND_29_CLEAR_WRITE_PROTECT) or
(i_command_ID = COMMAND_30_SEND_PROTECTED_GROUPS) or
(i_command_ID = COMMAND_32_ERASE_BLOCK_START) or
(i_command_ID = COMMAND_33_ERASE_BLOCK_END) or
(i_command_ID = COMMAND_38_ERASE_SELECTED_GROUPS) or
(i_command_ID = COMMAND_42_LOCK_UNLOCK) or
(i_command_ID = COMMAND_55_APP_CMD) or
(i_command_ID = COMMAND_56_GEN_CMD) or
((last_command_sent_was_CMD55 = '1') and
((i_command_ID = ACOMMAND_6_SET_BUS_WIDTH) or
(i_command_ID = ACOMMAND_13_SD_STATUS) or
(i_command_ID = ACOMMAND_22_SEND_NUM_WR_BLOCKS) or
(i_command_ID = ACOMMAND_23_SET_BLK_ERASE_COUNT) or
(i_command_ID = ACOMMAND_42_SET_CLR_CARD_DETECT) or
(i_command_ID = ACOMMAND_51_SEND_SCR)))))
) else
"010" when -- Wait for type 2 response when
(
((i_predefined_message = FIRST_NON_PREDEFINED_COMMAND) and
((i_command_ID = COMMAND_2_ALL_SEND_CID) or
(i_command_ID = COMMAND_9_SEND_CSD) or
(i_command_ID = COMMAND_10_SEND_CID))) or
(i_predefined_message = "0011") or
(i_predefined_message = "0101")
) else
"011" when -- Wait for type 3 response when
(
((i_predefined_message = FIRST_NON_PREDEFINED_COMMAND) and (last_command_sent_was_CMD55 = '1') and (i_command_ID = ACOMMAND_41_SEND_OP_CONDITION)) or
(i_predefined_message = "0010")
) else
"110" when -- Wait for type 6 response when
(((i_predefined_message = FIRST_NON_PREDEFINED_COMMAND) and (i_command_ID = COMMAND_3_SEND_RCA)) or
(i_predefined_message = "0100"))
else "000"; -- Otherwise there is no response pending.
-- Define circuit outputs
o_message_done <= message_done;
o_response_type <= response_type_reg;
o_valid <= command_valid;
o_dataout <= bit_to_send;
o_returning_ocr <= returning_ocr_reg;
o_returning_cid <= returning_cid_reg;
o_returning_rca <= returning_rca_reg;
o_returning_csd <= returning_csd_reg;
o_returning_status <= returning_status_reg;
o_data_read <= '1' when (last_command_id = COMMAND_17_READ_BLOCK) else '0';
o_data_write <= '1' when (last_command_id = COMMAND_24_WRITE_BLOCK) else '0';
o_last_cmd_was_55 <= last_command_sent_was_CMD55;
o_wait_cmd_busy <= '1' when (
(last_command_id = COMMAND_7_SELECT_CARD) or
(last_command_id = COMMAND_12_STOP_TRANSMISSION) or
(last_command_id = COMMAND_28_SET_WRITE_PROTECT) or
(last_command_id = COMMAND_29_CLEAR_WRITE_PROTECT) or
(last_command_id = COMMAND_38_ERASE_SELECTED_GROUPS))
else '0';
end rtl; | gpl-2.0 |
cafe-alpha/wasca | v12/fpga_firmware/sega_saturn_abus_slave.vhd | 6 | 25670 | -- sega_saturn_abus_slave.vhd
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity sega_saturn_abus_slave is
port (
clock : in std_logic := '0'; -- clock.clk
abus_address : in std_logic_vector(9 downto 0) := (others => '0'); -- abus.address
abus_addressdata : inout std_logic_vector(15 downto 0) := (others => '0'); -- abus.addressdata
abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
abus_read : in std_logic := '0'; -- .read
abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write
--abus_functioncode : in std_logic_vector(1 downto 0) := (others => '0'); -- .functioncode
--abus_timing : in std_logic_vector(2 downto 0) := (others => '0'); -- .timing
abus_waitrequest : out std_logic := '1'; -- .waitrequest
--abus_addressstrobe : in std_logic := '0'; -- .addressstrobe
abus_interrupt : out std_logic := '0'; -- .interrupt
abus_direction : out std_logic := '0'; -- .direction
abus_muxing : out std_logic_vector(1 downto 0) := "01"; -- .muxing
abus_disable_out : out std_logic := '0'; -- .disableout
avalon_read : out std_logic; -- avalon_master.read
avalon_write : out std_logic; -- .write
avalon_waitrequest : in std_logic := '0'; -- .waitrequest
avalon_address : out std_logic_vector(27 downto 0); -- .address
avalon_readdata : in std_logic_vector(15 downto 0) := (others => '0'); -- .readdata
avalon_writedata : out std_logic_vector(15 downto 0); -- .writedata
avalon_burstcount : out std_logic; -- .burstcount
avalon_readdatavalid : in std_logic := '0'; -- .readdatavalid
avalon_nios_read : in std_logic := '0'; -- avalon_master.read
avalon_nios_write : in std_logic := '0'; -- .write
avalon_nios_waitrequest : out std_logic := '0'; -- .waitrequest
avalon_nios_address : in std_logic_vector(7 downto 0) := (others => '0'); -- .address
avalon_nios_writedata : in std_logic_vector(15 downto 0) := (others => '0'); -- .writedata
avalon_nios_burstcount : in std_logic; -- .burstcount
avalon_nios_readdata : out std_logic_vector(15 downto 0) := (others => '0'); -- .readdata
avalon_nios_readdatavalid : out std_logic := '0'; -- .readdatavalid
saturn_reset : in std_logic := '0'; -- .saturn_reset
reset : in std_logic := '0' -- reset.reset
);
end entity sega_saturn_abus_slave;
architecture rtl of sega_saturn_abus_slave is
signal abus_address_ms : std_logic_vector(9 downto 0) := (others => '0'); -- abus.address
signal abus_address_buf : std_logic_vector(9 downto 0) := (others => '0'); -- abus.address
signal abus_addressdata_ms : std_logic_vector(15 downto 0) := (others => '0'); -- .data
signal abus_addressdata_buf : std_logic_vector(15 downto 0) := (others => '0'); -- .data
signal abus_chipselect_ms : std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
signal abus_chipselect_buf : std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
signal abus_read_ms : std_logic := '0'; -- .read
signal abus_read_buf : std_logic := '0'; -- .read
signal abus_write_ms : std_logic_vector(1 downto 0) := (others => '0'); -- .write
signal abus_write_buf : std_logic_vector(1 downto 0) := (others => '0'); -- .write
--signal abus_functioncode_ms : std_logic_vector(1 downto 0) := (others => '0'); -- .functioncode
--signal abus_functioncode_buf : std_logic_vector(1 downto 0) := (others => '0'); -- .functioncode
--signal abus_timing_ms : std_logic_vector(2 downto 0) := (others => '0'); -- .timing
--signal abus_timing_buf : std_logic_vector(2 downto 0) := (others => '0'); -- .timing
--signal abus_addressstrobe_ms : std_logic := '0'; -- .addressstrobe
--signal abus_addressstrobe_buf : std_logic := '0'; -- .addressstrobe
signal abus_read_buf2 : std_logic := '0'; -- .read
signal abus_read_buf3 : std_logic := '0'; -- .read
signal abus_read_buf4 : std_logic := '0'; -- .read
signal abus_read_buf5 : std_logic := '0'; -- .read
signal abus_read_buf6 : std_logic := '0'; -- .read
signal abus_read_buf7 : std_logic := '0'; -- .read
signal abus_write_buf2 : std_logic_vector(1 downto 0) := (others => '0'); -- .write
signal abus_chipselect_buf2 : std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
signal abus_read_pulse : std_logic := '0'; -- .read
signal abus_write_pulse : std_logic_vector(1 downto 0) := (others => '0'); -- .write
signal abus_chipselect_pulse : std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
signal abus_read_pulse_off : std_logic := '0'; -- .read
signal abus_write_pulse_off : std_logic_vector(1 downto 0) := (others => '0'); -- .write
signal abus_chipselect_pulse_off : std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
signal abus_anypulse : std_logic := '0';
signal abus_anypulse2 : std_logic := '0';
signal abus_anypulse3 : std_logic := '0';
signal abus_anypulse_off : std_logic := '0';
signal abus_cspulse : std_logic := '0';
signal abus_cspulse2 : std_logic := '0';
signal abus_cspulse3 : std_logic := '0';
signal abus_cspulse4 : std_logic := '0';
signal abus_cspulse5 : std_logic := '0';
signal abus_cspulse6 : std_logic := '0';
signal abus_cspulse7 : std_logic := '0';
signal abus_cspulse_off : std_logic := '0';
signal abus_address_latched : std_logic_vector(25 downto 0) := (others => '0'); -- abus.address
signal abus_chipselect_latched : std_logic_vector(1 downto 0) := (others => '1'); -- abus.address
signal abus_direction_internal : std_logic := '0';
signal abus_muxing_internal : std_logic_vector(1 downto 0) := (others => '0'); -- abus.address
signal abus_data_out : std_logic_vector(15 downto 0) := (others => '0');
signal abus_data_in : std_logic_vector(15 downto 0) := (others => '0');
signal abus_waitrequest_read : std_logic := '0';
signal abus_waitrequest_write : std_logic := '0';
signal abus_waitrequest_read2 : std_logic := '0';
signal abus_waitrequest_write2 : std_logic := '0';
--signal abus_waitrequest_read3 : std_logic := '0';
--signal abus_waitrequest_write3 : std_logic := '0';
--signal abus_waitrequest_read4 : std_logic := '0';
--signal abus_waitrequest_write4 : std_logic := '0';
signal abus_waitrequest_read_off : std_logic := '0';
signal abus_waitrequest_write_off : std_logic := '0';
signal REG_PCNTR : std_logic_vector(15 downto 0) := (others => '0');
signal REG_STATUS : std_logic_vector(15 downto 0) := (others => '0');
signal REG_MODE : std_logic_vector(15 downto 0) := (others => '0');
signal REG_HWVER : std_logic_vector(15 downto 0) := X"0002";
signal REG_SWVER : std_logic_vector(15 downto 0) := (others => '0');
TYPE transaction_dir IS (DIR_NONE,DIR_WRITE,DIR_READ);
SIGNAL my_little_transaction_dir : transaction_dir := DIR_NONE;
TYPE wasca_mode_type IS (MODE_INIT,
MODE_POWER_MEMORY_05M, MODE_POWER_MEMORY_1M, MODE_POWER_MEMORY_2M, MODE_POWER_MEMORY_4M,
MODE_RAM_1M, MODE_RAM_4M,
MODE_ROM_KOF95,
MODE_ROM_ULTRAMAN,
MODE_BOOT);
SIGNAL wasca_mode : wasca_mode_type := MODE_INIT;
begin
abus_direction <= abus_direction_internal;
abus_muxing <= not abus_muxing_internal;
--ignoring functioncode, timing and addressstrobe for now
--abus transactions are async, so first we must latch incoming signals
--to get rid of metastability
process (clock)
begin
if rising_edge(clock) then
--1st stage
abus_address_ms <= abus_address;
abus_addressdata_ms <= abus_addressdata;
abus_chipselect_ms <= abus_chipselect; --work only with CS1 for now
abus_read_ms <= abus_read;
abus_write_ms <= abus_write;
--abus_functioncode_ms <= abus_functioncode;
--abus_timing_ms <= abus_timing;
--abus_addressstrobe_ms <= abus_addressstrobe;
--2nd stage
abus_address_buf <= abus_address_ms;
abus_addressdata_buf <= abus_addressdata_ms;
abus_chipselect_buf <= abus_chipselect_ms;
abus_read_buf <= abus_read_ms;
abus_write_buf <= abus_write_ms;
--abus_functioncode_buf <= abus_functioncode_ms;
--abus_timing_buf <= abus_timing_ms;
--abus_addressstrobe_buf <= abus_addressstrobe_ms;
end if;
end process;
--excluding metastability protection is a bad behavior
--but it lloks like we're out of more options to optimize read pipeline
--abus_read_ms <= abus_read;
--abus_read_buf <= abus_read_ms;
--abus read/write latch
process (clock)
begin
if rising_edge(clock) then
abus_write_buf2 <= abus_write_buf;
abus_read_buf2 <= abus_read_buf;
abus_read_buf3 <= abus_read_buf2;
abus_read_buf4 <= abus_read_buf3;
abus_read_buf5 <= abus_read_buf4;
abus_read_buf6 <= abus_read_buf5;
abus_read_buf7 <= abus_read_buf6;
abus_chipselect_buf2 <= abus_chipselect_buf;
abus_anypulse2 <= abus_anypulse;
abus_anypulse3 <= abus_anypulse2;
abus_cspulse2 <= abus_cspulse;
abus_cspulse3 <= abus_cspulse2;
abus_cspulse4 <= abus_cspulse3;
abus_cspulse5 <= abus_cspulse4;
abus_cspulse6 <= abus_cspulse5;
abus_cspulse7 <= abus_cspulse6;
end if;
end process;
--abus write/read pulse is a falling edge since read and write signals are negative polarity
abus_write_pulse <= abus_write_buf2 and not abus_write_buf;
abus_read_pulse <= abus_read_buf2 and not abus_read_buf;
--abus_chipselect_pulse <= abus_chipselect_buf2 and not abus_chipselect_buf;
abus_chipselect_pulse <= abus_chipselect_buf and not abus_chipselect_ms;
abus_write_pulse_off <= abus_write_buf and not abus_write_buf2;
abus_read_pulse_off <= abus_read_buf and not abus_read_buf2;
abus_chipselect_pulse_off <= abus_chipselect_buf and not abus_chipselect_buf2;
abus_anypulse <= abus_write_pulse(0) or abus_write_pulse(1) or abus_read_pulse or
abus_chipselect_pulse(0) or abus_chipselect_pulse(1) or abus_chipselect_pulse(2);
abus_anypulse_off <= abus_write_pulse_off(0) or abus_write_pulse_off(1) or abus_read_pulse_off or
abus_chipselect_pulse_off(0) or abus_chipselect_pulse_off(1) or abus_chipselect_pulse_off(2);
abus_cspulse <= abus_chipselect_pulse(0) or abus_chipselect_pulse(1) or abus_chipselect_pulse(2);
abus_cspulse_off <= abus_chipselect_pulse_off(0) or abus_chipselect_pulse_off(1) or abus_chipselect_pulse_off(2);
--whatever pulse we've got, latch address
--it might be latched twice per transaction, but it's not a problem
--multiplexer was switched to address after previous transaction or after boot,
--so we have address ready to latch
process (clock)
begin
if rising_edge(clock) then
if abus_anypulse = '1' then
--if abus_read_pulse = '1' or abus_write_pulse(0) = '1' or abus_write_pulse(1)='1' then
abus_address_latched <= abus_address & abus_addressdata_buf(0) & abus_addressdata_buf(12) & abus_addressdata_buf(2) & abus_addressdata_buf(1)
& abus_addressdata_buf(9) & abus_addressdata_buf(10) & abus_addressdata_buf(8) & abus_addressdata_buf(3)
& abus_addressdata_buf(13) & abus_addressdata_buf(14) & abus_addressdata_buf(15) & abus_addressdata_buf(4)
& abus_addressdata_buf(5) & abus_addressdata_buf(6) & abus_addressdata_buf(11) & abus_addressdata_buf(7);
end if;
end if;
end process;
--latch transaction direction
process (clock)
begin
if rising_edge(clock) then
if abus_write_pulse(0) = '1' or abus_write_pulse(1) = '1' then
my_little_transaction_dir <= DIR_WRITE;
elsif abus_read_pulse = '1' then
my_little_transaction_dir <= DIR_READ;
elsif abus_anypulse_off = '1' and abus_cspulse_off = '0' then --ending anything but not cs
my_little_transaction_dir <= DIR_NONE;
end if;
end if;
end process;
--latch chipselect number
process (clock)
begin
if rising_edge(clock) then
if abus_chipselect_pulse(0) = '1' then
abus_chipselect_latched <= "00";
elsif abus_chipselect_pulse(1) = '1' then
abus_chipselect_latched <= "01";
elsif abus_chipselect_pulse(2) = '1' then
abus_chipselect_latched <= "10";
elsif abus_cspulse_off = '1' then
abus_chipselect_latched <= "11";
end if;
end if;
end process;
--if valid transaction captured, switch to corresponding multiplex mode
process (clock)
begin
if rising_edge(clock) then
if abus_chipselect_latched = "11" then
--chipselect deasserted
abus_direction_internal <= '0'; --high-z
abus_muxing_internal <= "01"; --address
else
--chipselect asserted
case (my_little_transaction_dir) is
when DIR_NONE =>
abus_direction_internal <= '0'; --high-z
abus_muxing_internal <= "10"; --data
when DIR_READ =>
abus_direction_internal <= '1'; --active
abus_muxing_internal <= "10"; --data
when DIR_WRITE =>
abus_direction_internal <= '0'; --high-z
abus_muxing_internal <= "10"; --data
end case;
end if;
end if;
end process;
abus_disable_out <= '1' when abus_chipselect_latched(1) = '1' else
'0';
--if abus read access is detected, issue avalon read transaction
--wait until readdatavalid, then disable read and abus wait
process (clock)
begin
if rising_edge(clock) then
--if my_little_transaction_dir = DIR_READ and abus_chipselect_latched(1) = '0' and abus_anypulse2 = '1' then
--starting read transaction at either RD pulse or (CS pulse while RD is on)
--but if CS arrives less than 7 clocks after RD, then we ignore this CS
--this will get us 2 additional clocks at read pipeline
if abus_read_pulse = '1' or (abus_cspulse='1' and abus_read_buf = '0' and abus_read_buf7 = '0') then
avalon_read <= '1';
abus_waitrequest_read <= '1';
elsif avalon_readdatavalid = '1' then
avalon_read <= '0';
abus_waitrequest_read <= '0';
if abus_chipselect_latched = "00" then
--CS0 access
if abus_address_latched(24 downto 0) = "1"&X"FF0FFE" then
--wasca specific SD card control register
abus_data_out <= X"CDCD";
elsif abus_address_latched(24 downto 0) = "1"&X"FFFFF0" then
--wasca prepare counter
abus_data_out <= REG_PCNTR;
elsif abus_address_latched(24 downto 0) = "1"&X"FFFFF2" then
--wasca status register
abus_data_out <= REG_STATUS;
elsif abus_address_latched(24 downto 0) = "1"&X"FFFFF4" then
--wasca mode register
abus_data_out <= REG_MODE;
elsif abus_address_latched(24 downto 0) = "1"&X"FFFFF6" then
--wasca hwver register
abus_data_out <= REG_HWVER;
elsif abus_address_latched(24 downto 0) = "1"&X"FFFFF8" then
--wasca swver register
abus_data_out <= REG_SWVER;
elsif abus_address_latched(24 downto 0) = "1"&X"FFFFFA" then
--wasca signature "wa"
abus_data_out <= X"7761";
elsif abus_address_latched(24 downto 0) = "1"&X"FFFFFC" then
--wasca signature "sc"
abus_data_out <= X"7363";
elsif abus_address_latched(24 downto 0) = "1"&X"FFFFFE" then
--wasca signature "a "
abus_data_out <= X"6120";
else
--normal CS0 read access
case wasca_mode is
when MODE_INIT => abus_data_out <= avalon_readdata(7 downto 0) & avalon_readdata (15 downto 8) ;
when MODE_POWER_MEMORY_05M => abus_data_out <= X"FFFF";
when MODE_POWER_MEMORY_1M => abus_data_out <= X"FFFF";
when MODE_POWER_MEMORY_2M => abus_data_out <= X"FFFF";
when MODE_POWER_MEMORY_4M => abus_data_out <= X"FFFF";
when MODE_RAM_1M => abus_data_out <= avalon_readdata(7 downto 0) & avalon_readdata (15 downto 8) ;
when MODE_RAM_4M => abus_data_out <= avalon_readdata(7 downto 0) & avalon_readdata (15 downto 8) ;
when MODE_ROM_KOF95 => abus_data_out <= avalon_readdata(7 downto 0) & avalon_readdata (15 downto 8) ;
when MODE_ROM_ULTRAMAN => abus_data_out <= avalon_readdata(7 downto 0) & avalon_readdata (15 downto 8) ;
when MODE_BOOT => abus_data_out <= avalon_readdata(7 downto 0) & avalon_readdata (15 downto 8) ;
end case;
end if;
elsif abus_chipselect_latched = "01" then
--CS1 access
if ( abus_address_latched(23 downto 0) = X"FFFFFE" or abus_address_latched(23 downto 0) = X"FFFFFC" ) then
--saturn cart id register
case wasca_mode is
when MODE_INIT => abus_data_out <= avalon_readdata(7 downto 0) & avalon_readdata (15 downto 8) ;
when MODE_POWER_MEMORY_05M => abus_data_out <= X"FF21";
when MODE_POWER_MEMORY_1M => abus_data_out <= X"FF22";
when MODE_POWER_MEMORY_2M => abus_data_out <= X"FF23";
when MODE_POWER_MEMORY_4M => abus_data_out <= X"FF24";
when MODE_RAM_1M => abus_data_out <= X"FF5A";
when MODE_RAM_4M => abus_data_out <= X"FF5C";
when MODE_ROM_KOF95 => abus_data_out <= X"FFFF";
when MODE_ROM_ULTRAMAN => abus_data_out <= X"FFFF";
when MODE_BOOT => abus_data_out <= X"FFFF";
end case;
else
--normal CS1 access
case wasca_mode is
when MODE_INIT => abus_data_out <= avalon_readdata(7 downto 0) & avalon_readdata (15 downto 8) ;
when MODE_POWER_MEMORY_05M => abus_data_out <= avalon_readdata(7 downto 0) & avalon_readdata (15 downto 8) ;
when MODE_POWER_MEMORY_1M => abus_data_out <= avalon_readdata(7 downto 0) & avalon_readdata (15 downto 8) ;
when MODE_POWER_MEMORY_2M => abus_data_out <= avalon_readdata(7 downto 0) & avalon_readdata (15 downto 8) ;
when MODE_POWER_MEMORY_4M => abus_data_out <= avalon_readdata(7 downto 0) & avalon_readdata (15 downto 8) ;
when MODE_RAM_1M => abus_data_out <= X"FFFF";
when MODE_RAM_4M => abus_data_out <= X"FFFF";
when MODE_ROM_KOF95 => abus_data_out <= X"FFFF";
when MODE_ROM_ULTRAMAN => abus_data_out <= X"FFFF";
when MODE_BOOT => abus_data_out <= X"FFFF";
end case;
end if;
else
--CS2 access
abus_data_out <= X"EEEE";
end if;
end if;
end if;
end process;
--if abus write access is detected, issue avalon write transaction
--disable abus wait immediately
--TODO: check if avalon_writedata is already valid at this moment
process (clock)
begin
if rising_edge(clock) then
if my_little_transaction_dir = DIR_WRITE and abus_chipselect_latched /= "11" and abus_cspulse7 = '1' then
--pass write to avalon
avalon_write <= '1';
abus_waitrequest_write <= '1';
elsif avalon_waitrequest = '0' then
avalon_write <= '0';
abus_waitrequest_write <= '0';
end if;
end if;
end process;
--wasca mode register write
--reset
process (clock)
begin
if rising_edge(clock) then
--if saturn_reset='0' then wasca_mode <= MODE_INIT;
--els
if my_little_transaction_dir = DIR_WRITE and abus_chipselect_latched = "00" and abus_cspulse7 = '1' and
abus_address_latched(23 downto 0) = X"FFFFF4" then
--wasca mode register
REG_MODE <= abus_data_in;
case (abus_data_in (3 downto 0)) is
when X"1" => wasca_mode <= MODE_POWER_MEMORY_05M;
when X"2" => wasca_mode <= MODE_POWER_MEMORY_1M;
when X"3" => wasca_mode <= MODE_POWER_MEMORY_2M;
when X"4" => wasca_mode <= MODE_POWER_MEMORY_4M;
when others =>
case (abus_data_in (7 downto 4)) is
when X"1" => wasca_mode <= MODE_RAM_1M;
when X"2" => wasca_mode <= MODE_RAM_4M;
when others =>
case (abus_data_in (11 downto 8)) is
when X"1" => wasca_mode <= MODE_ROM_KOF95;
when X"2" => wasca_mode <= MODE_ROM_ULTRAMAN;
when others => null;-- wasca_mode <= MODE_INIT;
end case;
end case;
end case;
end if;
end if;
end process;
abus_data_in <= abus_addressdata_buf;
--working only if direction is 1
abus_addressdata <= (others => 'Z') when abus_direction_internal='0' else
abus_data_out;
process (clock)
begin
if rising_edge(clock) then
abus_waitrequest_read2 <= abus_waitrequest_read;
--abus_waitrequest_read3 <= abus_waitrequest_read2;
--abus_waitrequest_read4 <= abus_waitrequest_read3;
abus_waitrequest_write2 <= abus_waitrequest_write;
--abus_waitrequest_write3 <= abus_waitrequest_write3;
--abus_waitrequest_write4 <= abus_waitrequest_write4;
end if;
end process;
process (clock)
begin
if rising_edge(clock) then
abus_waitrequest_read_off <= '0';
abus_waitrequest_write_off <= '0';
if abus_waitrequest_read = '0' and abus_waitrequest_read2 = '1' then
abus_waitrequest_read_off <= '1';
end if;
if abus_waitrequest_write = '0' and abus_waitrequest_write2 = '1' then
abus_waitrequest_write_off <= '1';
end if;
end if;
end process;
--process (clock)
--begin
-- if rising_edge(clock) then
-- --if abus_read_pulse='1' or abus_write_pulse(0)='1' or abus_write_pulse(1)='1' then
-- --if abus_anypulse = '1' then
-- if abus_chipselect_pulse(0) = '1' or abus_chipselect_pulse(1) = '1' then
-- abus_waitrequest <= '0';
-- elsif abus_waitrequest_read_off='1' or abus_waitrequest_write_off='1' then
-- abus_waitrequest <= '1';
-- end if;
-- end if;
--end process;
--avalon-to-abus mapping
--SDRAM is mapped to both CS0 and CS1
avalon_address <= "010" & abus_address_latched(24 downto 0);
avalon_writedata <= abus_data_in(7 downto 0) & abus_data_in (15 downto 8) ;
avalon_burstcount <= '0';
abus_waitrequest <= not (abus_waitrequest_read or abus_waitrequest_write);
--Nios II read interface
process (clock)
begin
if rising_edge(clock) then
avalon_nios_readdatavalid <= '0';
if avalon_nios_read = '1' then
avalon_nios_readdatavalid <= '1';
case avalon_nios_address is
when X"F0" =>
avalon_nios_readdata <= REG_PCNTR;
when X"F2" =>
avalon_nios_readdata <= REG_STATUS;
when X"F4" =>
avalon_nios_readdata <= REG_MODE;
when X"F6" =>
avalon_nios_readdata <= REG_HWVER;
when X"F8" =>
avalon_nios_readdata <= REG_SWVER;
when X"FA" =>
avalon_nios_readdata <= X"ABCD"; --for debug, remove later
when others =>
avalon_nios_readdata <= REG_HWVER; --to simplify mux
end case;
end if;
end if;
end process;
--Nios II write interface
process (clock)
begin
if rising_edge(clock) then
if avalon_nios_write= '1' then
case avalon_nios_address is
when X"F0" =>
REG_PCNTR <= avalon_nios_writedata;
when X"F2" =>
REG_STATUS <= avalon_nios_writedata;
when X"F4" =>
null;
when X"F6" =>
null;
when X"F8" =>
REG_SWVER <= avalon_nios_writedata;
when others =>
null;
end case;
end if;
end if;
end process;
--Nios system interface is only regs, so always ready to write.
avalon_nios_waitrequest <= '0';
end architecture rtl; -- of sega_saturn_abus_slave
| gpl-2.0 |
cafe-alpha/wasca | fpga_firmware/wasca/synthesis/submodules/Altera_UP_SD_Card_Avalon_Interface.vhd | 7 | 23187 | -- (C) 2001-2015 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
----------------------------------------------------------------------------------------------------------------
-- This is an FSM that allows access to the SD Card IP core via the Avalon Interconnect.
--
-- This module takes a range of addresses on the Avalon Interconnect. Specifically:
-- - 0x00000000 to 0x000001ff
-- word addressable buffer space. The data to be written to the SD card as well
-- as data read from the SD card can be accessed here.
--
-- - 0x00000200 to 0x0000020f
-- 128-bit containing the Card Identification Number. The meaning of each bit is described in the
-- SD Card Physical Layer Specification Document.
--
-- - 0x00000210 to 0x0000021f
-- 128-bit register containing Card Specific Data. The meaning of each bit is described in the
-- SD Card Physical Layer Specification Document.
--
-- - 0x00000220 to 0x00000223
-- 32-bit register containing Operating Conditions Register. The meaning of each bit is described
-- in the SD Card Physical Layer Specification Document.
--
-- - 0x00000224 to 0x00000227
-- 32-bit register containing the Status Register. The meaning of each bit is described
-- in the SD Card Physical Layer Specification Document. However, if the card is not connected or the
-- status register could not be read from the SD card, this register will contain invalid data. In such
-- a case, wait for a card to be connected by checking the Auxiliary Status Register (UP Core Specific), and
-- a command 13 (SEND_STATUS) to update the contents of this register when possible. If a card is connected then
-- the Auxiliary Status Register can be polled until such a time that Status Register is valid, as the SD Card
-- interface circuit updates the status register approximately every 0.1 of a second, and after every command
-- is executed.
--
-- - 0x00000228 to 0x000000229
-- 16-bit register containing the Relative Card Address. This address uniquely identifies a card
-- connected to the SD Card slot.
--
-- - 0x0000022C to 0x00000022F
-- 32-bit register used to set the argument for a command to be sent to the SD Card.
--
-- - 0x00000230 to 0x000000231
-- 16-bit register used to send a command to an SD card. Once written, the interface will issue the
-- specified command. The meaning of each bit in this register is as follows:
-- - 0-5 - command index. This is a command index as per SD Card Physical Layer specification document.
-- - 6 - use most recent RCA. If this bit is set, the command argument will be replaced with the contents of
-- the Relative Card Address register, followed by 16 0s. For commands that require RCA to be sent as
-- an argument, this bit should be set and users will not need to specify RCA themselves.
-- - 7-15 - currently unused bits. They will be ignored.
-- NOTE: If a specified command is determined to be invalid, or the card is not connected to the SD Card socket,
-- then the SD Card interface circuit will not issue the command.
--
-- - 0x00000234 to 0x00000235
-- 16-bit register with Auxiliary Status Register. This is the Altera UP SD Card Interface status. The meaning of
-- the bits is as follows:
-- - 0 - last command valid - Set to '1' if the most recently user issued command was valid.
-- - 1 - card connected - Set to '1' if at present an SD card
-- - 2 - execution in progress - Set to '1' if the command recently issued is currently being executed. If true,
-- then the current state of SD Card registers should be ignored.
-- - 3 - status register valid - Set to '1' if the status register is valid.
-- - 4 - command timed out - Set to '1' if the last command timed out.
-- - 5 - crc failed - Set to '1' if the last command failed a CRC check.
-- - 6-15 - unused.
--
-- - 0x00000238 to 0x0000023B
-- 32-bit register containing the 32-bit R1 response message. Use it to test validity of the response. This register
-- will not store the response to SEND_STATUS command. Insteand, read the SD_status register at location 0x00000224.
--
-- Date: December 8, 2008
-- NOTES/REVISIONS:
-- December 17, 2008 - added R1 response register to the core. It is now available at 0x00000238.
----------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity Altera_UP_SD_Card_Avalon_Interface is
generic (
ADDRESS_BUFFER : std_logic_vector(7 downto 0) := "00000000";
ADDRESS_CID : std_logic_vector(7 downto 0) := "10000000";
ADDRESS_CSD : std_logic_vector(7 downto 0) := "10000100";
ADDRESS_OCR : std_logic_vector(7 downto 0) := "10001000";
ADDRESS_SR : std_logic_vector(7 downto 0) := "10001001";
ADDRESS_RCA : std_logic_vector(7 downto 0) := "10001010";
ADDRESS_ARGUMENT : std_logic_vector(7 downto 0) := "10001011";
ADDRESS_COMMAND : std_logic_vector(7 downto 0) := "10001100";
ADDRESS_ASR : std_logic_vector(7 downto 0) := "10001101";
ADDRESS_R1 : std_logic_vector(7 downto 0) := "10001110"
);
port
(
-- Clock and Reset signals
i_clock : in STD_LOGIC;
i_reset_n : in STD_LOGIC; -- Asynchronous reset
-- Avalon Interconnect Signals
i_avalon_address : in STD_LOGIC_VECTOR(7 downto 0);
i_avalon_chip_select : in STD_LOGIC;
i_avalon_read : in STD_LOGIC;
i_avalon_write : in STD_LOGIC;
i_avalon_byteenable : in STD_LOGIC_VECTOR(3 downto 0);
i_avalon_writedata : in STD_LOGIC_VECTOR(31 downto 0);
o_avalon_readdata : out STD_LOGIC_VECTOR(31 downto 0);
o_avalon_waitrequest : out STD_LOGIC;
-- SD Card interface ports
b_SD_cmd : inout STD_LOGIC;
b_SD_dat : inout STD_LOGIC;
b_SD_dat3 : inout STD_LOGIC;
o_SD_clock : out STD_LOGIC
);
end entity;
architecture rtl of Altera_UP_SD_Card_Avalon_Interface is
component Altera_UP_SD_Card_Interface is
port
(
i_clock : in std_logic;
i_reset_n : in std_logic;
-- Command interface
b_SD_cmd : inout std_logic;
b_SD_dat : inout std_logic;
b_SD_dat3 : inout std_logic;
i_command_ID : in std_logic_vector(5 downto 0);
i_argument : in std_logic_vector(31 downto 0);
i_user_command_ready : in std_logic;
o_SD_clock : out std_logic;
o_card_connected : out std_logic;
o_command_completed : out std_logic;
o_command_valid : out std_logic;
o_command_timed_out : out std_logic;
o_command_crc_failed : out std_logic;
-- Buffer access
i_buffer_enable : in std_logic;
i_buffer_address : in std_logic_vector(7 downto 0);
i_buffer_write : in std_logic;
i_buffer_data_in : in std_logic_vector(15 downto 0);
o_buffer_data_out : out std_logic_vector(15 downto 0);
-- Show SD Card registers as outputs
o_SD_REG_card_identification_number : out std_logic_vector(127 downto 0);
o_SD_REG_relative_card_address : out std_logic_vector(15 downto 0);
o_SD_REG_operating_conditions_register : out std_logic_vector(31 downto 0);
o_SD_REG_card_specific_data : out std_logic_vector(127 downto 0);
o_SD_REG_status_register : out std_logic_vector(31 downto 0);
o_SD_REG_response_R1 : out std_logic_vector(31 downto 0);
o_SD_REG_status_register_valid : out std_logic
);
end component;
-- Build an enumerated type for the state machine. On reset always reset the DE2 and read the state
-- of the switches.
type buffer_state_type is ( s_RESET, s_WAIT_REQUEST, s_READ_FIRST_WORD, s_READ_SECOND_WORD, s_RECEIVE_FIRST_WORD,
s_RECEIVE_SECOND_WORD, s_WR_READ_FIRST_WORD, s_WR_READ_FIRST_WORD_DELAY, s_WRITE_FIRST_BYTE, s_WRITE_FIRST_WORD,
s_WR_READ_SECOND_WORD, s_WR_READ_SECOND_WORD_DELAY, s_WRITE_SECOND_BYTE, s_WRITE_SECOND_WORD, s_WAIT_RELEASE);
type command_state_type is (s_RESET_CMD, s_WAIT_COMMAND, s_WAIT_RESPONSE, s_UPDATE_AUX_SR);
-- Register to hold the current state
signal current_state : buffer_state_type;
signal next_state : buffer_state_type;
signal current_cmd_state : command_state_type;
signal next_cmd_state : command_state_type;
-------------------
-- Local signals
-------------------
-- REGISTERED
signal auxiliary_status_reg : std_logic_vector(5 downto 0);
signal buffer_data_out_reg : std_logic_vector(31 downto 0);
signal buffer_data_in_reg : std_logic_vector(31 downto 0);
signal buffer_data_out : std_logic_vector(15 downto 0);
signal command_ID_reg : std_logic_vector( 5 downto 0);
signal argument_reg : std_logic_vector(31 downto 0);
signal avalon_address : std_logic_vector(7 downto 0);
signal avalon_byteenable : std_logic_vector(3 downto 0);
-- UNREGISTERED
signal buffer_address : std_logic_vector(7 downto 0);
signal buffer_data_in : std_logic_vector(15 downto 0);
signal SD_REG_card_identification_number : std_logic_vector(127 downto 0);
signal SD_REG_relative_card_address : std_logic_vector(15 downto 0);
signal SD_REG_operating_conditions_register : std_logic_vector(31 downto 0);
signal SD_REG_card_specific_data : std_logic_vector(127 downto 0);
signal SD_REG_status_register : std_logic_vector(31 downto 0);
signal SD_REG_response_R1 : std_logic_vector(31 downto 0);
signal command_ready, send_command_ready,
command_valid, command_completed, card_connected : std_logic;
signal status_reg_valid, argument_write : std_logic;
signal read_buffer_request, write_buffer_request, buffer_enable, buffer_write : std_logic;
signal command_timed_out, command_crc_failed : std_logic;
begin
-- Define state transitions for buffer interface.
state_transitions_buffer: process (current_state, read_buffer_request, write_buffer_request, i_avalon_byteenable, avalon_byteenable)
begin
case current_state is
when s_RESET =>
-- Reset local registers.
next_state <= s_WAIT_REQUEST;
when s_WAIT_REQUEST =>
-- Wait for a user command.
if (read_buffer_request = '1') then
next_state <= s_READ_FIRST_WORD;
elsif (write_buffer_request = '1') then
if ((i_avalon_byteenable(1) = '1') and (i_avalon_byteenable(0) = '1')) then
next_state <= s_WRITE_FIRST_WORD;
elsif ((i_avalon_byteenable(3) = '1') and (i_avalon_byteenable(2) = '1')) then
next_state <= s_WRITE_SECOND_WORD;
elsif ((i_avalon_byteenable(1) = '1') or (i_avalon_byteenable(0) = '1')) then
next_state <= s_WR_READ_FIRST_WORD;
elsif ((i_avalon_byteenable(3) = '1') or (i_avalon_byteenable(2) = '1')) then
next_state <= s_WR_READ_SECOND_WORD;
else
next_state <= s_WAIT_REQUEST;
end if;
else
next_state <= s_WAIT_REQUEST;
end if;
when s_READ_FIRST_WORD =>
-- Read first 16-bit word from the buffer
next_state <= s_READ_SECOND_WORD;
when s_READ_SECOND_WORD =>
-- Read second 16-bit word from the buffer
next_state <= s_RECEIVE_FIRST_WORD;
when s_RECEIVE_FIRST_WORD =>
-- Store first word read
next_state <= s_RECEIVE_SECOND_WORD;
when s_RECEIVE_SECOND_WORD =>
-- Store second word read
next_state <= s_WAIT_RELEASE;
-- The following states control writing to the buffer. To write a single byte it is necessary to read a
-- word and then write it back, changing only on of its bytes.
when s_WR_READ_FIRST_WORD =>
-- Read first 16-bit word from the buffer
next_state <= s_WR_READ_FIRST_WORD_DELAY;
when s_WR_READ_FIRST_WORD_DELAY =>
-- Wait a cycle
next_state <= s_WRITE_FIRST_BYTE;
when s_WRITE_FIRST_BYTE =>
-- Write one of the bytes in the given word into the memory.
if ((avalon_byteenable(3) = '1') and (avalon_byteenable(2) = '1')) then
next_state <= s_WRITE_SECOND_WORD;
elsif ((avalon_byteenable(3) = '1') or (avalon_byteenable(2) = '1')) then
next_state <= s_WR_READ_SECOND_WORD;
else
next_state <= s_WAIT_RELEASE;
end if;
when s_WR_READ_SECOND_WORD =>
-- Read second 16-bit word from the buffer
next_state <= s_WR_READ_SECOND_WORD_DELAY;
when s_WR_READ_SECOND_WORD_DELAY =>
-- Wait a cycle
next_state <= s_WRITE_SECOND_BYTE;
when s_WRITE_SECOND_BYTE =>
-- Write one of the bytes in the given word into the memory.
next_state <= s_WAIT_RELEASE;
-- Full word writing can be done without reading the word in the first place.
when s_WRITE_FIRST_WORD =>
-- Write the first word into memory
if ((avalon_byteenable(3) = '1') and (avalon_byteenable(2) = '1')) then
next_state <= s_WRITE_SECOND_WORD;
elsif ((avalon_byteenable(3) = '1') or (avalon_byteenable(2) = '1')) then
next_state <= s_WR_READ_SECOND_WORD;
else
next_state <= s_WAIT_RELEASE;
end if;
when s_WRITE_SECOND_WORD =>
-- Write the second word into memory
next_state <= s_WAIT_RELEASE;
when s_WAIT_RELEASE =>
-- if ((read_buffer_request = '1') or (write_buffer_request = '1')) then
-- next_state <= s_WAIT_RELEASE;
-- else
next_state <= s_WAIT_REQUEST;
-- end if;
when others =>
-- Make sure to start in the reset state if the circuit powers up in an odd state.
next_state <= s_RESET;
end case;
end process;
-- State Registers
buffer_state_regs: process(i_clock, i_reset_n)
begin
if (i_reset_n = '0') then
current_state <= s_RESET;
elsif(rising_edge(i_clock)) then
current_state <= next_state;
end if;
end process;
helper_regs: process(i_clock, i_reset_n)
begin
if (i_reset_n = '0') then
avalon_address <= (OTHERS => '0');
buffer_data_out_reg <= (OTHERS => '0');
buffer_data_in_reg <= (OTHERS => '0');
avalon_byteenable <= (OTHERS => '0');
elsif(rising_edge(i_clock)) then
if (current_state = s_WAIT_REQUEST) then
avalon_address <= i_avalon_address;
buffer_data_in_reg <= i_avalon_writedata;
avalon_byteenable <= i_avalon_byteenable;
end if;
if (current_state = s_RECEIVE_FIRST_WORD) then
buffer_data_out_reg(15 downto 0) <= buffer_data_out;
end if;
if (current_state = s_RECEIVE_SECOND_WORD) then
buffer_data_out_reg(31 downto 16) <= buffer_data_out;
end if;
end if;
end process;
-- FSM outputs
o_avalon_waitrequest <= (read_buffer_request or write_buffer_request) when (not (current_state = s_WAIT_RELEASE)) else '0';
buffer_address(7 downto 1) <= avalon_address(6 downto 0);
buffer_address(0) <= '1' when ( (current_state = s_READ_SECOND_WORD) or (current_state = s_WRITE_SECOND_WORD) or
(current_state = s_WR_READ_SECOND_WORD) or (current_state = s_WRITE_SECOND_BYTE)) else
'0';
buffer_enable <= '1' when ( (current_state = s_READ_FIRST_WORD) or (current_state = s_WR_READ_FIRST_WORD) or
(current_state = s_READ_SECOND_WORD) or (current_state = s_WR_READ_SECOND_WORD) or
(current_state = s_WRITE_FIRST_WORD) or (current_state = s_WRITE_FIRST_BYTE) or
(current_state = s_WRITE_SECOND_WORD) or (current_state = s_WRITE_SECOND_BYTE)) else
'0';
buffer_write <= '1' when ( (current_state = s_WRITE_FIRST_WORD) or (current_state = s_WRITE_FIRST_BYTE) or
(current_state = s_WRITE_SECOND_WORD) or (current_state = s_WRITE_SECOND_BYTE)) else
'0';
buffer_data_in <= (buffer_data_out(15 downto 8) & buffer_data_in_reg(7 downto 0)) when ((current_state = s_WRITE_FIRST_BYTE) and (avalon_byteenable(1 downto 0) = "01")) else
(buffer_data_in_reg(15 downto 8) & buffer_data_out(7 downto 0)) when ((current_state = s_WRITE_FIRST_BYTE) and (avalon_byteenable(1 downto 0) = "10")) else
(buffer_data_out(15 downto 8) & buffer_data_in_reg(23 downto 16)) when ((current_state = s_WRITE_SECOND_BYTE) and (avalon_byteenable(3 downto 2) = "01")) else
(buffer_data_in_reg(31 downto 24) & buffer_data_out(7 downto 0)) when ((current_state = s_WRITE_SECOND_BYTE) and (avalon_byteenable(3 downto 2) = "10")) else
buffer_data_in_reg(15 downto 0) when (current_state = s_WRITE_FIRST_WORD) else
buffer_data_in_reg(31 downto 16);
-- Glue Logic
read_buffer_request <= (not i_avalon_address(7)) and (i_avalon_chip_select) and (i_avalon_read);
write_buffer_request <= (not i_avalon_address(7)) and (i_avalon_chip_select) and (i_avalon_write);
-- Define state transitions for command interface.
state_transitions_cmd: process (current_cmd_state, command_completed, command_valid, command_ready)
begin
case current_cmd_state is
when s_RESET_CMD =>
-- Reset local registers.
next_cmd_state <= s_WAIT_COMMAND;
when s_WAIT_COMMAND =>
-- Wait for a user command.
if (command_ready = '1') then
next_cmd_state <= s_WAIT_RESPONSE;
else
next_cmd_state <= s_WAIT_COMMAND;
end if;
when s_WAIT_RESPONSE =>
-- Generate a predefined command to the SD card. This is the identification process for the SD card.
if ((command_completed = '1') or (command_valid = '0')) then
next_cmd_state <= s_UPDATE_AUX_SR;
else
next_cmd_state <= s_WAIT_RESPONSE;
end if;
when s_UPDATE_AUX_SR =>
-- Update the Auxiliary status register.
if (command_ready = '1') then
next_cmd_state <= s_UPDATE_AUX_SR;
else
next_cmd_state <= s_WAIT_COMMAND;
end if;
when others =>
-- Make sure to start in the reset state if the circuit powers up in an odd state.
next_cmd_state <= s_RESET_CMD;
end case;
end process;
-- State registers
cmd_state_regs: process(i_clock, i_reset_n)
begin
if (i_reset_n = '0') then
current_cmd_state <= s_RESET_CMD;
elsif(rising_edge(i_clock)) then
current_cmd_state <= next_cmd_state;
end if;
end process;
-- FSM outputs
send_command_ready <= '1' when ((current_cmd_state = s_WAIT_RESPONSE) or (current_cmd_state = s_UPDATE_AUX_SR)) else '0';
-- Glue logic
command_ready <= '1' when ( (i_avalon_chip_select = '1') and (i_avalon_write = '1') and
(i_avalon_address = ADDRESS_COMMAND)) else '0';
argument_write <= '1' when ((i_avalon_chip_select = '1') and (i_avalon_write = '1') and
(i_avalon_address = ADDRESS_ARGUMENT)) else '0';
-- Local Registers
local_regs: process(i_clock, i_reset_n, current_cmd_state, card_connected, command_valid, i_avalon_writedata, command_completed, command_ready)
begin
if (i_reset_n = '0') then
auxiliary_status_reg <= "000000";
command_ID_reg <= (OTHERS => '0');
elsif(rising_edge(i_clock)) then
-- AUX Status Register
if ((current_cmd_state = s_WAIT_RESPONSE) or (current_cmd_state = s_UPDATE_AUX_SR)) then
auxiliary_status_reg(2) <= not command_completed;
auxiliary_status_reg(4) <= command_timed_out;
auxiliary_status_reg(5) <= command_crc_failed;
end if;
auxiliary_status_reg(0) <= command_valid;
auxiliary_status_reg(1) <= card_connected;
auxiliary_status_reg(3) <= status_reg_valid;
-- Command
if (command_ready = '1') then
command_ID_reg <= i_avalon_writedata(5 downto 0);
end if;
end if;
end process;
argument_regs_processing: process(i_clock, i_reset_n, current_cmd_state, i_avalon_writedata, command_ready)
begin
if (i_reset_n = '0') then
argument_reg <= (OTHERS => '0');
elsif(rising_edge(i_clock)) then
-- Argument register
if ((command_ready = '1') and ( i_avalon_writedata(6) = '1')) then
argument_reg <= SD_REG_relative_card_address & "0000000000000000";
elsif (argument_write = '1') then
argument_reg <= i_avalon_writedata;
end if;
end if;
end process;
o_avalon_readdata <= buffer_data_out_reg when (not (current_state = s_WAIT_REQUEST)) else
SD_REG_card_identification_number(31 downto 0) when (i_avalon_address = ADDRESS_CID) else
SD_REG_card_identification_number(63 downto 32) when (i_avalon_address = ADDRESS_CID(7 downto 2) & "01") else
SD_REG_card_identification_number(95 downto 64) when (i_avalon_address = ADDRESS_CID(7 downto 2) & "10") else
SD_REG_card_identification_number(127 downto 96) when (i_avalon_address = ADDRESS_CID(7 downto 2) & "11") else
SD_REG_card_specific_data(31 downto 0) when (i_avalon_address = ADDRESS_CSD) else
SD_REG_card_specific_data(63 downto 32) when (i_avalon_address = ADDRESS_CSD(7 downto 2) & "01") else
SD_REG_card_specific_data(95 downto 64) when (i_avalon_address = ADDRESS_CSD(7 downto 2) & "10") else
SD_REG_card_specific_data(127 downto 96) when (i_avalon_address = ADDRESS_CSD(7 downto 2) & "11") else
SD_REG_operating_conditions_register when (i_avalon_address = ADDRESS_OCR) else
SD_REG_status_register when (i_avalon_address = ADDRESS_SR) else
("0000000000000000" & SD_REG_relative_card_address)when (i_avalon_address = ADDRESS_RCA) else
argument_reg when (i_avalon_address = ADDRESS_ARGUMENT) else
("00000000000000000000000000" & command_ID_reg) when (i_avalon_address = ADDRESS_COMMAND) else
SD_REG_response_R1 when (i_avalon_address = ADDRESS_R1) else
("00000000000000000000000000" & auxiliary_status_reg);
-- Instantiated Components
SD_Card_Port: Altera_UP_SD_Card_Interface
port map
(
i_clock => i_clock,
i_reset_n => i_reset_n,
-- Command interface
b_SD_cmd => b_SD_cmd,
b_SD_dat => b_SD_dat,
b_SD_dat3 => b_SD_dat3,
i_command_ID => command_ID_reg,
i_argument => argument_reg,
i_user_command_ready => send_command_ready,
o_SD_clock => o_SD_clock,
o_card_connected => card_connected,
o_command_completed => command_completed,
o_command_valid => command_valid,
o_command_timed_out => command_timed_out,
o_command_crc_failed => command_crc_failed,
-- Buffer access
i_buffer_enable => buffer_enable,
i_buffer_address => buffer_address,
i_buffer_write => buffer_write,
i_buffer_data_in => buffer_data_in,
o_buffer_data_out => buffer_data_out,
-- Show SD Card registers as outputs
o_SD_REG_card_identification_number => SD_REG_card_identification_number,
o_SD_REG_relative_card_address => SD_REG_relative_card_address,
o_SD_REG_operating_conditions_register => SD_REG_operating_conditions_register,
o_SD_REG_card_specific_data => SD_REG_card_specific_data,
o_SD_REG_status_register => SD_REG_status_register,
o_SD_REG_response_R1 => SD_REG_response_R1,
o_SD_REG_status_register_valid => status_reg_valid
);
end rtl;
| gpl-2.0 |
cafe-alpha/wasca | v12/fpga_firmware/wasca/synthesis/submodules/Altera_UP_SD_CRC16_Generator.vhd | 7 | 2732 | -- (C) 2001-2015 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
----------------------------------------------------------------------------------------
-- This generates the necessary 16-CRC for Command and Response
-- Implementation: serial input/parallel output
-- When input stream ends, the crcout output is the CRC checksum for them
--
-- NOTES/REVISIONS:
----------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Altera_UP_SD_CRC16_Generator is
port
(
i_clock : in std_logic;
i_enable : in std_logic;
i_reset_n : in std_logic;
i_sync_reset : in std_logic;
i_shift : in std_logic;
i_datain : in std_logic;
o_dataout : out std_logic;
o_crcout : out std_logic_vector(15 downto 0)
);
end entity;
architecture rtl of Altera_UP_SD_CRC16_Generator is
-- Local wires
-- REGISTERED
signal shift_register : std_logic_vector(15 downto 0);
begin
process (i_clock, i_reset_n)
begin
if (i_reset_n = '0') then
shift_register <= (OTHERS => '0');
else
if (rising_edge(i_clock)) then
if (i_sync_reset = '1') then
shift_register <= (OTHERS => '0');
elsif (i_enable = '1') then
if (i_shift = '0') then
shift_register(0) <= i_datain XOR shift_register(15);
shift_register(4 downto 1) <= shift_register(3 downto 0);
shift_register(5) <= shift_register(4) XOR i_datain XOR shift_register(15);
shift_register(11 downto 6) <= shift_register(10 downto 5);
shift_register(12) <= shift_register(11) XOR i_datain XOR shift_register(15);
shift_register(15 downto 13) <= shift_register(14 downto 12);
else -- shift CRC out (no more calculation now)
shift_register(15 downto 1) <= shift_register(14 downto 0);
shift_register(0) <= '0';
end if;
end if;
end if;
end if;
end process;
o_dataout <= shift_register(15);
o_crcout <= shift_register;
end rtl;
| gpl-2.0 |
cafe-alpha/wasca | obsolete/fpga_firmware_V2/ip_repo/ABus2AXI4Lite/hdl/ABus2AXI4Lite.vhd | 2 | 15694 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ABus2AXI4Lite is
generic (
-- Users to add parameters here
-- User parameters ends
-- Do not modify the parameters beyond this line
-- Parameters of Axi Master Bus Interface M00_AXI
C_MASTER_AXI_TARGET_SLAVE_BASE_ADDR : std_logic_vector := x"00000000";
C_MASTER_AXI_ADDR_WIDTH : integer := 32;
C_MASTER_AXI_DATA_WIDTH : integer := 32;
C_SLAVE_AXI_ADDR_WIDTH : integer := 32;
C_SLAVE_AXI_DATA_WIDTH : integer := 32;
C_FILESYS_AXI_ADDR_WIDTH : integer := 32;
C_FILESYS_AXI_DATA_WIDTH : integer := 32
);
port (
-- abus ports
abus_address : in std_logic_vector(25 downto 0) := (others => '0'); -- abus.address
abus_data_in : in std_logic_vector(15 downto 0) := (others => '0'); -- abus.addressdata
abus_data_out : out std_logic_vector(15 downto 0) := (others => '0'); -- abus.addressdata
abus_data_direction : out std_logic := '0'; -- .direction
abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
abus_read : in std_logic := '0'; -- .read
abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write
abus_wait : out std_logic := '1'; -- .waitrequest
abus_wait_direction : out std_logic := '0'; -- .direction
abus_irq : out std_logic := '0'; -- .interrupt
abus_irq_direction : out std_logic := '0'; -- .direction
abus_reset : in std_logic := '0'; -- .saturn_reset
-- Ports of Axi Master Bus Interface
master_axi_aclk : in std_logic;
master_axi_aresetn : in std_logic;
master_axi_awaddr : out std_logic_vector(C_MASTER_AXI_ADDR_WIDTH-1 downto 0);
master_axi_awprot : out std_logic_vector(2 downto 0);
master_axi_awvalid : out std_logic;
master_axi_awready : in std_logic;
master_axi_wdata : out std_logic_vector(C_MASTER_AXI_DATA_WIDTH-1 downto 0);
master_axi_wstrb : out std_logic_vector(C_MASTER_AXI_DATA_WIDTH/8-1 downto 0);
master_axi_wvalid : out std_logic;
master_axi_wready : in std_logic;
master_axi_bresp : in std_logic_vector(1 downto 0);
master_axi_bvalid : in std_logic;
master_axi_bready : out std_logic;
master_axi_araddr : out std_logic_vector(C_MASTER_AXI_ADDR_WIDTH-1 downto 0);
master_axi_arprot : out std_logic_vector(2 downto 0);
master_axi_arvalid : out std_logic;
master_axi_arready : in std_logic;
master_axi_rdata : in std_logic_vector(C_MASTER_AXI_DATA_WIDTH-1 downto 0);
master_axi_rresp : in std_logic_vector(1 downto 0);
master_axi_rvalid : in std_logic;
master_axi_rready : out std_logic;
-- Ports of Slave Bus Interface
slave_axi_aclk : in std_logic;
slave_axi_aresetn : in std_logic;
slave_axi_awaddr : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0);
slave_axi_awprot : in std_logic_vector(2 downto 0);
slave_axi_awvalid : in std_logic;
slave_axi_awready : out std_logic;
slave_axi_wdata : in std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0);
slave_axi_wstrb : in std_logic_vector(C_SLAVE_AXI_DATA_WIDTH/8-1 downto 0);
slave_axi_wvalid : in std_logic;
slave_axi_wready : out std_logic;
slave_axi_bresp : out std_logic_vector(1 downto 0);
slave_axi_bvalid : out std_logic;
slave_axi_bready : in std_logic;
slave_axi_araddr : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0);
slave_axi_arprot : in std_logic_vector(2 downto 0);
slave_axi_arvalid : in std_logic;
slave_axi_arready : out std_logic;
slave_axi_rdata : out std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0);
slave_axi_rresp : out std_logic_vector(1 downto 0);
slave_axi_rvalid : out std_logic;
slave_axi_rready : in std_logic
-- -- Ports of Filesys Bus Interface
-- filesys_axi_aclk : in std_logic;
-- filesys_axi_aresetn : in std_logic;
-- filesys_axi_awaddr : in std_logic_vector(C_FILESYS_AXI_ADDR_WIDTH-1 downto 0);
-- filesys_axi_awprot : in std_logic_vector(2 downto 0);
-- filesys_axi_awvalid : in std_logic;
-- filesys_axi_awready : out std_logic;
-- filesys_axi_wdata : in std_logic_vector(C_FILESYS_AXI_DATA_WIDTH-1 downto 0);
-- filesys_axi_wstrb : in std_logic_vector(C_FILESYS_AXI_DATA_WIDTH/8-1 downto 0);
-- filesys_axi_wvalid : in std_logic;
-- filesys_axi_wready : out std_logic;
-- filesys_axi_bresp : out std_logic_vector(1 downto 0);
-- filesys_axi_bvalid : out std_logic;
-- filesys_axi_bready : in std_logic;
-- filesys_axi_araddr : in std_logic_vector(C_FILESYS_AXI_ADDR_WIDTH-1 downto 0);
-- filesys_axi_arprot : in std_logic_vector(2 downto 0);
-- filesys_axi_arvalid : in std_logic;
-- filesys_axi_arready : out std_logic;
-- filesys_axi_rdata : out std_logic_vector(C_FILESYS_AXI_DATA_WIDTH-1 downto 0);
-- filesys_axi_rresp : out std_logic_vector(1 downto 0);
-- filesys_axi_rvalid : out std_logic;
-- filesys_axi_rready : in std_logic
);
end ABus2AXI4Lite;
architecture arch_imp of ABus2AXI4Lite is
-- component declaration
component ABus2AXI4Lite_Master_AXI is
generic (
C_MASTER_TARGET_SLAVE_BASE_ADDR : std_logic_vector := x"40000000";
C_MASTER_AXI_ADDR_WIDTH : integer := 32;
C_MASTER_AXI_DATA_WIDTH : integer := 32
);
port (
abus_address : in std_logic_vector(25 downto 0) := (others => '0'); -- abus.address
abus_data_in : in std_logic_vector(15 downto 0) := (others => '0'); -- abus.addressdata
abus_data_out : out std_logic_vector(15 downto 0) := (others => '0'); -- abus.addressdata
abus_data_direction : out std_logic := '0'; -- .direction
abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
abus_read : in std_logic := '0'; -- .read
abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write
abus_wait : out std_logic := '1'; -- .waitrequest
abus_wait_direction : out std_logic := '0'; -- .direction
abus_irq : out std_logic := '0'; -- .interrupt
abus_irq_direction : out std_logic := '0'; -- .direction
abus_reset : in std_logic := '0'; -- .saturn_reset
MASTER_AXI_ACLK : in std_logic;
MASTER_AXI_ARESETN : in std_logic;
MASTER_AXI_AWADDR : out std_logic_vector(C_MASTER_AXI_ADDR_WIDTH-1 downto 0);
MASTER_AXI_AWPROT : out std_logic_vector(2 downto 0);
MASTER_AXI_AWVALID : out std_logic;
MASTER_AXI_AWREADY : in std_logic;
MASTER_AXI_WDATA : out std_logic_vector(C_MASTER_AXI_DATA_WIDTH-1 downto 0);
MASTER_AXI_WSTRB : out std_logic_vector(C_MASTER_AXI_DATA_WIDTH/8-1 downto 0);
MASTER_AXI_WVALID : out std_logic;
MASTER_AXI_WREADY : in std_logic;
MASTER_AXI_BRESP : in std_logic_vector(1 downto 0);
MASTER_AXI_BVALID : in std_logic;
MASTER_AXI_BREADY : out std_logic;
MASTER_AXI_ARADDR : out std_logic_vector(C_MASTER_AXI_ADDR_WIDTH-1 downto 0);
MASTER_AXI_ARPROT : out std_logic_vector(2 downto 0);
MASTER_AXI_ARVALID : out std_logic;
MASTER_AXI_ARREADY : in std_logic;
MASTER_AXI_RDATA : in std_logic_vector(C_MASTER_AXI_DATA_WIDTH-1 downto 0);
MASTER_AXI_RRESP : in std_logic_vector(1 downto 0);
MASTER_AXI_RVALID : in std_logic;
MASTER_AXI_RREADY : out std_logic
);
end component ABus2AXI4Lite_Master_AXI;
component ABus2AXI4Lite_Slave_AXI is
generic (
C_SLAVE_AXI_ADDR_WIDTH : integer := 32;
C_SLAVE_AXI_DATA_WIDTH : integer := 32
);
port (
PCNTR : out std_logic_vector(15 downto 0);
STATUS : out std_logic_vector(15 downto 0);
MODE : in std_logic_vector(15 downto 0);
HWVER : in std_logic_vector(15 downto 0);
SWVER : out std_logic_vector(15 downto 0);
SLAVE_AXI_ACLK : in std_logic;
SLAVE_AXI_ARESETN : in std_logic;
SLAVE_AXI_AWADDR : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0);
SLAVE_AXI_AWPROT : in std_logic_vector(2 downto 0);
SLAVE_AXI_AWVALID : in std_logic;
SLAVE_AXI_AWREADY : out std_logic;
SLAVE_AXI_WDATA : in std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0);
SLAVE_AXI_WSTRB : in std_logic_vector((C_SLAVE_AXI_DATA_WIDTH/8)-1 downto 0);
SLAVE_AXI_WVALID : in std_logic;
SLAVE_AXI_WREADY : out std_logic;
SLAVE_AXI_BRESP : out std_logic_vector(1 downto 0);
SLAVE_AXI_BVALID : out std_logic;
SLAVE_AXI_BREADY : in std_logic;
SLAVE_AXI_ARADDR : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0);
SLAVE_AXI_ARPROT : in std_logic_vector(2 downto 0);
SLAVE_AXI_ARVALID : in std_logic;
SLAVE_AXI_ARREADY : out std_logic;
SLAVE_AXI_RDATA : out std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0);
SLAVE_AXI_RRESP : out std_logic_vector(1 downto 0);
SLAVE_AXI_RVALID : out std_logic;
SLAVE_AXI_RREADY : in std_logic
);
end component;
component ABus2AXI4Lite_Filesys_regs_AXI is
generic (
C_FILESYS_AXI_DATA_WIDTH : integer := 32;
C_FILESYS_AXI_ADDR_WIDTH : integer := 5
);
port (
FILESYS_AXI_ACLK : in std_logic;
FILESYS_AXI_ARESETN : in std_logic;
FILESYS_AXI_AWADDR : in std_logic_vector(C_FILESYS_AXI_ADDR_WIDTH-1 downto 0);
FILESYS_AXI_AWPROT : in std_logic_vector(2 downto 0);
FILESYS_AXI_AWVALID : in std_logic;
FILESYS_AXI_AWREADY : out std_logic;
FILESYS_AXI_WDATA : in std_logic_vector(C_FILESYS_AXI_DATA_WIDTH-1 downto 0);
FILESYS_AXI_WSTRB : in std_logic_vector((C_FILESYS_AXI_DATA_WIDTH/8)-1 downto 0);
FILESYS_AXI_WVALID : in std_logic;
FILESYS_AXI_WREADY : out std_logic;
FILESYS_AXI_BRESP : out std_logic_vector(1 downto 0);
FILESYS_AXI_BVALID : out std_logic;
FILESYS_AXI_BREADY : in std_logic;
FILESYS_AXI_ARADDR : in std_logic_vector(C_FILESYS_AXI_ADDR_WIDTH-1 downto 0);
FILESYS_AXI_ARPROT : in std_logic_vector(2 downto 0);
FILESYS_AXI_ARVALID : in std_logic;
FILESYS_AXI_ARREADY : out std_logic;
FILESYS_AXI_RDATA : out std_logic_vector(C_FILESYS_AXI_DATA_WIDTH-1 downto 0);
FILESYS_AXI_RRESP : out std_logic_vector(1 downto 0);
FILESYS_AXI_RVALID : out std_logic;
FILESYS_AXI_RREADY : in std_logic
);
end component;
signal PCNTR : std_logic_vector(15 downto 0) := X"0000";
signal STATUS : std_logic_vector(15 downto 0) := X"0000";
signal MODE : std_logic_vector(15 downto 0) := X"0000";
signal HWVER : std_logic_vector(15 downto 0) := X"0100";
signal SWVER : std_logic_vector(15 downto 0) := X"0000";
begin
--the master interface goes to DDR3 memory
--it needs mode signal to disable reading or writing memory in certain modes
ABus2AXI4Lite_Master_AXI_inst : ABus2AXI4Lite_Master_AXI
generic map (
C_MASTER_TARGET_SLAVE_BASE_ADDR => C_MASTER_AXI_TARGET_SLAVE_BASE_ADDR,
C_MASTER_AXI_ADDR_WIDTH => C_MASTER_AXI_ADDR_WIDTH,
C_MASTER_AXI_DATA_WIDTH => C_MASTER_AXI_DATA_WIDTH
)
port map (
abus_address => abus_address,
abus_data_in => abus_data_in,
abus_data_out => abus_data_out,
abus_data_direction => abus_data_direction,
abus_chipselect => abus_chipselect,
abus_read => abus_read,
abus_write => abus_write,
abus_wait => abus_wait,
abus_wait_direction => abus_wait_direction,
abus_irq => abus_irq,
abus_irq_direction => abus_irq_direction,
abus_reset => abus_reset,
MASTER_AXI_ACLK => master_axi_aclk,
MASTER_AXI_ARESETN => master_axi_aresetn,
MASTER_AXI_AWADDR => master_axi_awaddr,
MASTER_AXI_AWPROT => master_axi_awprot,
MASTER_AXI_AWVALID => master_axi_awvalid,
MASTER_AXI_AWREADY => master_axi_awready,
MASTER_AXI_WDATA => master_axi_wdata,
MASTER_AXI_WSTRB => master_axi_wstrb,
MASTER_AXI_WVALID => master_axi_wvalid,
MASTER_AXI_WREADY => master_axi_wready,
MASTER_AXI_BRESP => master_axi_bresp,
MASTER_AXI_BVALID => master_axi_bvalid,
MASTER_AXI_BREADY => master_axi_bready,
MASTER_AXI_ARADDR => master_axi_araddr,
MASTER_AXI_ARPROT => master_axi_arprot,
MASTER_AXI_ARVALID => master_axi_arvalid,
MASTER_AXI_ARREADY => master_axi_arready,
MASTER_AXI_RDATA => master_axi_rdata,
MASTER_AXI_RRESP => master_axi_rresp,
MASTER_AXI_RVALID => master_axi_rvalid,
MASTER_AXI_RREADY => master_axi_rready
);
ABus2AXI4Lite_Slave_AXI_inst : ABus2AXI4Lite_Slave_AXI
generic map (
C_SLAVE_AXI_ADDR_WIDTH => C_SLAVE_AXI_ADDR_WIDTH,
C_SLAVE_AXI_DATA_WIDTH => C_SLAVE_AXI_DATA_WIDTH
)
port map(
PCNTR => PCNTR,
STATUS => STATUS,
MODE => MODE,
HWVER => HWVER,
SWVER => SWVER,
SLAVE_AXI_ACLK => slave_axi_aclk,
SLAVE_AXI_ARESETN => slave_axi_aresetn,
SLAVE_AXI_AWADDR => slave_axi_awaddr,
SLAVE_AXI_AWPROT => slave_axi_awprot,
SLAVE_AXI_AWVALID => slave_axi_awvalid,
SLAVE_AXI_AWREADY => slave_axi_awready,
SLAVE_AXI_WDATA => slave_axi_wdata,
SLAVE_AXI_WSTRB => slave_axi_wstrb,
SLAVE_AXI_WVALID => slave_axi_wvalid,
SLAVE_AXI_WREADY => slave_axi_wready,
SLAVE_AXI_BRESP => slave_axi_bresp,
SLAVE_AXI_BVALID => slave_axi_bvalid,
SLAVE_AXI_BREADY => slave_axi_bready,
SLAVE_AXI_ARADDR => slave_axi_araddr,
SLAVE_AXI_ARPROT => slave_axi_arprot,
SLAVE_AXI_ARVALID => slave_axi_arvalid,
SLAVE_AXI_ARREADY => slave_axi_arready,
SLAVE_AXI_RDATA => slave_axi_rdata,
SLAVE_AXI_RRESP => slave_axi_rresp,
SLAVE_AXI_RVALID => slave_axi_rvalid,
SLAVE_AXI_RREADY => slave_axi_rready
);
--ABus2AXI4Lite_Filesys_AXI_inst : ABus2AXI4Lite_Filesys_regs_AXI
-- generic map (
-- C_FILESYS_AXI_ADDR_WIDTH => C_FILESYS_AXI_ADDR_WIDTH,
-- C_FILESYS_AXI_DATA_WIDTH => C_FILESYS_AXI_DATA_WIDTH
-- )
-- port map(
-- FILESYS_AXI_ACLK => filesys_axi_aclk,
-- FILESYS_AXI_ARESETN => filesys_axi_aresetn,
-- FILESYS_AXI_AWADDR => filesys_axi_awaddr,
-- FILESYS_AXI_AWPROT => filesys_axi_awprot,
-- FILESYS_AXI_AWVALID => filesys_axi_awvalid,
-- FILESYS_AXI_AWREADY => filesys_axi_awready,
-- FILESYS_AXI_WDATA => filesys_axi_wdata,
-- FILESYS_AXI_WSTRB => filesys_axi_wstrb,
-- FILESYS_AXI_WVALID => filesys_axi_wvalid,
-- FILESYS_AXI_WREADY => filesys_axi_wready,
-- FILESYS_AXI_BRESP => filesys_axi_bresp,
-- FILESYS_AXI_BVALID => filesys_axi_bvalid,
-- FILESYS_AXI_BREADY => filesys_axi_bready,
-- FILESYS_AXI_ARADDR => filesys_axi_araddr,
-- FILESYS_AXI_ARPROT => filesys_axi_arprot,
-- FILESYS_AXI_ARVALID => filesys_axi_arvalid,
-- FILESYS_AXI_ARREADY => filesys_axi_arready,
-- FILESYS_AXI_RDATA => filesys_axi_rdata,
-- FILESYS_AXI_RRESP => filesys_axi_rresp,
-- FILESYS_AXI_RVALID => filesys_axi_rvalid,
-- FILESYS_AXI_RREADY => filesys_axi_rready
-- );
-- Add user logic here
-- User logic ends
end arch_imp;
| gpl-2.0 |
cafe-alpha/wasca | fpga_firmware/wasca_toplevel.vhd | 1 | 15410 | -- wasca.vhd
-- Generated using ACDS version 14.1 186 at 2015.05.28.08:37:08
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca_toplevel is
port (
clk_clk : in std_logic := '0'; -- clk.clk
external_sdram_controller_wire_addr : out std_logic_vector(12 downto 0); -- external_sdram_controller_wire.addr
external_sdram_controller_wire_ba : out std_logic_vector(1 downto 0); -- .ba
external_sdram_controller_wire_cas_n : out std_logic; -- .cas_n
external_sdram_controller_wire_cke : out std_logic; -- .cke
external_sdram_controller_wire_cs_n : out std_logic; -- .cs_n
external_sdram_controller_wire_dq : inout std_logic_vector(15 downto 0) := (others => '0'); -- .dq
external_sdram_controller_wire_dqm : out std_logic_vector(1 downto 0); -- .dqm
external_sdram_controller_wire_ras_n : out std_logic; -- .ras_n
external_sdram_controller_wire_we_n : out std_logic; -- .we_n
external_sdram_controller_wire_clk : out std_logic; -- .clk
reset_reset_n : in std_logic := '0'; -- reset.reset_n
sega_saturn_abus_slave_0_abus_address : in std_logic_vector(25 downto 16) := (others => '0'); -- sega_saturn_abus_slave_0_abus.address
sega_saturn_abus_slave_0_abus_addressdata : inout std_logic_vector(15 downto 0) := (others => '0'); -- .data
sega_saturn_abus_slave_0_abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
sega_saturn_abus_slave_0_abus_read : in std_logic := '0'; -- .read
sega_saturn_abus_slave_0_abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write
sega_saturn_abus_slave_0_abus_waitrequest : out std_logic; -- .waitrequest
sega_saturn_abus_slave_0_abus_interrupt : out std_logic := '0'; -- .interrupt
sega_saturn_abus_slave_0_abus_disableout : out std_logic := '0'; -- .muxing
sega_saturn_abus_slave_0_abus_muxing : out std_logic_vector(1 downto 0) := (others => '0'); -- .muxing
sega_saturn_abus_slave_0_abus_direction : out std_logic := '0'; -- .direction
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_cmd : inout std_logic := 'X'; -- b_SD_cmd
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat : inout std_logic := 'X'; -- b_SD_dat
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat3 : inout std_logic := 'X'; -- b_SD_dat3
altera_up_sd_card_avalon_interface_0_conduit_end_o_SD_clock : out std_logic ; -- o_SD_clock
uart_0_external_connection_txd : out std_logic := '0' ;
spi_stm32_MISO : in std_logic; -- MISO
spi_stm32_MOSI : out std_logic := '0'; -- MOSI
spi_stm32_SCLK : out std_logic := '0'; -- SCLK
spi_stm32_SS_n : out std_logic := '0'; -- SS_n
audio_out_BCLK : in std_logic := '0'; -- BCLK
audio_out_DACDAT : out std_logic; -- DACDAT
audio_out_DACLRCK : in std_logic := '0'; -- DACLRCK
audio_SSEL : out std_logic := '0'
);
end entity wasca_toplevel;
architecture rtl of wasca_toplevel is
component wasca is
port (
abus_avalon_sdram_bridge_0_abus_address : in std_logic_vector(9 downto 0) := (others => 'Z'); -- address
abus_avalon_sdram_bridge_0_abus_read : in std_logic := 'Z'; -- read
abus_avalon_sdram_bridge_0_abus_waitrequest : out std_logic; -- waitrequest
abus_avalon_sdram_bridge_0_abus_addressdata : inout std_logic_vector(15 downto 0) := (others => 'Z'); -- addressdata
abus_avalon_sdram_bridge_0_abus_chipselect : in std_logic_vector(2 downto 0) := (others => 'Z'); -- chipselect
abus_avalon_sdram_bridge_0_abus_direction : out std_logic; -- direction
abus_avalon_sdram_bridge_0_abus_disable_out : out std_logic; -- disable_out
abus_avalon_sdram_bridge_0_abus_interrupt : out std_logic; -- interrupt
abus_avalon_sdram_bridge_0_abus_muxing : out std_logic_vector(1 downto 0); -- muxing
abus_avalon_sdram_bridge_0_abus_writebyteenable_n : in std_logic_vector(1 downto 0) := (others => 'Z'); -- writebyteenable_n
abus_avalon_sdram_bridge_0_abus_reset : in std_logic := 'Z'; -- reset
abus_avalon_sdram_bridge_0_sdram_addr : out std_logic_vector(12 downto 0); -- addr
abus_avalon_sdram_bridge_0_sdram_ba : out std_logic_vector(1 downto 0); -- ba
abus_avalon_sdram_bridge_0_sdram_cas_n : out std_logic; -- cas_n
abus_avalon_sdram_bridge_0_sdram_cke : out std_logic; -- cke
abus_avalon_sdram_bridge_0_sdram_cs_n : out std_logic; -- cs_n
abus_avalon_sdram_bridge_0_sdram_dq : inout std_logic_vector(15 downto 0) := (others => 'Z'); -- dq
abus_avalon_sdram_bridge_0_sdram_dqm : out std_logic_vector(1 downto 0); -- dqm
abus_avalon_sdram_bridge_0_sdram_ras_n : out std_logic; -- ras_n
abus_avalon_sdram_bridge_0_sdram_we_n : out std_logic; -- we_n
abus_avalon_sdram_bridge_0_sdram_clk : out std_logic; -- clk
audio_out_BCLK : in std_logic := 'Z'; -- BCLK
audio_out_DACDAT : out std_logic; -- DACDAT
audio_out_DACLRCK : in std_logic := 'Z'; -- DACLRCK
clk_clk : in std_logic := 'Z'; -- clk
clock_116_mhz_clk : out std_logic; -- clk
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_cmd : inout std_logic := 'Z'; -- b_SD_cmd
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat : inout std_logic := 'Z'; -- b_SD_dat
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat3 : inout std_logic := 'Z'; -- b_SD_dat3
altera_up_sd_card_avalon_interface_0_conduit_end_o_SD_clock : out std_logic ; -- o_SD_clock
buffered_spi_miso : in std_logic; -- MISO
buffered_spi_mosi : out std_logic := 'Z'; -- MOSI
buffered_spi_clk : out std_logic := 'Z'; -- SCLK
buffered_spi_cs : out std_logic := 'Z'; -- SS_n
uart_0_external_connection_rxd : in std_logic := 'Z'; -- rxd
uart_0_external_connection_txd : out std_logic; -- txd
reset_reset_n : in std_logic := 'Z'; -- reset_n
reset_controller_0_reset_in1_reset : in std_logic := 'Z' ; -- reset
altpll_1_areset_conduit_export : in std_logic := 'Z'; -- export
altpll_1_locked_conduit_export : out std_logic; -- export
altpll_1_phasedone_conduit_export : out std_logic -- export
);
end component;
signal altpll_1_areset_conduit_export : std_logic := '0';
signal altpll_1_locked_conduit_export : std_logic := '0';
signal altpll_1_phasedone_conduit_export : std_logic := '0';
--signal sega_saturn_abus_slave_0_abus_address_demuxed : std_logic_vector(25 downto 0) := (others => '0');
--signal sega_saturn_abus_slave_0_abus_data_demuxed : std_logic_vector(15 downto 0) := (others => '0');
signal clock_116_mhz : std_logic := '0';
signal por_counter : unsigned(31 downto 0) := (others => '0');
signal por_reset : std_logic := '0';
signal por_reset_n : std_logic := '0';
begin
--sega_saturn_abus_slave_0_abus_muxing (0) <= not sega_saturn_abus_slave_0_abus_muxing(1);
external_sdram_controller_wire_clk <= not clock_116_mhz;
my_little_wasca : component wasca
port map (
clk_clk => clk_clk,
clock_116_mhz_clk => clock_116_mhz,
abus_avalon_sdram_bridge_0_sdram_addr => external_sdram_controller_wire_addr,
abus_avalon_sdram_bridge_0_sdram_ba => external_sdram_controller_wire_ba,
abus_avalon_sdram_bridge_0_sdram_cas_n => external_sdram_controller_wire_cas_n,
abus_avalon_sdram_bridge_0_sdram_cke => external_sdram_controller_wire_cke,
abus_avalon_sdram_bridge_0_sdram_cs_n => external_sdram_controller_wire_cs_n,
abus_avalon_sdram_bridge_0_sdram_dq => external_sdram_controller_wire_dq,
abus_avalon_sdram_bridge_0_sdram_dqm => external_sdram_controller_wire_dqm,
abus_avalon_sdram_bridge_0_sdram_ras_n => external_sdram_controller_wire_ras_n,
abus_avalon_sdram_bridge_0_sdram_we_n => external_sdram_controller_wire_we_n,
abus_avalon_sdram_bridge_0_abus_address => sega_saturn_abus_slave_0_abus_address,
abus_avalon_sdram_bridge_0_abus_chipselect => "1"&sega_saturn_abus_slave_0_abus_chipselect(1 downto 0),--work only with CS1 and CS0 for now
abus_avalon_sdram_bridge_0_abus_read => sega_saturn_abus_slave_0_abus_read,
abus_avalon_sdram_bridge_0_abus_writebyteenable_n => sega_saturn_abus_slave_0_abus_write,
abus_avalon_sdram_bridge_0_abus_waitrequest => sega_saturn_abus_slave_0_abus_waitrequest,
abus_avalon_sdram_bridge_0_abus_interrupt => sega_saturn_abus_slave_0_abus_interrupt,
abus_avalon_sdram_bridge_0_abus_addressdata => sega_saturn_abus_slave_0_abus_addressdata,
abus_avalon_sdram_bridge_0_abus_direction => sega_saturn_abus_slave_0_abus_direction,
abus_avalon_sdram_bridge_0_abus_muxing => sega_saturn_abus_slave_0_abus_muxing,
abus_avalon_sdram_bridge_0_abus_disable_out => sega_saturn_abus_slave_0_abus_disableout,
abus_avalon_sdram_bridge_0_abus_reset => reset_reset_n,
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat3 => altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat3,
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat => altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat,
altera_up_sd_card_avalon_interface_0_conduit_end_o_SD_clock => altera_up_sd_card_avalon_interface_0_conduit_end_o_SD_clock,
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_cmd => altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_cmd,
altpll_1_areset_conduit_export => altpll_1_areset_conduit_export,
altpll_1_locked_conduit_export => altpll_1_locked_conduit_export,
altpll_1_phasedone_conduit_export => altpll_1_phasedone_conduit_export,
uart_0_external_connection_rxd => '0',
uart_0_external_connection_txd => uart_0_external_connection_txd,
buffered_spi_miso => spi_stm32_MISO,
buffered_spi_mosi => spi_stm32_MOSI,
buffered_spi_clk => spi_stm32_SCLK,
buffered_spi_cs => spi_stm32_SS_n,
audio_out_BCLK => audio_out_BCLK,
audio_out_DACDAT => audio_out_DACDAT,
audio_out_DACLRCK => audio_out_DACLRCK,
reset_reset_n => por_reset_n,
reset_controller_0_reset_in1_reset => por_reset
);
--empty subsystem
-- external_sdram_controller_wire_addr <= (others => 'Z');
-- external_sdram_controller_wire_ba <= (others => 'Z');
-- external_sdram_controller_wire_cas_n <= (others => 'Z');
-- external_sdram_controller_wire_cke <= (others => 'Z');
-- external_sdram_controller_wire_cs_n <= (others => 'Z');
-- external_sdram_controller_wire_dq <= (others => 'Z');
-- external_sdram_controller_wire_dqm <= (others => 'Z');
-- external_sdram_controller_wire_ras_n <= (others => 'Z');
-- external_sdram_controller_wire_we_n <= (others => 'Z');
-- external_sdram_controller_wire_clk <= (others => 'Z');
-- sega_saturn_abus_slave_0_abus_addressdata <= (others => 'Z');
-- sega_saturn_abus_slave_0_abus_waitrequest <= (others => 'Z');
-- sega_saturn_abus_slave_0_abus_interrupt <= (others => 'Z');
-- sega_saturn_abus_slave_0_abus_disableout <= '1';
-- sega_saturn_abus_slave_0_abus_muxing <= "00";
-- sega_saturn_abus_slave_0_abus_direction <= '0';
-- spi_sd_card_MOSI <= 'Z';
-- spi_sd_card_SCLK <= 'Z';
-- spi_sd_card_SS_n <= 'Z';
-- uart_0_external_connection_txd <= 'Z';
-- spi_stm32_MISO <= 'Z';
-- audio_out_DACDAT <= 'Z';
audio_SSEL <= '1';
--sega_saturn_abus_slave_0_abus_waitrequest <= '1';
--sega_saturn_abus_slave_0_abus_direction <= '0';
--sega_saturn_abus_slave_0_abus_muxing <= "01";
--por
process (clock_116_mhz)
begin
if std_logic(por_counter(24)) = '0' then
por_counter <= por_counter + 1;
end if;
end process;
por_reset <= (std_logic(por_counter(22)));
por_reset_n <= not (std_logic(por_counter(22)));
end architecture rtl; -- of wasca_toplevel
| gpl-2.0 |
cafe-alpha/wasca | v12/fpga_firmware/wasca/synthesis/wasca.vhd | 6 | 118138 | -- wasca.vhd
-- Generated using ACDS version 15.0 145
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca is
port (
altpll_0_areset_conduit_export : in std_logic := '0'; -- altpll_0_areset_conduit.export
altpll_0_locked_conduit_export : out std_logic; -- altpll_0_locked_conduit.export
altpll_0_phasedone_conduit_export : out std_logic; -- altpll_0_phasedone_conduit.export
audio_out_BCLK : in std_logic := '0'; -- audio_out.BCLK
audio_out_DACDAT : out std_logic; -- .DACDAT
audio_out_DACLRCK : in std_logic := '0'; -- .DACLRCK
clk_clk : in std_logic := '0'; -- clk.clk
clock_116_mhz_clk : out std_logic; -- clock_116_mhz.clk
external_sdram_controller_wire_addr : out std_logic_vector(12 downto 0); -- external_sdram_controller_wire.addr
external_sdram_controller_wire_ba : out std_logic_vector(1 downto 0); -- .ba
external_sdram_controller_wire_cas_n : out std_logic; -- .cas_n
external_sdram_controller_wire_cke : out std_logic; -- .cke
external_sdram_controller_wire_cs_n : out std_logic; -- .cs_n
external_sdram_controller_wire_dq : inout std_logic_vector(15 downto 0) := (others => '0'); -- .dq
external_sdram_controller_wire_dqm : out std_logic_vector(1 downto 0); -- .dqm
external_sdram_controller_wire_ras_n : out std_logic; -- .ras_n
external_sdram_controller_wire_we_n : out std_logic; -- .we_n
sega_saturn_abus_slave_0_abus_address : in std_logic_vector(9 downto 0) := (others => '0'); -- sega_saturn_abus_slave_0_abus.address
sega_saturn_abus_slave_0_abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
sega_saturn_abus_slave_0_abus_read : in std_logic := '0'; -- .read
sega_saturn_abus_slave_0_abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write
sega_saturn_abus_slave_0_abus_waitrequest : out std_logic; -- .waitrequest
sega_saturn_abus_slave_0_abus_interrupt : out std_logic; -- .interrupt
sega_saturn_abus_slave_0_abus_addressdata : inout std_logic_vector(15 downto 0) := (others => '0'); -- .addressdata
sega_saturn_abus_slave_0_abus_direction : out std_logic; -- .direction
sega_saturn_abus_slave_0_abus_muxing : out std_logic_vector(1 downto 0); -- .muxing
sega_saturn_abus_slave_0_abus_disableout : out std_logic; -- .disableout
sega_saturn_abus_slave_0_conduit_saturn_reset_saturn_reset : in std_logic := '0'; -- sega_saturn_abus_slave_0_conduit_saturn_reset.saturn_reset
spi_sd_card_MISO : in std_logic := '0'; -- spi_sd_card.MISO
spi_sd_card_MOSI : out std_logic; -- .MOSI
spi_sd_card_SCLK : out std_logic; -- .SCLK
spi_sd_card_SS_n : out std_logic; -- .SS_n
spi_stm32_MISO : out std_logic; -- spi_stm32.MISO
spi_stm32_MOSI : in std_logic := '0'; -- .MOSI
spi_stm32_SCLK : in std_logic := '0'; -- .SCLK
spi_stm32_SS_n : in std_logic := '0'; -- .SS_n
uart_0_external_connection_rxd : in std_logic := '0'; -- uart_0_external_connection.rxd
uart_0_external_connection_txd : out std_logic -- .txd
);
end entity wasca;
architecture rtl of wasca is
component wasca_altpll_0 is
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
read : in std_logic := 'X'; -- read
write : in std_logic := 'X'; -- write
address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
readdata : out std_logic_vector(31 downto 0); -- readdata
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
c0 : out std_logic; -- clk
areset : in std_logic := 'X'; -- export
c1 : out std_logic; -- export
locked : out std_logic; -- export
phasedone : out std_logic -- export
);
end component wasca_altpll_0;
component wasca_audio_0 is
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
chipselect : in std_logic := 'X'; -- chipselect
read : in std_logic := 'X'; -- read
write : in std_logic := 'X'; -- write
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
readdata : out std_logic_vector(31 downto 0); -- readdata
irq : out std_logic; -- irq
AUD_BCLK : in std_logic := 'X'; -- export
AUD_DACDAT : out std_logic; -- export
AUD_DACLRCK : in std_logic := 'X' -- export
);
end component wasca_audio_0;
component wasca_external_sdram_controller is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
az_addr : in std_logic_vector(23 downto 0) := (others => 'X'); -- address
az_be_n : in std_logic_vector(1 downto 0) := (others => 'X'); -- byteenable_n
az_cs : in std_logic := 'X'; -- chipselect
az_data : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
az_rd_n : in std_logic := 'X'; -- read_n
az_wr_n : in std_logic := 'X'; -- write_n
za_data : out std_logic_vector(15 downto 0); -- readdata
za_valid : out std_logic; -- readdatavalid
za_waitrequest : out std_logic; -- waitrequest
zs_addr : out std_logic_vector(12 downto 0); -- export
zs_ba : out std_logic_vector(1 downto 0); -- export
zs_cas_n : out std_logic; -- export
zs_cke : out std_logic; -- export
zs_cs_n : out std_logic; -- export
zs_dq : inout std_logic_vector(15 downto 0) := (others => 'X'); -- export
zs_dqm : out std_logic_vector(1 downto 0); -- export
zs_ras_n : out std_logic; -- export
zs_we_n : out std_logic -- export
);
end component wasca_external_sdram_controller;
component wasca_nios2_gen2_0 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
d_address : out std_logic_vector(26 downto 0); -- address
d_byteenable : out std_logic_vector(3 downto 0); -- byteenable
d_read : out std_logic; -- read
d_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
d_waitrequest : in std_logic := 'X'; -- waitrequest
d_write : out std_logic; -- write
d_writedata : out std_logic_vector(31 downto 0); -- writedata
debug_mem_slave_debugaccess_to_roms : out std_logic; -- debugaccess
i_address : out std_logic_vector(26 downto 0); -- address
i_read : out std_logic; -- read
i_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
i_waitrequest : in std_logic := 'X'; -- waitrequest
irq : in std_logic_vector(31 downto 0) := (others => 'X'); -- irq
debug_reset_request : out std_logic; -- reset
debug_mem_slave_address : in std_logic_vector(8 downto 0) := (others => 'X'); -- address
debug_mem_slave_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
debug_mem_slave_debugaccess : in std_logic := 'X'; -- debugaccess
debug_mem_slave_read : in std_logic := 'X'; -- read
debug_mem_slave_readdata : out std_logic_vector(31 downto 0); -- readdata
debug_mem_slave_waitrequest : out std_logic; -- waitrequest
debug_mem_slave_write : in std_logic := 'X'; -- write
debug_mem_slave_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
dummy_ci_port : out std_logic -- readra
);
end component wasca_nios2_gen2_0;
component altera_onchip_flash is
generic (
INIT_FILENAME : string := "";
INIT_FILENAME_SIM : string := "";
DEVICE_FAMILY : string := "Unknown";
PART_NAME : string := "Unknown";
DEVICE_ID : string := "Unknown";
SECTOR1_START_ADDR : integer := 0;
SECTOR1_END_ADDR : integer := 0;
SECTOR2_START_ADDR : integer := 0;
SECTOR2_END_ADDR : integer := 0;
SECTOR3_START_ADDR : integer := 0;
SECTOR3_END_ADDR : integer := 0;
SECTOR4_START_ADDR : integer := 0;
SECTOR4_END_ADDR : integer := 0;
SECTOR5_START_ADDR : integer := 0;
SECTOR5_END_ADDR : integer := 0;
MIN_VALID_ADDR : integer := 0;
MAX_VALID_ADDR : integer := 0;
MIN_UFM_VALID_ADDR : integer := 0;
MAX_UFM_VALID_ADDR : integer := 0;
SECTOR1_MAP : integer := 0;
SECTOR2_MAP : integer := 0;
SECTOR3_MAP : integer := 0;
SECTOR4_MAP : integer := 0;
SECTOR5_MAP : integer := 0;
ADDR_RANGE1_END_ADDR : integer := 0;
ADDR_RANGE1_OFFSET : integer := 0;
ADDR_RANGE2_OFFSET : integer := 0;
AVMM_DATA_ADDR_WIDTH : integer := 19;
AVMM_DATA_DATA_WIDTH : integer := 32;
AVMM_DATA_BURSTCOUNT_WIDTH : integer := 4;
SECTOR_READ_PROTECTION_MODE : integer := 31;
FLASH_SEQ_READ_DATA_COUNT : integer := 2;
FLASH_ADDR_ALIGNMENT_BITS : integer := 1;
FLASH_READ_CYCLE_MAX_INDEX : integer := 4;
FLASH_RESET_CYCLE_MAX_INDEX : integer := 29;
FLASH_BUSY_TIMEOUT_CYCLE_MAX_INDEX : integer := 112;
FLASH_ERASE_TIMEOUT_CYCLE_MAX_INDEX : integer := 40603248;
FLASH_WRITE_TIMEOUT_CYCLE_MAX_INDEX : integer := 35382;
PARALLEL_MODE : boolean := true;
READ_AND_WRITE_MODE : boolean := true;
WRAPPING_BURST_MODE : boolean := false;
IS_DUAL_BOOT : string := "False";
IS_ERAM_SKIP : string := "False";
IS_COMPRESSED_IMAGE : string := "False"
);
port (
clock : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
avmm_data_addr : in std_logic_vector(15 downto 0) := (others => 'X'); -- address
avmm_data_read : in std_logic := 'X'; -- read
avmm_data_readdata : out std_logic_vector(31 downto 0); -- readdata
avmm_data_waitrequest : out std_logic; -- waitrequest
avmm_data_readdatavalid : out std_logic; -- readdatavalid
avmm_data_burstcount : in std_logic_vector(3 downto 0) := (others => 'X'); -- burstcount
avmm_data_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
avmm_data_write : in std_logic := 'X'; -- write
avmm_csr_addr : in std_logic := 'X'; -- address
avmm_csr_read : in std_logic := 'X'; -- read
avmm_csr_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
avmm_csr_write : in std_logic := 'X'; -- write
avmm_csr_readdata : out std_logic_vector(31 downto 0) -- readdata
);
end component altera_onchip_flash;
component wasca_onchip_memory2_0 is
port (
clk : in std_logic := 'X'; -- clk
address : in std_logic_vector(11 downto 0) := (others => 'X'); -- address
clken : in std_logic := 'X'; -- clken
chipselect : in std_logic := 'X'; -- chipselect
write : in std_logic := 'X'; -- write
readdata : out std_logic_vector(31 downto 0); -- readdata
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
reset : in std_logic := 'X'; -- reset
reset_req : in std_logic := 'X' -- reset_req
);
end component wasca_onchip_memory2_0;
component sega_saturn_abus_slave is
port (
clock : in std_logic := 'X'; -- clk
abus_address : in std_logic_vector(9 downto 0) := (others => 'X'); -- address
abus_chipselect : in std_logic_vector(2 downto 0) := (others => 'X'); -- chipselect
abus_read : in std_logic := 'X'; -- read
abus_write : in std_logic_vector(1 downto 0) := (others => 'X'); -- write
abus_waitrequest : out std_logic; -- waitrequest
abus_interrupt : out std_logic; -- interrupt
abus_addressdata : inout std_logic_vector(15 downto 0) := (others => 'X'); -- addressdata
abus_direction : out std_logic; -- direction
abus_muxing : out std_logic_vector(1 downto 0); -- muxing
abus_disable_out : out std_logic; -- disableout
avalon_read : out std_logic; -- read
avalon_write : out std_logic; -- write
avalon_waitrequest : in std_logic := 'X'; -- waitrequest
avalon_address : out std_logic_vector(27 downto 0); -- address
avalon_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
avalon_writedata : out std_logic_vector(15 downto 0); -- writedata
avalon_readdatavalid : in std_logic := 'X'; -- readdatavalid
avalon_burstcount : out std_logic; -- burstcount
reset : in std_logic := 'X'; -- reset
saturn_reset : in std_logic := 'X'; -- saturn_reset
avalon_nios_read : in std_logic := 'X'; -- read
avalon_nios_write : in std_logic := 'X'; -- write
avalon_nios_address : in std_logic_vector(7 downto 0) := (others => 'X'); -- address
avalon_nios_writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
avalon_nios_readdata : out std_logic_vector(15 downto 0); -- readdata
avalon_nios_waitrequest : out std_logic; -- waitrequest
avalon_nios_readdatavalid : out std_logic; -- readdatavalid
avalon_nios_burstcount : in std_logic := 'X' -- burstcount
);
end component sega_saturn_abus_slave;
component wasca_spi_sd_card is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
data_from_cpu : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
data_to_cpu : out std_logic_vector(15 downto 0); -- readdata
mem_addr : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
read_n : in std_logic := 'X'; -- read_n
spi_select : in std_logic := 'X'; -- chipselect
write_n : in std_logic := 'X'; -- write_n
irq : out std_logic; -- irq
MISO : in std_logic := 'X'; -- export
MOSI : out std_logic; -- export
SCLK : out std_logic; -- export
SS_n : out std_logic -- export
);
end component wasca_spi_sd_card;
component wasca_spi_stm32 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
data_from_cpu : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
data_to_cpu : out std_logic_vector(15 downto 0); -- readdata
mem_addr : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
read_n : in std_logic := 'X'; -- read_n
spi_select : in std_logic := 'X'; -- chipselect
write_n : in std_logic := 'X'; -- write_n
irq : out std_logic; -- irq
MISO : out std_logic; -- export
MOSI : in std_logic := 'X'; -- export
SCLK : in std_logic := 'X'; -- export
SS_n : in std_logic := 'X' -- export
);
end component wasca_spi_stm32;
component wasca_uart_0 is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address
begintransfer : in std_logic := 'X'; -- begintransfer
chipselect : in std_logic := 'X'; -- chipselect
read_n : in std_logic := 'X'; -- read_n
write_n : in std_logic := 'X'; -- write_n
writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
readdata : out std_logic_vector(15 downto 0); -- readdata
dataavailable : out std_logic; -- dataavailable
readyfordata : out std_logic; -- readyfordata
rxd : in std_logic := 'X'; -- export
txd : out std_logic; -- export
irq : out std_logic -- irq
);
end component wasca_uart_0;
component wasca_mm_interconnect_0 is
port (
altpll_0_c0_clk : in std_logic := 'X'; -- clk
clk_0_clk_clk : in std_logic := 'X'; -- clk
altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset
sega_saturn_abus_slave_0_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset
nios2_gen2_0_data_master_address : in std_logic_vector(26 downto 0) := (others => 'X'); -- address
nios2_gen2_0_data_master_waitrequest : out std_logic; -- waitrequest
nios2_gen2_0_data_master_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
nios2_gen2_0_data_master_read : in std_logic := 'X'; -- read
nios2_gen2_0_data_master_readdata : out std_logic_vector(31 downto 0); -- readdata
nios2_gen2_0_data_master_write : in std_logic := 'X'; -- write
nios2_gen2_0_data_master_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
nios2_gen2_0_data_master_debugaccess : in std_logic := 'X'; -- debugaccess
nios2_gen2_0_instruction_master_address : in std_logic_vector(26 downto 0) := (others => 'X'); -- address
nios2_gen2_0_instruction_master_waitrequest : out std_logic; -- waitrequest
nios2_gen2_0_instruction_master_read : in std_logic := 'X'; -- read
nios2_gen2_0_instruction_master_readdata : out std_logic_vector(31 downto 0); -- readdata
sega_saturn_abus_slave_0_avalon_master_address : in std_logic_vector(27 downto 0) := (others => 'X'); -- address
sega_saturn_abus_slave_0_avalon_master_waitrequest : out std_logic; -- waitrequest
sega_saturn_abus_slave_0_avalon_master_burstcount : in std_logic_vector(0 downto 0) := (others => 'X'); -- burstcount
sega_saturn_abus_slave_0_avalon_master_read : in std_logic := 'X'; -- read
sega_saturn_abus_slave_0_avalon_master_readdata : out std_logic_vector(15 downto 0); -- readdata
sega_saturn_abus_slave_0_avalon_master_readdatavalid : out std_logic; -- readdatavalid
sega_saturn_abus_slave_0_avalon_master_write : in std_logic := 'X'; -- write
sega_saturn_abus_slave_0_avalon_master_writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata
altpll_0_pll_slave_address : out std_logic_vector(1 downto 0); -- address
altpll_0_pll_slave_write : out std_logic; -- write
altpll_0_pll_slave_read : out std_logic; -- read
altpll_0_pll_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
altpll_0_pll_slave_writedata : out std_logic_vector(31 downto 0); -- writedata
audio_0_avalon_audio_slave_address : out std_logic_vector(1 downto 0); -- address
audio_0_avalon_audio_slave_write : out std_logic; -- write
audio_0_avalon_audio_slave_read : out std_logic; -- read
audio_0_avalon_audio_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
audio_0_avalon_audio_slave_writedata : out std_logic_vector(31 downto 0); -- writedata
audio_0_avalon_audio_slave_chipselect : out std_logic; -- chipselect
external_sdram_controller_s1_address : out std_logic_vector(23 downto 0); -- address
external_sdram_controller_s1_write : out std_logic; -- write
external_sdram_controller_s1_read : out std_logic; -- read
external_sdram_controller_s1_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
external_sdram_controller_s1_writedata : out std_logic_vector(15 downto 0); -- writedata
external_sdram_controller_s1_byteenable : out std_logic_vector(1 downto 0); -- byteenable
external_sdram_controller_s1_readdatavalid : in std_logic := 'X'; -- readdatavalid
external_sdram_controller_s1_waitrequest : in std_logic := 'X'; -- waitrequest
external_sdram_controller_s1_chipselect : out std_logic; -- chipselect
nios2_gen2_0_debug_mem_slave_address : out std_logic_vector(8 downto 0); -- address
nios2_gen2_0_debug_mem_slave_write : out std_logic; -- write
nios2_gen2_0_debug_mem_slave_read : out std_logic; -- read
nios2_gen2_0_debug_mem_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
nios2_gen2_0_debug_mem_slave_writedata : out std_logic_vector(31 downto 0); -- writedata
nios2_gen2_0_debug_mem_slave_byteenable : out std_logic_vector(3 downto 0); -- byteenable
nios2_gen2_0_debug_mem_slave_waitrequest : in std_logic := 'X'; -- waitrequest
nios2_gen2_0_debug_mem_slave_debugaccess : out std_logic; -- debugaccess
onchip_flash_0_data_address : out std_logic_vector(15 downto 0); -- address
onchip_flash_0_data_read : out std_logic; -- read
onchip_flash_0_data_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
onchip_flash_0_data_burstcount : out std_logic_vector(3 downto 0); -- burstcount
onchip_flash_0_data_readdatavalid : in std_logic := 'X'; -- readdatavalid
onchip_flash_0_data_waitrequest : in std_logic := 'X'; -- waitrequest
onchip_memory2_0_s1_address : out std_logic_vector(11 downto 0); -- address
onchip_memory2_0_s1_write : out std_logic; -- write
onchip_memory2_0_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
onchip_memory2_0_s1_writedata : out std_logic_vector(31 downto 0); -- writedata
onchip_memory2_0_s1_byteenable : out std_logic_vector(3 downto 0); -- byteenable
onchip_memory2_0_s1_chipselect : out std_logic; -- chipselect
onchip_memory2_0_s1_clken : out std_logic; -- clken
sega_saturn_abus_slave_0_avalon_nios_address : out std_logic_vector(7 downto 0); -- address
sega_saturn_abus_slave_0_avalon_nios_write : out std_logic; -- write
sega_saturn_abus_slave_0_avalon_nios_read : out std_logic; -- read
sega_saturn_abus_slave_0_avalon_nios_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
sega_saturn_abus_slave_0_avalon_nios_writedata : out std_logic_vector(15 downto 0); -- writedata
sega_saturn_abus_slave_0_avalon_nios_burstcount : out std_logic_vector(0 downto 0); -- burstcount
sega_saturn_abus_slave_0_avalon_nios_readdatavalid : in std_logic := 'X'; -- readdatavalid
sega_saturn_abus_slave_0_avalon_nios_waitrequest : in std_logic := 'X'; -- waitrequest
spi_sd_card_spi_control_port_address : out std_logic_vector(2 downto 0); -- address
spi_sd_card_spi_control_port_write : out std_logic; -- write
spi_sd_card_spi_control_port_read : out std_logic; -- read
spi_sd_card_spi_control_port_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
spi_sd_card_spi_control_port_writedata : out std_logic_vector(15 downto 0); -- writedata
spi_sd_card_spi_control_port_chipselect : out std_logic; -- chipselect
spi_stm32_spi_control_port_address : out std_logic_vector(2 downto 0); -- address
spi_stm32_spi_control_port_write : out std_logic; -- write
spi_stm32_spi_control_port_read : out std_logic; -- read
spi_stm32_spi_control_port_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
spi_stm32_spi_control_port_writedata : out std_logic_vector(15 downto 0); -- writedata
spi_stm32_spi_control_port_chipselect : out std_logic; -- chipselect
uart_0_s1_address : out std_logic_vector(2 downto 0); -- address
uart_0_s1_write : out std_logic; -- write
uart_0_s1_read : out std_logic; -- read
uart_0_s1_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata
uart_0_s1_writedata : out std_logic_vector(15 downto 0); -- writedata
uart_0_s1_begintransfer : out std_logic; -- begintransfer
uart_0_s1_chipselect : out std_logic -- chipselect
);
end component wasca_mm_interconnect_0;
component wasca_irq_mapper is
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
receiver0_irq : in std_logic := 'X'; -- irq
receiver1_irq : in std_logic := 'X'; -- irq
receiver2_irq : in std_logic := 'X'; -- irq
receiver3_irq : in std_logic := 'X'; -- irq
sender_irq : out std_logic_vector(31 downto 0) -- irq
);
end component wasca_irq_mapper;
component wasca_rst_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component wasca_rst_controller;
component wasca_rst_controller_001 is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component wasca_rst_controller_001;
signal altpll_0_c0_clk : std_logic; -- altpll_0:c0 -> [clock_116_mhz_clk, audio_0:clk, external_sdram_controller:clk, irq_mapper:clk, mm_interconnect_0:altpll_0_c0_clk, nios2_gen2_0:clk, onchip_flash_0:clock, onchip_memory2_0:clk, rst_controller_001:clk, rst_controller_002:clk, sega_saturn_abus_slave_0:clock, spi_sd_card:clk, spi_stm32:clk, uart_0:clk]
signal nios2_gen2_0_debug_reset_request_reset : std_logic; -- nios2_gen2_0:debug_reset_request -> [rst_controller:reset_in0, rst_controller_001:reset_in0, rst_controller_002:reset_in0]
signal sega_saturn_abus_slave_0_avalon_master_waitrequest : std_logic; -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_waitrequest -> sega_saturn_abus_slave_0:avalon_waitrequest
signal sega_saturn_abus_slave_0_avalon_master_readdata : std_logic_vector(15 downto 0); -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_readdata -> sega_saturn_abus_slave_0:avalon_readdata
signal sega_saturn_abus_slave_0_avalon_master_read : std_logic; -- sega_saturn_abus_slave_0:avalon_read -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_read
signal sega_saturn_abus_slave_0_avalon_master_address : std_logic_vector(27 downto 0); -- sega_saturn_abus_slave_0:avalon_address -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_address
signal sega_saturn_abus_slave_0_avalon_master_readdatavalid : std_logic; -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_readdatavalid -> sega_saturn_abus_slave_0:avalon_readdatavalid
signal sega_saturn_abus_slave_0_avalon_master_write : std_logic; -- sega_saturn_abus_slave_0:avalon_write -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_write
signal sega_saturn_abus_slave_0_avalon_master_writedata : std_logic_vector(15 downto 0); -- sega_saturn_abus_slave_0:avalon_writedata -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_writedata
signal sega_saturn_abus_slave_0_avalon_master_burstcount : std_logic; -- sega_saturn_abus_slave_0:avalon_burstcount -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_master_burstcount
signal nios2_gen2_0_data_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_data_master_readdata -> nios2_gen2_0:d_readdata
signal nios2_gen2_0_data_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_data_master_waitrequest -> nios2_gen2_0:d_waitrequest
signal nios2_gen2_0_data_master_debugaccess : std_logic; -- nios2_gen2_0:debug_mem_slave_debugaccess_to_roms -> mm_interconnect_0:nios2_gen2_0_data_master_debugaccess
signal nios2_gen2_0_data_master_address : std_logic_vector(26 downto 0); -- nios2_gen2_0:d_address -> mm_interconnect_0:nios2_gen2_0_data_master_address
signal nios2_gen2_0_data_master_byteenable : std_logic_vector(3 downto 0); -- nios2_gen2_0:d_byteenable -> mm_interconnect_0:nios2_gen2_0_data_master_byteenable
signal nios2_gen2_0_data_master_read : std_logic; -- nios2_gen2_0:d_read -> mm_interconnect_0:nios2_gen2_0_data_master_read
signal nios2_gen2_0_data_master_write : std_logic; -- nios2_gen2_0:d_write -> mm_interconnect_0:nios2_gen2_0_data_master_write
signal nios2_gen2_0_data_master_writedata : std_logic_vector(31 downto 0); -- nios2_gen2_0:d_writedata -> mm_interconnect_0:nios2_gen2_0_data_master_writedata
signal nios2_gen2_0_instruction_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_instruction_master_readdata -> nios2_gen2_0:i_readdata
signal nios2_gen2_0_instruction_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_instruction_master_waitrequest -> nios2_gen2_0:i_waitrequest
signal nios2_gen2_0_instruction_master_address : std_logic_vector(26 downto 0); -- nios2_gen2_0:i_address -> mm_interconnect_0:nios2_gen2_0_instruction_master_address
signal nios2_gen2_0_instruction_master_read : std_logic; -- nios2_gen2_0:i_read -> mm_interconnect_0:nios2_gen2_0_instruction_master_read
signal mm_interconnect_0_external_sdram_controller_s1_chipselect : std_logic; -- mm_interconnect_0:external_sdram_controller_s1_chipselect -> external_sdram_controller:az_cs
signal mm_interconnect_0_external_sdram_controller_s1_readdata : std_logic_vector(15 downto 0); -- external_sdram_controller:za_data -> mm_interconnect_0:external_sdram_controller_s1_readdata
signal mm_interconnect_0_external_sdram_controller_s1_waitrequest : std_logic; -- external_sdram_controller:za_waitrequest -> mm_interconnect_0:external_sdram_controller_s1_waitrequest
signal mm_interconnect_0_external_sdram_controller_s1_address : std_logic_vector(23 downto 0); -- mm_interconnect_0:external_sdram_controller_s1_address -> external_sdram_controller:az_addr
signal mm_interconnect_0_external_sdram_controller_s1_read : std_logic; -- mm_interconnect_0:external_sdram_controller_s1_read -> mm_interconnect_0_external_sdram_controller_s1_read:in
signal mm_interconnect_0_external_sdram_controller_s1_byteenable : std_logic_vector(1 downto 0); -- mm_interconnect_0:external_sdram_controller_s1_byteenable -> mm_interconnect_0_external_sdram_controller_s1_byteenable:in
signal mm_interconnect_0_external_sdram_controller_s1_readdatavalid : std_logic; -- external_sdram_controller:za_valid -> mm_interconnect_0:external_sdram_controller_s1_readdatavalid
signal mm_interconnect_0_external_sdram_controller_s1_write : std_logic; -- mm_interconnect_0:external_sdram_controller_s1_write -> mm_interconnect_0_external_sdram_controller_s1_write:in
signal mm_interconnect_0_external_sdram_controller_s1_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:external_sdram_controller_s1_writedata -> external_sdram_controller:az_data
signal mm_interconnect_0_onchip_flash_0_data_readdata : std_logic_vector(31 downto 0); -- onchip_flash_0:avmm_data_readdata -> mm_interconnect_0:onchip_flash_0_data_readdata
signal mm_interconnect_0_onchip_flash_0_data_waitrequest : std_logic; -- onchip_flash_0:avmm_data_waitrequest -> mm_interconnect_0:onchip_flash_0_data_waitrequest
signal mm_interconnect_0_onchip_flash_0_data_address : std_logic_vector(15 downto 0); -- mm_interconnect_0:onchip_flash_0_data_address -> onchip_flash_0:avmm_data_addr
signal mm_interconnect_0_onchip_flash_0_data_read : std_logic; -- mm_interconnect_0:onchip_flash_0_data_read -> onchip_flash_0:avmm_data_read
signal mm_interconnect_0_onchip_flash_0_data_readdatavalid : std_logic; -- onchip_flash_0:avmm_data_readdatavalid -> mm_interconnect_0:onchip_flash_0_data_readdatavalid
signal mm_interconnect_0_onchip_flash_0_data_burstcount : std_logic_vector(3 downto 0); -- mm_interconnect_0:onchip_flash_0_data_burstcount -> onchip_flash_0:avmm_data_burstcount
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata : std_logic_vector(31 downto 0); -- nios2_gen2_0:debug_mem_slave_readdata -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_readdata
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest : std_logic; -- nios2_gen2_0:debug_mem_slave_waitrequest -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_waitrequest
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_debugaccess -> nios2_gen2_0:debug_mem_slave_debugaccess
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address : std_logic_vector(8 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_address -> nios2_gen2_0:debug_mem_slave_address
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_read -> nios2_gen2_0:debug_mem_slave_read
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_byteenable -> nios2_gen2_0:debug_mem_slave_byteenable
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_write -> nios2_gen2_0:debug_mem_slave_write
signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_writedata -> nios2_gen2_0:debug_mem_slave_writedata
signal mm_interconnect_0_onchip_memory2_0_s1_chipselect : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_chipselect -> onchip_memory2_0:chipselect
signal mm_interconnect_0_onchip_memory2_0_s1_readdata : std_logic_vector(31 downto 0); -- onchip_memory2_0:readdata -> mm_interconnect_0:onchip_memory2_0_s1_readdata
signal mm_interconnect_0_onchip_memory2_0_s1_address : std_logic_vector(11 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_address -> onchip_memory2_0:address
signal mm_interconnect_0_onchip_memory2_0_s1_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_byteenable -> onchip_memory2_0:byteenable
signal mm_interconnect_0_onchip_memory2_0_s1_write : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_write -> onchip_memory2_0:write
signal mm_interconnect_0_onchip_memory2_0_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_writedata -> onchip_memory2_0:writedata
signal mm_interconnect_0_onchip_memory2_0_s1_clken : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_clken -> onchip_memory2_0:clken
signal mm_interconnect_0_audio_0_avalon_audio_slave_chipselect : std_logic; -- mm_interconnect_0:audio_0_avalon_audio_slave_chipselect -> audio_0:chipselect
signal mm_interconnect_0_audio_0_avalon_audio_slave_readdata : std_logic_vector(31 downto 0); -- audio_0:readdata -> mm_interconnect_0:audio_0_avalon_audio_slave_readdata
signal mm_interconnect_0_audio_0_avalon_audio_slave_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:audio_0_avalon_audio_slave_address -> audio_0:address
signal mm_interconnect_0_audio_0_avalon_audio_slave_read : std_logic; -- mm_interconnect_0:audio_0_avalon_audio_slave_read -> audio_0:read
signal mm_interconnect_0_audio_0_avalon_audio_slave_write : std_logic; -- mm_interconnect_0:audio_0_avalon_audio_slave_write -> audio_0:write
signal mm_interconnect_0_audio_0_avalon_audio_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:audio_0_avalon_audio_slave_writedata -> audio_0:writedata
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdata : std_logic_vector(15 downto 0); -- sega_saturn_abus_slave_0:avalon_nios_readdata -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_readdata
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_waitrequest : std_logic; -- sega_saturn_abus_slave_0:avalon_nios_waitrequest -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_waitrequest
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_address : std_logic_vector(7 downto 0); -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_address -> sega_saturn_abus_slave_0:avalon_nios_address
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_read : std_logic; -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_read -> sega_saturn_abus_slave_0:avalon_nios_read
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdatavalid : std_logic; -- sega_saturn_abus_slave_0:avalon_nios_readdatavalid -> mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_readdatavalid
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_write : std_logic; -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_write -> sega_saturn_abus_slave_0:avalon_nios_write
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_writedata -> sega_saturn_abus_slave_0:avalon_nios_writedata
signal mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_burstcount : std_logic_vector(0 downto 0); -- mm_interconnect_0:sega_saturn_abus_slave_0_avalon_nios_burstcount -> sega_saturn_abus_slave_0:avalon_nios_burstcount
signal mm_interconnect_0_altpll_0_pll_slave_readdata : std_logic_vector(31 downto 0); -- altpll_0:readdata -> mm_interconnect_0:altpll_0_pll_slave_readdata
signal mm_interconnect_0_altpll_0_pll_slave_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:altpll_0_pll_slave_address -> altpll_0:address
signal mm_interconnect_0_altpll_0_pll_slave_read : std_logic; -- mm_interconnect_0:altpll_0_pll_slave_read -> altpll_0:read
signal mm_interconnect_0_altpll_0_pll_slave_write : std_logic; -- mm_interconnect_0:altpll_0_pll_slave_write -> altpll_0:write
signal mm_interconnect_0_altpll_0_pll_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:altpll_0_pll_slave_writedata -> altpll_0:writedata
signal mm_interconnect_0_uart_0_s1_chipselect : std_logic; -- mm_interconnect_0:uart_0_s1_chipselect -> uart_0:chipselect
signal mm_interconnect_0_uart_0_s1_readdata : std_logic_vector(15 downto 0); -- uart_0:readdata -> mm_interconnect_0:uart_0_s1_readdata
signal mm_interconnect_0_uart_0_s1_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:uart_0_s1_address -> uart_0:address
signal mm_interconnect_0_uart_0_s1_read : std_logic; -- mm_interconnect_0:uart_0_s1_read -> mm_interconnect_0_uart_0_s1_read:in
signal mm_interconnect_0_uart_0_s1_begintransfer : std_logic; -- mm_interconnect_0:uart_0_s1_begintransfer -> uart_0:begintransfer
signal mm_interconnect_0_uart_0_s1_write : std_logic; -- mm_interconnect_0:uart_0_s1_write -> mm_interconnect_0_uart_0_s1_write:in
signal mm_interconnect_0_uart_0_s1_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:uart_0_s1_writedata -> uart_0:writedata
signal mm_interconnect_0_spi_sd_card_spi_control_port_chipselect : std_logic; -- mm_interconnect_0:spi_sd_card_spi_control_port_chipselect -> spi_sd_card:spi_select
signal mm_interconnect_0_spi_sd_card_spi_control_port_readdata : std_logic_vector(15 downto 0); -- spi_sd_card:data_to_cpu -> mm_interconnect_0:spi_sd_card_spi_control_port_readdata
signal mm_interconnect_0_spi_sd_card_spi_control_port_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:spi_sd_card_spi_control_port_address -> spi_sd_card:mem_addr
signal mm_interconnect_0_spi_sd_card_spi_control_port_read : std_logic; -- mm_interconnect_0:spi_sd_card_spi_control_port_read -> mm_interconnect_0_spi_sd_card_spi_control_port_read:in
signal mm_interconnect_0_spi_sd_card_spi_control_port_write : std_logic; -- mm_interconnect_0:spi_sd_card_spi_control_port_write -> mm_interconnect_0_spi_sd_card_spi_control_port_write:in
signal mm_interconnect_0_spi_sd_card_spi_control_port_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:spi_sd_card_spi_control_port_writedata -> spi_sd_card:data_from_cpu
signal mm_interconnect_0_spi_stm32_spi_control_port_chipselect : std_logic; -- mm_interconnect_0:spi_stm32_spi_control_port_chipselect -> spi_stm32:spi_select
signal mm_interconnect_0_spi_stm32_spi_control_port_readdata : std_logic_vector(15 downto 0); -- spi_stm32:data_to_cpu -> mm_interconnect_0:spi_stm32_spi_control_port_readdata
signal mm_interconnect_0_spi_stm32_spi_control_port_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:spi_stm32_spi_control_port_address -> spi_stm32:mem_addr
signal mm_interconnect_0_spi_stm32_spi_control_port_read : std_logic; -- mm_interconnect_0:spi_stm32_spi_control_port_read -> mm_interconnect_0_spi_stm32_spi_control_port_read:in
signal mm_interconnect_0_spi_stm32_spi_control_port_write : std_logic; -- mm_interconnect_0:spi_stm32_spi_control_port_write -> mm_interconnect_0_spi_stm32_spi_control_port_write:in
signal mm_interconnect_0_spi_stm32_spi_control_port_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:spi_stm32_spi_control_port_writedata -> spi_stm32:data_from_cpu
signal irq_mapper_receiver0_irq : std_logic; -- audio_0:irq -> irq_mapper:receiver0_irq
signal irq_mapper_receiver1_irq : std_logic; -- uart_0:irq -> irq_mapper:receiver1_irq
signal irq_mapper_receiver2_irq : std_logic; -- spi_sd_card:irq -> irq_mapper:receiver2_irq
signal irq_mapper_receiver3_irq : std_logic; -- spi_stm32:irq -> irq_mapper:receiver3_irq
signal nios2_gen2_0_irq_irq : std_logic_vector(31 downto 0); -- irq_mapper:sender_irq -> nios2_gen2_0:irq
signal rst_controller_reset_out_reset : std_logic; -- rst_controller:reset_out -> [altpll_0:reset, mm_interconnect_0:altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset]
signal rst_controller_001_reset_out_reset : std_logic; -- rst_controller_001:reset_out -> [audio_0:reset, irq_mapper:reset, mm_interconnect_0:sega_saturn_abus_slave_0_reset_reset_bridge_in_reset_reset, onchip_memory2_0:reset, rst_controller_001_reset_out_reset:in, rst_translator:in_reset, sega_saturn_abus_slave_0:reset]
signal rst_controller_001_reset_out_reset_req : std_logic; -- rst_controller_001:reset_req -> [onchip_memory2_0:reset_req, rst_translator:reset_req_in]
signal mm_interconnect_0_external_sdram_controller_s1_read_ports_inv : std_logic; -- mm_interconnect_0_external_sdram_controller_s1_read:inv -> external_sdram_controller:az_rd_n
signal mm_interconnect_0_external_sdram_controller_s1_byteenable_ports_inv : std_logic_vector(1 downto 0); -- mm_interconnect_0_external_sdram_controller_s1_byteenable:inv -> external_sdram_controller:az_be_n
signal mm_interconnect_0_external_sdram_controller_s1_write_ports_inv : std_logic; -- mm_interconnect_0_external_sdram_controller_s1_write:inv -> external_sdram_controller:az_wr_n
signal mm_interconnect_0_uart_0_s1_read_ports_inv : std_logic; -- mm_interconnect_0_uart_0_s1_read:inv -> uart_0:read_n
signal mm_interconnect_0_uart_0_s1_write_ports_inv : std_logic; -- mm_interconnect_0_uart_0_s1_write:inv -> uart_0:write_n
signal mm_interconnect_0_spi_sd_card_spi_control_port_read_ports_inv : std_logic; -- mm_interconnect_0_spi_sd_card_spi_control_port_read:inv -> spi_sd_card:read_n
signal mm_interconnect_0_spi_sd_card_spi_control_port_write_ports_inv : std_logic; -- mm_interconnect_0_spi_sd_card_spi_control_port_write:inv -> spi_sd_card:write_n
signal mm_interconnect_0_spi_stm32_spi_control_port_read_ports_inv : std_logic; -- mm_interconnect_0_spi_stm32_spi_control_port_read:inv -> spi_stm32:read_n
signal mm_interconnect_0_spi_stm32_spi_control_port_write_ports_inv : std_logic; -- mm_interconnect_0_spi_stm32_spi_control_port_write:inv -> spi_stm32:write_n
signal rst_controller_001_reset_out_reset_ports_inv : std_logic; -- rst_controller_001_reset_out_reset:inv -> [external_sdram_controller:reset_n, nios2_gen2_0:reset_n, onchip_flash_0:reset_n, spi_sd_card:reset_n, spi_stm32:reset_n, uart_0:reset_n]
begin
altpll_0 : component wasca_altpll_0
port map (
clk => clk_clk, -- inclk_interface.clk
reset => rst_controller_reset_out_reset, -- inclk_interface_reset.reset
read => mm_interconnect_0_altpll_0_pll_slave_read, -- pll_slave.read
write => mm_interconnect_0_altpll_0_pll_slave_write, -- .write
address => mm_interconnect_0_altpll_0_pll_slave_address, -- .address
readdata => mm_interconnect_0_altpll_0_pll_slave_readdata, -- .readdata
writedata => mm_interconnect_0_altpll_0_pll_slave_writedata, -- .writedata
c0 => altpll_0_c0_clk, -- c0.clk
areset => altpll_0_areset_conduit_export, -- areset_conduit.export
c1 => open, -- c1_conduit.export
locked => altpll_0_locked_conduit_export, -- locked_conduit.export
phasedone => altpll_0_phasedone_conduit_export -- phasedone_conduit.export
);
audio_0 : component wasca_audio_0
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset => rst_controller_001_reset_out_reset, -- reset.reset
address => mm_interconnect_0_audio_0_avalon_audio_slave_address, -- avalon_audio_slave.address
chipselect => mm_interconnect_0_audio_0_avalon_audio_slave_chipselect, -- .chipselect
read => mm_interconnect_0_audio_0_avalon_audio_slave_read, -- .read
write => mm_interconnect_0_audio_0_avalon_audio_slave_write, -- .write
writedata => mm_interconnect_0_audio_0_avalon_audio_slave_writedata, -- .writedata
readdata => mm_interconnect_0_audio_0_avalon_audio_slave_readdata, -- .readdata
irq => irq_mapper_receiver0_irq, -- interrupt.irq
AUD_BCLK => audio_out_BCLK, -- external_interface.export
AUD_DACDAT => audio_out_DACDAT, -- .export
AUD_DACLRCK => audio_out_DACLRCK -- .export
);
external_sdram_controller : component wasca_external_sdram_controller
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
az_addr => mm_interconnect_0_external_sdram_controller_s1_address, -- s1.address
az_be_n => mm_interconnect_0_external_sdram_controller_s1_byteenable_ports_inv, -- .byteenable_n
az_cs => mm_interconnect_0_external_sdram_controller_s1_chipselect, -- .chipselect
az_data => mm_interconnect_0_external_sdram_controller_s1_writedata, -- .writedata
az_rd_n => mm_interconnect_0_external_sdram_controller_s1_read_ports_inv, -- .read_n
az_wr_n => mm_interconnect_0_external_sdram_controller_s1_write_ports_inv, -- .write_n
za_data => mm_interconnect_0_external_sdram_controller_s1_readdata, -- .readdata
za_valid => mm_interconnect_0_external_sdram_controller_s1_readdatavalid, -- .readdatavalid
za_waitrequest => mm_interconnect_0_external_sdram_controller_s1_waitrequest, -- .waitrequest
zs_addr => external_sdram_controller_wire_addr, -- wire.export
zs_ba => external_sdram_controller_wire_ba, -- .export
zs_cas_n => external_sdram_controller_wire_cas_n, -- .export
zs_cke => external_sdram_controller_wire_cke, -- .export
zs_cs_n => external_sdram_controller_wire_cs_n, -- .export
zs_dq => external_sdram_controller_wire_dq, -- .export
zs_dqm => external_sdram_controller_wire_dqm, -- .export
zs_ras_n => external_sdram_controller_wire_ras_n, -- .export
zs_we_n => external_sdram_controller_wire_we_n -- .export
);
nios2_gen2_0 : component wasca_nios2_gen2_0
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
d_address => nios2_gen2_0_data_master_address, -- data_master.address
d_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable
d_read => nios2_gen2_0_data_master_read, -- .read
d_readdata => nios2_gen2_0_data_master_readdata, -- .readdata
d_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest
d_write => nios2_gen2_0_data_master_write, -- .write
d_writedata => nios2_gen2_0_data_master_writedata, -- .writedata
debug_mem_slave_debugaccess_to_roms => nios2_gen2_0_data_master_debugaccess, -- .debugaccess
i_address => nios2_gen2_0_instruction_master_address, -- instruction_master.address
i_read => nios2_gen2_0_instruction_master_read, -- .read
i_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata
i_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest
irq => nios2_gen2_0_irq_irq, -- irq.irq
debug_reset_request => nios2_gen2_0_debug_reset_request_reset, -- debug_reset_request.reset
debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- debug_mem_slave.address
debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable
debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess
debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read
debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata
debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest
debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write
debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata
dummy_ci_port => open -- custom_instruction_master.readra
);
onchip_flash_0 : component altera_onchip_flash
generic map (
INIT_FILENAME => "",
INIT_FILENAME_SIM => "",
DEVICE_FAMILY => "MAX 10",
PART_NAME => "10M08SAE144C8GES",
DEVICE_ID => "08",
SECTOR1_START_ADDR => 0,
SECTOR1_END_ADDR => 4095,
SECTOR2_START_ADDR => 4096,
SECTOR2_END_ADDR => 8191,
SECTOR3_START_ADDR => 8192,
SECTOR3_END_ADDR => 29183,
SECTOR4_START_ADDR => 29184,
SECTOR4_END_ADDR => 44031,
SECTOR5_START_ADDR => 0,
SECTOR5_END_ADDR => 0,
MIN_VALID_ADDR => 0,
MAX_VALID_ADDR => 44031,
MIN_UFM_VALID_ADDR => 0,
MAX_UFM_VALID_ADDR => 44031,
SECTOR1_MAP => 1,
SECTOR2_MAP => 2,
SECTOR3_MAP => 3,
SECTOR4_MAP => 4,
SECTOR5_MAP => 0,
ADDR_RANGE1_END_ADDR => 44031,
ADDR_RANGE1_OFFSET => 512,
ADDR_RANGE2_OFFSET => 0,
AVMM_DATA_ADDR_WIDTH => 16,
AVMM_DATA_DATA_WIDTH => 32,
AVMM_DATA_BURSTCOUNT_WIDTH => 4,
SECTOR_READ_PROTECTION_MODE => 31,
FLASH_SEQ_READ_DATA_COUNT => 2,
FLASH_ADDR_ALIGNMENT_BITS => 1,
FLASH_READ_CYCLE_MAX_INDEX => 3,
FLASH_RESET_CYCLE_MAX_INDEX => 29,
FLASH_BUSY_TIMEOUT_CYCLE_MAX_INDEX => 111,
FLASH_ERASE_TIMEOUT_CYCLE_MAX_INDEX => 40603248,
FLASH_WRITE_TIMEOUT_CYCLE_MAX_INDEX => 35382,
PARALLEL_MODE => true,
READ_AND_WRITE_MODE => false,
WRAPPING_BURST_MODE => false,
IS_DUAL_BOOT => "False",
IS_ERAM_SKIP => "True",
IS_COMPRESSED_IMAGE => "True"
)
port map (
clock => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- nreset.reset_n
avmm_data_addr => mm_interconnect_0_onchip_flash_0_data_address, -- data.address
avmm_data_read => mm_interconnect_0_onchip_flash_0_data_read, -- .read
avmm_data_readdata => mm_interconnect_0_onchip_flash_0_data_readdata, -- .readdata
avmm_data_waitrequest => mm_interconnect_0_onchip_flash_0_data_waitrequest, -- .waitrequest
avmm_data_readdatavalid => mm_interconnect_0_onchip_flash_0_data_readdatavalid, -- .readdatavalid
avmm_data_burstcount => mm_interconnect_0_onchip_flash_0_data_burstcount, -- .burstcount
avmm_data_writedata => "00000000000000000000000000000000", -- (terminated)
avmm_data_write => '0', -- (terminated)
avmm_csr_addr => '0', -- (terminated)
avmm_csr_read => '0', -- (terminated)
avmm_csr_writedata => "00000000000000000000000000000000", -- (terminated)
avmm_csr_write => '0', -- (terminated)
avmm_csr_readdata => open -- (terminated)
);
onchip_memory2_0 : component wasca_onchip_memory2_0
port map (
clk => altpll_0_c0_clk, -- clk1.clk
address => mm_interconnect_0_onchip_memory2_0_s1_address, -- s1.address
clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken
chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect
write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write
readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata
writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata
byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable
reset => rst_controller_001_reset_out_reset, -- reset1.reset
reset_req => rst_controller_001_reset_out_reset_req -- .reset_req
);
sega_saturn_abus_slave_0 : component sega_saturn_abus_slave
port map (
clock => altpll_0_c0_clk, -- clock.clk
abus_address => sega_saturn_abus_slave_0_abus_address, -- abus.address
abus_chipselect => sega_saturn_abus_slave_0_abus_chipselect, -- .chipselect
abus_read => sega_saturn_abus_slave_0_abus_read, -- .read
abus_write => sega_saturn_abus_slave_0_abus_write, -- .write
abus_waitrequest => sega_saturn_abus_slave_0_abus_waitrequest, -- .waitrequest
abus_interrupt => sega_saturn_abus_slave_0_abus_interrupt, -- .interrupt
abus_addressdata => sega_saturn_abus_slave_0_abus_addressdata, -- .addressdata
abus_direction => sega_saturn_abus_slave_0_abus_direction, -- .direction
abus_muxing => sega_saturn_abus_slave_0_abus_muxing, -- .muxing
abus_disable_out => sega_saturn_abus_slave_0_abus_disableout, -- .disableout
avalon_read => sega_saturn_abus_slave_0_avalon_master_read, -- avalon_master.read
avalon_write => sega_saturn_abus_slave_0_avalon_master_write, -- .write
avalon_waitrequest => sega_saturn_abus_slave_0_avalon_master_waitrequest, -- .waitrequest
avalon_address => sega_saturn_abus_slave_0_avalon_master_address, -- .address
avalon_readdata => sega_saturn_abus_slave_0_avalon_master_readdata, -- .readdata
avalon_writedata => sega_saturn_abus_slave_0_avalon_master_writedata, -- .writedata
avalon_readdatavalid => sega_saturn_abus_slave_0_avalon_master_readdatavalid, -- .readdatavalid
avalon_burstcount => sega_saturn_abus_slave_0_avalon_master_burstcount, -- .burstcount
reset => rst_controller_001_reset_out_reset, -- reset.reset
saturn_reset => sega_saturn_abus_slave_0_conduit_saturn_reset_saturn_reset, -- conduit_saturn_reset.saturn_reset
avalon_nios_read => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_read, -- avalon_nios.read
avalon_nios_write => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_write, -- .write
avalon_nios_address => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_address, -- .address
avalon_nios_writedata => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_writedata, -- .writedata
avalon_nios_readdata => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdata, -- .readdata
avalon_nios_waitrequest => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_waitrequest, -- .waitrequest
avalon_nios_readdatavalid => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdatavalid, -- .readdatavalid
avalon_nios_burstcount => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_burstcount(0) -- .burstcount
);
spi_sd_card : component wasca_spi_sd_card
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
data_from_cpu => mm_interconnect_0_spi_sd_card_spi_control_port_writedata, -- spi_control_port.writedata
data_to_cpu => mm_interconnect_0_spi_sd_card_spi_control_port_readdata, -- .readdata
mem_addr => mm_interconnect_0_spi_sd_card_spi_control_port_address, -- .address
read_n => mm_interconnect_0_spi_sd_card_spi_control_port_read_ports_inv, -- .read_n
spi_select => mm_interconnect_0_spi_sd_card_spi_control_port_chipselect, -- .chipselect
write_n => mm_interconnect_0_spi_sd_card_spi_control_port_write_ports_inv, -- .write_n
irq => irq_mapper_receiver2_irq, -- irq.irq
MISO => spi_sd_card_MISO, -- external.export
MOSI => spi_sd_card_MOSI, -- .export
SCLK => spi_sd_card_SCLK, -- .export
SS_n => spi_sd_card_SS_n -- .export
);
spi_stm32 : component wasca_spi_stm32
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
data_from_cpu => mm_interconnect_0_spi_stm32_spi_control_port_writedata, -- spi_control_port.writedata
data_to_cpu => mm_interconnect_0_spi_stm32_spi_control_port_readdata, -- .readdata
mem_addr => mm_interconnect_0_spi_stm32_spi_control_port_address, -- .address
read_n => mm_interconnect_0_spi_stm32_spi_control_port_read_ports_inv, -- .read_n
spi_select => mm_interconnect_0_spi_stm32_spi_control_port_chipselect, -- .chipselect
write_n => mm_interconnect_0_spi_stm32_spi_control_port_write_ports_inv, -- .write_n
irq => irq_mapper_receiver3_irq, -- irq.irq
MISO => spi_stm32_MISO, -- external.export
MOSI => spi_stm32_MOSI, -- .export
SCLK => spi_stm32_SCLK, -- .export
SS_n => spi_stm32_SS_n -- .export
);
uart_0 : component wasca_uart_0
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n
address => mm_interconnect_0_uart_0_s1_address, -- s1.address
begintransfer => mm_interconnect_0_uart_0_s1_begintransfer, -- .begintransfer
chipselect => mm_interconnect_0_uart_0_s1_chipselect, -- .chipselect
read_n => mm_interconnect_0_uart_0_s1_read_ports_inv, -- .read_n
write_n => mm_interconnect_0_uart_0_s1_write_ports_inv, -- .write_n
writedata => mm_interconnect_0_uart_0_s1_writedata, -- .writedata
readdata => mm_interconnect_0_uart_0_s1_readdata, -- .readdata
dataavailable => open, -- .dataavailable
readyfordata => open, -- .readyfordata
rxd => uart_0_external_connection_rxd, -- external_connection.export
txd => uart_0_external_connection_txd, -- .export
irq => irq_mapper_receiver1_irq -- irq.irq
);
mm_interconnect_0 : component wasca_mm_interconnect_0
port map (
altpll_0_c0_clk => altpll_0_c0_clk, -- altpll_0_c0.clk
clk_0_clk_clk => clk_clk, -- clk_0_clk.clk
altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset => rst_controller_reset_out_reset, -- altpll_0_inclk_interface_reset_reset_bridge_in_reset.reset
sega_saturn_abus_slave_0_reset_reset_bridge_in_reset_reset => rst_controller_001_reset_out_reset, -- sega_saturn_abus_slave_0_reset_reset_bridge_in_reset.reset
nios2_gen2_0_data_master_address => nios2_gen2_0_data_master_address, -- nios2_gen2_0_data_master.address
nios2_gen2_0_data_master_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest
nios2_gen2_0_data_master_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable
nios2_gen2_0_data_master_read => nios2_gen2_0_data_master_read, -- .read
nios2_gen2_0_data_master_readdata => nios2_gen2_0_data_master_readdata, -- .readdata
nios2_gen2_0_data_master_write => nios2_gen2_0_data_master_write, -- .write
nios2_gen2_0_data_master_writedata => nios2_gen2_0_data_master_writedata, -- .writedata
nios2_gen2_0_data_master_debugaccess => nios2_gen2_0_data_master_debugaccess, -- .debugaccess
nios2_gen2_0_instruction_master_address => nios2_gen2_0_instruction_master_address, -- nios2_gen2_0_instruction_master.address
nios2_gen2_0_instruction_master_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest
nios2_gen2_0_instruction_master_read => nios2_gen2_0_instruction_master_read, -- .read
nios2_gen2_0_instruction_master_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata
sega_saturn_abus_slave_0_avalon_master_address => sega_saturn_abus_slave_0_avalon_master_address, -- sega_saturn_abus_slave_0_avalon_master.address
sega_saturn_abus_slave_0_avalon_master_waitrequest => sega_saturn_abus_slave_0_avalon_master_waitrequest, -- .waitrequest
sega_saturn_abus_slave_0_avalon_master_burstcount(0) => sega_saturn_abus_slave_0_avalon_master_burstcount, -- .burstcount
sega_saturn_abus_slave_0_avalon_master_read => sega_saturn_abus_slave_0_avalon_master_read, -- .read
sega_saturn_abus_slave_0_avalon_master_readdata => sega_saturn_abus_slave_0_avalon_master_readdata, -- .readdata
sega_saturn_abus_slave_0_avalon_master_readdatavalid => sega_saturn_abus_slave_0_avalon_master_readdatavalid, -- .readdatavalid
sega_saturn_abus_slave_0_avalon_master_write => sega_saturn_abus_slave_0_avalon_master_write, -- .write
sega_saturn_abus_slave_0_avalon_master_writedata => sega_saturn_abus_slave_0_avalon_master_writedata, -- .writedata
altpll_0_pll_slave_address => mm_interconnect_0_altpll_0_pll_slave_address, -- altpll_0_pll_slave.address
altpll_0_pll_slave_write => mm_interconnect_0_altpll_0_pll_slave_write, -- .write
altpll_0_pll_slave_read => mm_interconnect_0_altpll_0_pll_slave_read, -- .read
altpll_0_pll_slave_readdata => mm_interconnect_0_altpll_0_pll_slave_readdata, -- .readdata
altpll_0_pll_slave_writedata => mm_interconnect_0_altpll_0_pll_slave_writedata, -- .writedata
audio_0_avalon_audio_slave_address => mm_interconnect_0_audio_0_avalon_audio_slave_address, -- audio_0_avalon_audio_slave.address
audio_0_avalon_audio_slave_write => mm_interconnect_0_audio_0_avalon_audio_slave_write, -- .write
audio_0_avalon_audio_slave_read => mm_interconnect_0_audio_0_avalon_audio_slave_read, -- .read
audio_0_avalon_audio_slave_readdata => mm_interconnect_0_audio_0_avalon_audio_slave_readdata, -- .readdata
audio_0_avalon_audio_slave_writedata => mm_interconnect_0_audio_0_avalon_audio_slave_writedata, -- .writedata
audio_0_avalon_audio_slave_chipselect => mm_interconnect_0_audio_0_avalon_audio_slave_chipselect, -- .chipselect
external_sdram_controller_s1_address => mm_interconnect_0_external_sdram_controller_s1_address, -- external_sdram_controller_s1.address
external_sdram_controller_s1_write => mm_interconnect_0_external_sdram_controller_s1_write, -- .write
external_sdram_controller_s1_read => mm_interconnect_0_external_sdram_controller_s1_read, -- .read
external_sdram_controller_s1_readdata => mm_interconnect_0_external_sdram_controller_s1_readdata, -- .readdata
external_sdram_controller_s1_writedata => mm_interconnect_0_external_sdram_controller_s1_writedata, -- .writedata
external_sdram_controller_s1_byteenable => mm_interconnect_0_external_sdram_controller_s1_byteenable, -- .byteenable
external_sdram_controller_s1_readdatavalid => mm_interconnect_0_external_sdram_controller_s1_readdatavalid, -- .readdatavalid
external_sdram_controller_s1_waitrequest => mm_interconnect_0_external_sdram_controller_s1_waitrequest, -- .waitrequest
external_sdram_controller_s1_chipselect => mm_interconnect_0_external_sdram_controller_s1_chipselect, -- .chipselect
nios2_gen2_0_debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- nios2_gen2_0_debug_mem_slave.address
nios2_gen2_0_debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write
nios2_gen2_0_debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read
nios2_gen2_0_debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata
nios2_gen2_0_debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata
nios2_gen2_0_debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable
nios2_gen2_0_debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest
nios2_gen2_0_debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess
onchip_flash_0_data_address => mm_interconnect_0_onchip_flash_0_data_address, -- onchip_flash_0_data.address
onchip_flash_0_data_read => mm_interconnect_0_onchip_flash_0_data_read, -- .read
onchip_flash_0_data_readdata => mm_interconnect_0_onchip_flash_0_data_readdata, -- .readdata
onchip_flash_0_data_burstcount => mm_interconnect_0_onchip_flash_0_data_burstcount, -- .burstcount
onchip_flash_0_data_readdatavalid => mm_interconnect_0_onchip_flash_0_data_readdatavalid, -- .readdatavalid
onchip_flash_0_data_waitrequest => mm_interconnect_0_onchip_flash_0_data_waitrequest, -- .waitrequest
onchip_memory2_0_s1_address => mm_interconnect_0_onchip_memory2_0_s1_address, -- onchip_memory2_0_s1.address
onchip_memory2_0_s1_write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write
onchip_memory2_0_s1_readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata
onchip_memory2_0_s1_writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata
onchip_memory2_0_s1_byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable
onchip_memory2_0_s1_chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect
onchip_memory2_0_s1_clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken
sega_saturn_abus_slave_0_avalon_nios_address => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_address, -- sega_saturn_abus_slave_0_avalon_nios.address
sega_saturn_abus_slave_0_avalon_nios_write => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_write, -- .write
sega_saturn_abus_slave_0_avalon_nios_read => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_read, -- .read
sega_saturn_abus_slave_0_avalon_nios_readdata => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdata, -- .readdata
sega_saturn_abus_slave_0_avalon_nios_writedata => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_writedata, -- .writedata
sega_saturn_abus_slave_0_avalon_nios_burstcount => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_burstcount, -- .burstcount
sega_saturn_abus_slave_0_avalon_nios_readdatavalid => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_readdatavalid, -- .readdatavalid
sega_saturn_abus_slave_0_avalon_nios_waitrequest => mm_interconnect_0_sega_saturn_abus_slave_0_avalon_nios_waitrequest, -- .waitrequest
spi_sd_card_spi_control_port_address => mm_interconnect_0_spi_sd_card_spi_control_port_address, -- spi_sd_card_spi_control_port.address
spi_sd_card_spi_control_port_write => mm_interconnect_0_spi_sd_card_spi_control_port_write, -- .write
spi_sd_card_spi_control_port_read => mm_interconnect_0_spi_sd_card_spi_control_port_read, -- .read
spi_sd_card_spi_control_port_readdata => mm_interconnect_0_spi_sd_card_spi_control_port_readdata, -- .readdata
spi_sd_card_spi_control_port_writedata => mm_interconnect_0_spi_sd_card_spi_control_port_writedata, -- .writedata
spi_sd_card_spi_control_port_chipselect => mm_interconnect_0_spi_sd_card_spi_control_port_chipselect, -- .chipselect
spi_stm32_spi_control_port_address => mm_interconnect_0_spi_stm32_spi_control_port_address, -- spi_stm32_spi_control_port.address
spi_stm32_spi_control_port_write => mm_interconnect_0_spi_stm32_spi_control_port_write, -- .write
spi_stm32_spi_control_port_read => mm_interconnect_0_spi_stm32_spi_control_port_read, -- .read
spi_stm32_spi_control_port_readdata => mm_interconnect_0_spi_stm32_spi_control_port_readdata, -- .readdata
spi_stm32_spi_control_port_writedata => mm_interconnect_0_spi_stm32_spi_control_port_writedata, -- .writedata
spi_stm32_spi_control_port_chipselect => mm_interconnect_0_spi_stm32_spi_control_port_chipselect, -- .chipselect
uart_0_s1_address => mm_interconnect_0_uart_0_s1_address, -- uart_0_s1.address
uart_0_s1_write => mm_interconnect_0_uart_0_s1_write, -- .write
uart_0_s1_read => mm_interconnect_0_uart_0_s1_read, -- .read
uart_0_s1_readdata => mm_interconnect_0_uart_0_s1_readdata, -- .readdata
uart_0_s1_writedata => mm_interconnect_0_uart_0_s1_writedata, -- .writedata
uart_0_s1_begintransfer => mm_interconnect_0_uart_0_s1_begintransfer, -- .begintransfer
uart_0_s1_chipselect => mm_interconnect_0_uart_0_s1_chipselect -- .chipselect
);
irq_mapper : component wasca_irq_mapper
port map (
clk => altpll_0_c0_clk, -- clk.clk
reset => rst_controller_001_reset_out_reset, -- clk_reset.reset
receiver0_irq => irq_mapper_receiver0_irq, -- receiver0.irq
receiver1_irq => irq_mapper_receiver1_irq, -- receiver1.irq
receiver2_irq => irq_mapper_receiver2_irq, -- receiver2.irq
receiver3_irq => irq_mapper_receiver3_irq, -- receiver3.irq
sender_irq => nios2_gen2_0_irq_irq -- sender.irq
);
rst_controller : component wasca_rst_controller
generic map (
NUM_RESET_INPUTS => 1,
OUTPUT_RESET_SYNC_EDGES => "deassert",
SYNC_DEPTH => 2,
RESET_REQUEST_PRESENT => 0,
RESET_REQ_WAIT_TIME => 1,
MIN_RST_ASSERTION_TIME => 3,
RESET_REQ_EARLY_DSRT_TIME => 1,
USE_RESET_REQUEST_IN0 => 0,
USE_RESET_REQUEST_IN1 => 0,
USE_RESET_REQUEST_IN2 => 0,
USE_RESET_REQUEST_IN3 => 0,
USE_RESET_REQUEST_IN4 => 0,
USE_RESET_REQUEST_IN5 => 0,
USE_RESET_REQUEST_IN6 => 0,
USE_RESET_REQUEST_IN7 => 0,
USE_RESET_REQUEST_IN8 => 0,
USE_RESET_REQUEST_IN9 => 0,
USE_RESET_REQUEST_IN10 => 0,
USE_RESET_REQUEST_IN11 => 0,
USE_RESET_REQUEST_IN12 => 0,
USE_RESET_REQUEST_IN13 => 0,
USE_RESET_REQUEST_IN14 => 0,
USE_RESET_REQUEST_IN15 => 0,
ADAPT_RESET_REQUEST => 0
)
port map (
reset_in0 => nios2_gen2_0_debug_reset_request_reset, -- reset_in0.reset
clk => clk_clk, -- clk.clk
reset_out => rst_controller_reset_out_reset, -- reset_out.reset
reset_req => open, -- (terminated)
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
rst_controller_001 : component wasca_rst_controller_001
generic map (
NUM_RESET_INPUTS => 1,
OUTPUT_RESET_SYNC_EDGES => "deassert",
SYNC_DEPTH => 2,
RESET_REQUEST_PRESENT => 1,
RESET_REQ_WAIT_TIME => 1,
MIN_RST_ASSERTION_TIME => 3,
RESET_REQ_EARLY_DSRT_TIME => 1,
USE_RESET_REQUEST_IN0 => 0,
USE_RESET_REQUEST_IN1 => 0,
USE_RESET_REQUEST_IN2 => 0,
USE_RESET_REQUEST_IN3 => 0,
USE_RESET_REQUEST_IN4 => 0,
USE_RESET_REQUEST_IN5 => 0,
USE_RESET_REQUEST_IN6 => 0,
USE_RESET_REQUEST_IN7 => 0,
USE_RESET_REQUEST_IN8 => 0,
USE_RESET_REQUEST_IN9 => 0,
USE_RESET_REQUEST_IN10 => 0,
USE_RESET_REQUEST_IN11 => 0,
USE_RESET_REQUEST_IN12 => 0,
USE_RESET_REQUEST_IN13 => 0,
USE_RESET_REQUEST_IN14 => 0,
USE_RESET_REQUEST_IN15 => 0,
ADAPT_RESET_REQUEST => 0
)
port map (
reset_in0 => nios2_gen2_0_debug_reset_request_reset, -- reset_in0.reset
clk => altpll_0_c0_clk, -- clk.clk
reset_out => rst_controller_001_reset_out_reset, -- reset_out.reset
reset_req => rst_controller_001_reset_out_reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
rst_controller_002 : component wasca_rst_controller
generic map (
NUM_RESET_INPUTS => 1,
OUTPUT_RESET_SYNC_EDGES => "both",
SYNC_DEPTH => 2,
RESET_REQUEST_PRESENT => 0,
RESET_REQ_WAIT_TIME => 1,
MIN_RST_ASSERTION_TIME => 3,
RESET_REQ_EARLY_DSRT_TIME => 1,
USE_RESET_REQUEST_IN0 => 0,
USE_RESET_REQUEST_IN1 => 0,
USE_RESET_REQUEST_IN2 => 0,
USE_RESET_REQUEST_IN3 => 0,
USE_RESET_REQUEST_IN4 => 0,
USE_RESET_REQUEST_IN5 => 0,
USE_RESET_REQUEST_IN6 => 0,
USE_RESET_REQUEST_IN7 => 0,
USE_RESET_REQUEST_IN8 => 0,
USE_RESET_REQUEST_IN9 => 0,
USE_RESET_REQUEST_IN10 => 0,
USE_RESET_REQUEST_IN11 => 0,
USE_RESET_REQUEST_IN12 => 0,
USE_RESET_REQUEST_IN13 => 0,
USE_RESET_REQUEST_IN14 => 0,
USE_RESET_REQUEST_IN15 => 0,
ADAPT_RESET_REQUEST => 0
)
port map (
reset_in0 => nios2_gen2_0_debug_reset_request_reset, -- reset_in0.reset
clk => altpll_0_c0_clk, -- clk.clk
reset_out => open, -- reset_out.reset
reset_req => open, -- (terminated)
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
mm_interconnect_0_external_sdram_controller_s1_read_ports_inv <= not mm_interconnect_0_external_sdram_controller_s1_read;
mm_interconnect_0_external_sdram_controller_s1_byteenable_ports_inv <= not mm_interconnect_0_external_sdram_controller_s1_byteenable;
mm_interconnect_0_external_sdram_controller_s1_write_ports_inv <= not mm_interconnect_0_external_sdram_controller_s1_write;
mm_interconnect_0_uart_0_s1_read_ports_inv <= not mm_interconnect_0_uart_0_s1_read;
mm_interconnect_0_uart_0_s1_write_ports_inv <= not mm_interconnect_0_uart_0_s1_write;
mm_interconnect_0_spi_sd_card_spi_control_port_read_ports_inv <= not mm_interconnect_0_spi_sd_card_spi_control_port_read;
mm_interconnect_0_spi_sd_card_spi_control_port_write_ports_inv <= not mm_interconnect_0_spi_sd_card_spi_control_port_write;
mm_interconnect_0_spi_stm32_spi_control_port_read_ports_inv <= not mm_interconnect_0_spi_stm32_spi_control_port_read;
mm_interconnect_0_spi_stm32_spi_control_port_write_ports_inv <= not mm_interconnect_0_spi_stm32_spi_control_port_write;
rst_controller_001_reset_out_reset_ports_inv <= not rst_controller_001_reset_out_reset;
clock_116_mhz_clk <= altpll_0_c0_clk;
end architecture rtl; -- of wasca
| gpl-2.0 |
upci/upci | Simulações/testes_memoria_16bits/processor_functions.vhd | 1 | 1325 | LIBRARY ieee;
USE ieee.numeric_std.all;
USE ieee.std_logic_1164.all;
PACKAGE processor_functions IS
TYPE opcode IS (load, store, add, nott, andd, orr, xorr, inc, sub, branch);
FUNCTION Decode (word: STD_LOGIC_VECTOR) RETURN opcode;
CONSTANT n: integer := 16;
CONSTANT wordlen: integer := 16;
CONSTANT oplen: integer := 4;
TYPE memory_array IS ARRAY (0 to 2**(n-oplen-1)) of STD_LOGIC_VECTOR(n-1 DOWNTO 0);
CONSTANT reg_zero: unsigned (n-1 DOWNTO 0) := (OTHERS => '0');
END PACKAGE processor_functions;
PACKAGE BODY processor_functions IS
FUNCTION Decode (word: STD_LOGIC_VECTOR) return opcode IS
VARIABLE opcode_out: opcode;
BEGIN
CASE word(n-1 DOWNTO n-oplen) IS
WHEN "0000" => opcode_out := load;
WHEN "0001" => opcode_out := store;
WHEN "0010" => opcode_out := add;
WHEN "0011" => opcode_out := nott;
WHEN "0100" => opcode_out := andd;
WHEN "0101" => opcode_out := orr;
WHEN "0110" => opcode_out := xorr;
WHEN "0111" => opcode_out := inc;
WHEN "1000" => opcode_out := sub;
WHEN "1001" => opcode_out := branch;
WHEN OTHERS => null;
END CASE;
RETURN opcode_out;
END FUNCTION decode;
END PACKAGE BODY processor_functions; | gpl-2.0 |
hpcn-uam/hardware_packet_train | ZedBoard/VHDL/precise_time_perif_axi/comunication_axi.vhd | 1 | 6234 | -- /*******************************************************************************
-- *
-- *
-- * File:
-- * comunication_axi.vhd
-- *
-- *
-- * Module:
-- * precise_time_perif_axi
-- *
-- * Author:
-- * Mario Ruiz
-- *
-- *
-- * Copyright (C) 2015 - Mario Ruiz and HPCN-UAM High Performance Computing and Networking
-- *
-- * Licence:
-- * This file is part of the HPCN-NetFPGA 10G development base package.
-- *
-- * This file is free code: you can redistribute it and/or modify it under
-- * the terms of the GNU Lesser General Public License version 2.0 as
-- * published by the Free Software Foundation.
-- *
-- * This package is distributed in the hope that it will be useful, but
-- * WITHOUT ANY WARRANTY; without even the implied warranty of
-- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- * Lesser General Public License for more details.
-- *
-- * You should have received a copy of the GNU Lesser General Public
-- * License along with the NetFPGA source package. If not, see
-- * http://www.gnu.org/licenses/.
-- *
-- */
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity comunication_axi is
generic (
-- Users to add parameters here
-- User parameters ends
-- Do not modify the parameters beyond this line
-- Parameters of Axi Slave Bus Interface S_AXI
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 6
);
port (
-- Inputs
second : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
nanosecond : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
pps : in std_logic;
-- Outpus
correction : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
out_error : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
sec_load : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
sign : out std_logic;
fixed : out std_logic;
interrupt : out std_logic;
correction_en : out std_logic;
mode : out std_logic;
-- User ports ends
-- Do not modify the ports beyond this line
-- Ports of Axi Slave Bus Interface S_AXI
s_axi_aclk : in std_logic;
s_axi_aresetn : in std_logic;
s_axi_awaddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
s_axi_awprot : in std_logic_vector(2 downto 0);
s_axi_awvalid : in std_logic;
s_axi_awready : out std_logic;
s_axi_wdata : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
s_axi_wstrb : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
s_axi_wvalid : in std_logic;
s_axi_wready : out std_logic;
s_axi_bresp : out std_logic_vector(1 downto 0);
s_axi_bvalid : out std_logic;
s_axi_bready : in std_logic;
s_axi_araddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
s_axi_arprot : in std_logic_vector(2 downto 0);
s_axi_arvalid : in std_logic;
s_axi_arready : out std_logic;
s_axi_rdata : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
s_axi_rresp : out std_logic_vector(1 downto 0);
s_axi_rvalid : out std_logic;
s_axi_rready : in std_logic
);
end comunication_axi;
architecture arch_imp of comunication_axi is
-- component declaration
component comunication_axi_v0_1_S_AXI is
generic (
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 6
);
port (
second : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
nanosecond : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
pps : in std_logic;
correction : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
out_error : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
sec_load : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
sign : out std_logic;
fixed : out std_logic;
interrupt : out std_logic;
correction_en : out std_logic;
mode : out std_logic;
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWPROT : in std_logic_vector(2 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARPROT : in std_logic_vector(2 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic
);
end component comunication_axi_v0_1_S_AXI;
begin
-- Instantiation of Axi Bus Interface S_AXI
comunication_axi_S_AXI_inst : comunication_axi_v0_1_S_AXI
generic map (
C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH,
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH
)
port map (
second => second,
nanosecond => nanosecond,
pps => pps,
correction => correction,
out_error => out_error,
sec_load => sec_load,
sign => sign,
fixed => fixed,
interrupt => interrupt,
correction_en => correction_en,
mode => mode,
S_AXI_ACLK => s_axi_aclk,
S_AXI_ARESETN => s_axi_aresetn,
S_AXI_AWADDR => s_axi_awaddr,
S_AXI_AWPROT => s_axi_awprot,
S_AXI_AWVALID => s_axi_awvalid,
S_AXI_AWREADY => s_axi_awready,
S_AXI_WDATA => s_axi_wdata,
S_AXI_WSTRB => s_axi_wstrb,
S_AXI_WVALID => s_axi_wvalid,
S_AXI_WREADY => s_axi_wready,
S_AXI_BRESP => s_axi_bresp,
S_AXI_BVALID => s_axi_bvalid,
S_AXI_BREADY => s_axi_bready,
S_AXI_ARADDR => s_axi_araddr,
S_AXI_ARPROT => s_axi_arprot,
S_AXI_ARVALID => s_axi_arvalid,
S_AXI_ARREADY => s_axi_arready,
S_AXI_RDATA => s_axi_rdata,
S_AXI_RRESP => s_axi_rresp,
S_AXI_RVALID => s_axi_rvalid,
S_AXI_RREADY => s_axi_rready
);
end arch_imp;
| gpl-2.0 |
alexchenfeng/HackRF | firmware/cpld/sgpio_if_passthrough/top.vhd | 14 | 1910 | --
-- Copyright 2012 Jared Boone
--
-- This file is part of HackRF.
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; see the file COPYING. If not, write to
-- the Free Software Foundation, Inc., 51 Franklin Street,
-- Boston, MA 02110-1301, USA.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.vcomponents.all;
entity top is
Port(
SGPIO : inout std_logic_vector(15 downto 0);
DA : in std_logic_vector(7 downto 0);
DD : out std_logic_vector(9 downto 0);
CODEC_CLK : in std_logic;
CODEC_X2_CLK : in std_logic;
B1AUX : in std_logic_vector(16 downto 9);
B2AUX : inout std_logic_vector(16 downto 1)
);
end top;
architecture Behavioral of top is
type transfer_direction is (to_sgpio, from_sgpio);
signal transfer_direction_i : transfer_direction;
begin
transfer_direction_i <= to_sgpio when B1AUX(9) = '0'
else from_sgpio;
DD <= (DD'high => '1', others => '0');
B2AUX <= SGPIO when transfer_direction_i = from_sgpio
else (others => 'Z');
SGPIO <= B2AUX when transfer_direction_i = to_sgpio
else (others => 'Z');
end Behavioral;
| gpl-2.0 |
peter-b/geda-gaf | netlist/examples/vams/vhdl/basic-vhdl/current_source_arc.vhdl | 15 | 174 | ARCHITECTURE voltage_dependend OF current_source IS
QUANTITY v ACROSS i THROUGH lt TO rt;
BEGIN
i == ISS * (exp(v/(N * VT)) - 1.0);
END ARCHITECTURE voltage_dependend;
| gpl-2.0 |
peter-b/geda-gaf | netlist/examples/vams/vhdl/new-vhdl/bjt_transistor_simple_top.vhdl | 14 | 223 | LIBRARY ieee,disciplines;
USE ieee.math_real.all;
USE ieee.math_real.all;
USE work.electrical_system.all;
USE work.all;
-- Entity declaration --
ENTITY bjt_transistor_simple_top IS
END ENTITY bjt_transistor_simple_top;
| gpl-2.0 |
hpcn-uam/hardware_packet_train | ZedBoard/VHDL/precise_time_perif_axi/comunication_axi_v0_1_S_AXI.vhd | 1 | 20614 | --/*******************************************************************************
-- *
-- *
-- * File:
-- * comunication_axi_v0_1_S_AXI.vhd
-- *
-- *
-- * Module:
-- * precise_time_perif_axi
-- *
-- * Author:
-- * Mario Ruiz
-- *
-- *
-- * Copyright (C) 2015 - Mario Ruiz and HPCN-UAM High Performance Computing and Networking
-- *
-- * Licence:
-- * This file is part of the HPCN-NetFPGA 10G development base package.
-- *
-- * This file is free code: you can redistribute it and/or modify it under
-- * the terms of the GNU Lesser General Public License version 2.0 as
-- * published by the Free Software Foundation.
-- *
-- * This package is distributed in the hope that it will be useful, but
-- * WITHOUT ANY WARRANTY; without even the implied warranty of
-- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- * Lesser General Public License for more details.
-- *
-- * You should have received a copy of the GNU Lesser General Public
-- * License along with the NetFPGA source package. If not, see
-- * http://www.gnu.org/licenses/.
-- *
-- */
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity comunication_axi_v0_1_S_AXI is
generic (
C_S_AXI_DATA_WIDTH : integer := 32; -- Width of S_AXI data bus
C_S_AXI_ADDR_WIDTH : integer := 6 -- Width of S_AXI address bus
);
port (
-- Inputs
second : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
nanosecond : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
pps : in std_logic;
-- Outpus
correction : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
out_error : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
sec_load : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
sign : out std_logic;
fixed : out std_logic;
interrupt : out std_logic;
correction_en : out std_logic;
mode : out std_logic;
-- User ports ends
-- Do not modify the ports beyond this line
S_AXI_ACLK : in std_logic; -- Global Clock Signal
S_AXI_ARESETN : in std_logic; -- Global Reset Signal. This Signal is Active LOW
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Write address (issued by master, acceped by Slave)
S_AXI_AWPROT : in std_logic_vector(2 downto 0); -- Write channel Protection type. This signal indicates the
-- privilege and security level of the transaction, and whether
-- the transaction is a data access or an instruction access.
S_AXI_AWVALID : in std_logic; -- Write address valid. This signal indicates that the master signaling
-- valid write address and control information.
S_AXI_AWREADY : out std_logic; -- Write address ready. This signal indicates that the slave is ready
-- to accept an address and associated control signals.
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Write data (issued by master, acceped by Slave)
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); -- Write strobes. This signal indicates which byte lanes hold
-- valid data. There is one write strobe bit for each eight
-- bits of the write data bus.
S_AXI_WVALID : in std_logic; -- Write valid. This signal indicates that valid write
-- data and strobes are available.
S_AXI_WREADY : out std_logic; -- Write ready. This signal indicates that the slave
-- can accept the write data.
S_AXI_BRESP : out std_logic_vector(1 downto 0); -- Write response. This signal indicates the status
-- of the write transaction.
S_AXI_BVALID : out std_logic; -- Write response valid. This signal indicates that the channel
-- is signaling a valid write response.
S_AXI_BREADY : in std_logic; -- Response ready. This signal indicates that the master
-- can accept a write response.
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Read address (issued by master, acceped by Slave)
S_AXI_ARPROT : in std_logic_vector(2 downto 0); -- Protection type. This signal indicates the privilege
-- and security level of the transaction, and whether the
-- transaction is a data access or an instruction access.
S_AXI_ARVALID : in std_logic; -- Read address valid. This signal indicates that the channel
-- is signaling valid read address and control information.
S_AXI_ARREADY : out std_logic; -- Read address ready. This signal indicates that the slave is
-- ready to accept an address and associated control signals.
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Read data (issued by slave)
S_AXI_RRESP : out std_logic_vector(1 downto 0); -- Read response. This signal indicates the status of the
-- read transfer.
S_AXI_RVALID : out std_logic; -- Read valid. This signal indicates that the channel is
-- signaling the required read data.
S_AXI_RREADY : in std_logic -- Read ready. This signal indicates that the master can
-- accept the read data and response information.
);
end comunication_axi_v0_1_S_AXI;
architecture arch_imp of comunication_axi_v0_1_S_AXI is
-- AXI4LITE signals
signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal axi_awready : std_logic;
signal axi_wready : std_logic;
signal axi_bresp : std_logic_vector(1 downto 0);
signal axi_bvalid : std_logic;
signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal axi_arready : std_logic;
signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal axi_rresp : std_logic_vector(1 downto 0);
signal axi_rvalid : std_logic;
-- Example-specific design signals
-- local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH
-- ADDR_LSB is used for addressing 32/64 bit registers/memories
-- ADDR_LSB = 2 for 32 bits (n downto 2)
-- ADDR_LSB = 3 for 64 bits (n downto 3)
constant ADDR_LSB : integer := (C_S_AXI_DATA_WIDTH/32)+ 1;
constant OPT_MEM_ADDR_BITS : integer := 3;
------------------------------------------------
---- Signals for user logic register space example
--------------------------------------------------
---- Number of Slave Registers 16
signal slv_reg0 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- read second
signal slv_reg1 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- read nanosecond
signal slv_reg2 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- write correction
signal slv_reg3 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- write second load
signal slv_reg4 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- write error
signal slv_reg5 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- write fixed (0)
signal slv_reg6 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- write signed (0)
signal slv_reg7 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- write correction_en(0)
signal slv_reg8 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- write mode(0)
-- rest unused
signal slv_reg9 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg10 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg11 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg12 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg13 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg14 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg15 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg_rden : std_logic;
signal slv_reg_wren : std_logic;
signal reg_data_out :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal byte_index : integer;
signal ant_pps : std_logic;
signal aux_int : std_logic;
signal aux_sec :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal aux_nsec :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
begin
-- I/O Connections assignments
S_AXI_AWREADY <= axi_awready;
S_AXI_WREADY <= axi_wready;
S_AXI_BRESP <= axi_bresp;
S_AXI_BVALID <= axi_bvalid;
S_AXI_ARREADY <= axi_arready;
S_AXI_RDATA <= axi_rdata;
S_AXI_RRESP <= axi_rresp;
S_AXI_RVALID <= axi_rvalid;
-- Implement axi_awready generation
-- axi_awready is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is
-- de-asserted when reset is low.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_awready <= '0';
else
if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
-- slave is ready to accept write address when
-- there is a valid write address and write data
-- on the write address and data bus. This design
-- expects no outstanding transactions.
axi_awready <= '1';
else
axi_awready <= '0';
end if;
end if;
end if;
end process;
-- Implement axi_awaddr latching
-- This process is used to latch the address when both
-- S_AXI_AWVALID and S_AXI_WVALID are valid.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_awaddr <= (others => '0');
else
if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
-- Write Address latching
axi_awaddr <= S_AXI_AWADDR;
end if;
end if;
end if;
end process;
-- Implement axi_wready generation
-- axi_wready is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is
-- de-asserted when reset is low.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_wready <= '0';
else
if (axi_wready = '0' and S_AXI_WVALID = '1' and S_AXI_AWVALID = '1') then
-- slave is ready to accept write data when
-- there is a valid write address and write data
-- on the write address and data bus. This design
-- expects no outstanding transactions.
axi_wready <= '1';
else
axi_wready <= '0';
end if;
end if;
end if;
end process;
-- Implement memory mapped register select and write logic generation
-- The write data is accepted and written to memory mapped registers when
-- axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to
-- select byte enables of slave registers while writing.
-- These registers are cleared when reset (active low) is applied.
-- Slave register write enable is asserted when valid address and data are available
-- and the slave is ready to accept the write address and write data.
slv_reg_wren <= axi_wready and S_AXI_WVALID and axi_awready and S_AXI_AWVALID ;
process (S_AXI_ACLK)
variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0);
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
slv_reg0 <= (others => '0');
slv_reg1 <= (others => '0');
slv_reg2 <= (others => '0');
slv_reg3 <= (others => '0');
slv_reg4 <= (others => '0');
slv_reg5 <= (others => '0');
slv_reg6 <= (others => '0');
slv_reg7 <= (others => '0');
slv_reg8 <= (others => '0');
slv_reg9 <= (others => '0');
slv_reg10 <= (others => '0');
slv_reg11 <= (others => '0');
slv_reg12 <= (others => '0');
slv_reg13 <= (others => '0');
slv_reg14 <= (others => '0');
slv_reg15 <= (others => '0');
ant_pps <='1';
aux_int <='0';
else
if (ant_pps='0' and pps='1') then
aux_int <='1'; -- active interrupt when rising_edge pps
slv_reg0 <= second;
slv_reg1 <= nanosecond; -- save values when rising_edge pps
end if;
loc_addr := axi_awaddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB);
if (slv_reg_wren = '1') then
case loc_addr is
when b"0010" => -- write correction
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes
-- slave registor 2
slv_reg2(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
aux_int <='0'; -- disable integer
when b"0011" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
slv_reg3(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"0100" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
slv_reg4(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"0101" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
slv_reg5(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"0110" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
slv_reg6(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"0111" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
slv_reg7(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"1000" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
slv_reg8(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when others =>
slv_reg2 <= slv_reg2;
slv_reg3 <= slv_reg3;
slv_reg4 <= slv_reg4;
slv_reg5 <= slv_reg5;
slv_reg6 <= slv_reg6;
slv_reg7 <= slv_reg7;
slv_reg8 <= slv_reg8;
slv_reg9 <= slv_reg9;
slv_reg10 <= slv_reg10;
slv_reg11 <= slv_reg11;
slv_reg12 <= slv_reg12;
slv_reg13 <= slv_reg13;
slv_reg14 <= slv_reg14;
slv_reg15 <= slv_reg15;
end case;
end if;
end if;
ant_pps <= pps;
end if;
end process;
-- Implement write response logic generation
-- The write response and response valid signals are asserted by the slave
-- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted.
-- This marks the acceptance of address and indicates the status of
-- write transaction.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_bvalid <= '0';
axi_bresp <= "00"; --need to work more on the responses
else
if (axi_awready = '1' and S_AXI_AWVALID = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' ) then
axi_bvalid <= '1';
axi_bresp <= "00";
elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high)
axi_bvalid <= '0'; -- (there is a possibility that bready is always asserted high)
end if;
end if;
end if;
end process;
-- Implement axi_arready generation
-- axi_arready is asserted for one S_AXI_ACLK clock cycle when
-- S_AXI_ARVALID is asserted. axi_awready is
-- de-asserted when reset (active low) is asserted.
-- The read address is also latched when S_AXI_ARVALID is
-- asserted. axi_araddr is reset to zero on reset assertion.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_arready <= '0';
axi_araddr <= (others => '1');
else
if (axi_arready = '0' and S_AXI_ARVALID = '1') then
-- indicates that the slave has acceped the valid read address
axi_arready <= '1';
-- Read Address latching
axi_araddr <= S_AXI_ARADDR;
else
axi_arready <= '0';
end if;
end if;
end if;
end process;
-- Implement axi_arvalid generation
-- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_ARVALID and axi_arready are asserted. The slave registers
-- data are available on the axi_rdata bus at this instance. The
-- assertion of axi_rvalid marks the validity of read data on the
-- bus and axi_rresp indicates the status of read transaction.axi_rvalid
-- is deasserted on reset (active low). axi_rresp and axi_rdata are
-- cleared to zero on reset (active low).
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_rvalid <= '0';
axi_rresp <= "00";
else
if (axi_arready = '1' and S_AXI_ARVALID = '1' and axi_rvalid = '0') then
-- Valid read data is available at the read data bus
axi_rvalid <= '1';
axi_rresp <= "00"; -- 'OKAY' response
elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then
-- Read data is accepted by the master
axi_rvalid <= '0';
end if;
end if;
end if;
end process;
-- Implement memory mapped register select and read logic generation
-- Slave register read enable is asserted when valid address is available
-- and the slave is ready to accept the read address.
slv_reg_rden <= axi_arready and S_AXI_ARVALID and (not axi_rvalid) ;
process (slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6, slv_reg7, slv_reg8, slv_reg9, slv_reg10, slv_reg11, slv_reg12, slv_reg13, slv_reg14, slv_reg15, axi_araddr, S_AXI_ARESETN, slv_reg_rden)
variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0);
begin
if S_AXI_ARESETN = '0' then
reg_data_out <= (others => '1');
else
-- Address decoding for reading registers
loc_addr := axi_araddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB);
case loc_addr is
when b"0000" =>
reg_data_out <= slv_reg0;
when b"0001" =>
reg_data_out <= slv_reg1;
when b"0010" =>
reg_data_out <= slv_reg2;
when b"0011" =>
reg_data_out <= slv_reg3;
when b"0100" =>
reg_data_out <= slv_reg4;
when b"0101" =>
reg_data_out <= slv_reg5;
when b"0110" =>
reg_data_out <= slv_reg6;
when b"0111" =>
reg_data_out <= slv_reg7;
when b"1000" =>
reg_data_out <= slv_reg8;
when others =>
reg_data_out <= (others => '0');
end case;
end if;
end process;
-- Output register or memory read data
process( S_AXI_ACLK ) is
begin
if (rising_edge (S_AXI_ACLK)) then
if ( S_AXI_ARESETN = '0' ) then
axi_rdata <= (others => '0');
else
if (slv_reg_rden = '1') then
-- When there is a valid read address (S_AXI_ARVALID) with
-- acceptance of read address by the slave (axi_arready),
-- output the read dada
-- Read address mux
axi_rdata <= reg_data_out; -- register read data
end if;
end if;
end if;
end process;
-- Add user logic here
correction <= slv_reg2;
sec_load <= slv_reg3;
out_error <= slv_reg4;
sign <= slv_reg6(0);
fixed <= slv_reg5(0);
correction_en <= slv_reg7(0);
mode <= slv_reg8(0);
interrupt <= aux_int;
-- User logic ends
end arch_imp;
| gpl-2.0 |
peter-b/geda-gaf | netlist/examples/vams/vhdl/basic-vhdl/electrical_system.vhdl | 15 | 1250 | PACKAGE electrical_system IS
CONSTANT epsi : real := 1.0e-18;
-- declare subtypes for voltage and current
SUBTYPE voltage IS real; -- TOLERANCE "default_voltage";
SUBTYPE current IS real; -- TOLERANCE "default_current";
SUBTYPE charge IS real; -- TOLERANCE "default_charge";
-- basic nature and reference terminal for electrical systems
NATURE electrical IS
voltage ACROSS
current THROUGH ground reference;
FUNCTION always_positive (x:real) RETURN real;
-- a subnature that is compatible with electrical but has
-- different tolerance codes for across and through aspects
-- SUBNATURE high_voltage IS electrical
-- TOLERANCE "MV" ACROSS "A" THROUGH;
-- support for terminal arrays
-- NATURE electrical_vector IS ARRAY (integer RANGE <>) OF electrical;
-- Type quantity_vector IS ARRAY (integer RANGE <>) OF real;
-- Type Adresse is array (integer RANGE <>) of integer;
END PACKAGE electrical_system;
---------------------------------------------------------------------
PACKAGE BODY electrical_system IS
FUNCTION always_positive (x:real) RETURN real IS
BEGIN
IF (x < epsi) THEN
RETURN epsi;
ELSE
RETURN x;
END if;
END;
END package body;
| gpl-2.0 |
freecores/line_codes | bench/vhdl/smlt_hdb1_dec.vhd | 1 | 982 | -- smlttion for HDB1 decoder.
entity smlt_hdb1_dec is
end smlt_hdb1_dec;
architecture behaviour of smlt_hdb1_dec is
--data type:
component hdb1_dec
port (
clr_bar,
clk, e0, e1 : in bit;
s : out bit);
end component;
--binding:
for a: hdb1_dec use entity work.hdb1_dec;
--declaring the signals present in this architecture:
signal CLK, S, E0, E1, clrb: bit;
signal input0, input1: bit_vector(0 to 24);
begin --architecture.
a: hdb1_dec port map
( clr_bar => clrb, clk=> CLK, e0 => E0, e1 => E1,
s => S );
input0 <= "0100010110001011001001101";
input1 <= "0001001000100100100110010";
process begin
clrb <= '1';
for i in 0 to 24 loop
E0 <= input0(i);
E1 <= input1(i);
CLK <= '0';
wait for 9 ns;
CLK <= '1';
wait for 1 ns;
end loop;
wait;
end process;
end behaviour;
| gpl-2.0 |
systec-dk/openPOWERLINK_systec | Examples/ipcore/xilinx/openmac/src/n_synchronizer.vhd | 3 | 3037 | -------------------------------------------------------------------------------
-- n sychronizer of the async fifo
--
-- Copyright (C) 2009 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Note: A general implementation of a asynchronous fifo which is
-- using a dual port ram. This file is the n sychronizer.
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity synchronizer_g is
generic(N: natural);
port(
clk, reset: in std_logic;
in_async: in std_logic_vector(N-1 downto 0);
out_sync: out std_logic_vector(N-1 downto 0)
);
end synchronizer_g;
architecture two_ff_arch of synchronizer_g is
signal meta_reg, sync_reg, sync_reg1 : std_logic_vector(N-1 downto 0) := (others => '0');
signal meta_next, sync_next, sync_next1 : std_logic_vector(N-1 downto 0) := (others => '0');
begin
-- two registers
process(clk)--,reset)
begin
-- if (reset='1') then
-- meta_reg <= (others=>'0');
-- sync_reg <= (others=>'0');
-- sync_reg1 <= (others => '0');
if (clk'event and clk='1') then
meta_reg <= meta_next;
sync_reg <= sync_next;
sync_reg1 <= sync_next1;
end if;
end process;
-- next-state logic
meta_next <= in_async;
sync_next <= meta_reg;
sync_next1 <= sync_reg;
-- output
out_sync <= sync_reg1;
end two_ff_arch; | gpl-2.0 |
systec-dk/openPOWERLINK_systec | Examples/altera_nios2/SYSTEC_ECUcore-EP3C/design_nios2_directIO/altpll0.vhd | 8 | 16616 | -- megafunction wizard: %ALTPLL%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altpll
-- ============================================================
-- File Name: altpll0.vhd
-- Megafunction Name(s):
-- altpll
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 11.0 Build 208 07/03/2011 SP 1 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2011 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY altpll0 IS
PORT
(
inclk0 : IN STD_LOGIC := '0';
c0 : OUT STD_LOGIC ;
c1 : OUT STD_LOGIC ;
locked : OUT STD_LOGIC
);
END altpll0;
ARCHITECTURE SYN OF altpll0 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC ;
SIGNAL sub_wire2 : STD_LOGIC ;
SIGNAL sub_wire3 : STD_LOGIC ;
SIGNAL sub_wire4 : STD_LOGIC ;
SIGNAL sub_wire5 : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL sub_wire6_bv : BIT_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire6 : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT altpll
GENERIC (
bandwidth_type : STRING;
clk0_divide_by : NATURAL;
clk0_duty_cycle : NATURAL;
clk0_multiply_by : NATURAL;
clk0_phase_shift : STRING;
clk1_divide_by : NATURAL;
clk1_duty_cycle : NATURAL;
clk1_multiply_by : NATURAL;
clk1_phase_shift : STRING;
compensate_clock : STRING;
inclk0_input_frequency : NATURAL;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_type : STRING;
operation_mode : STRING;
pll_type : STRING;
port_activeclock : STRING;
port_areset : STRING;
port_clkbad0 : STRING;
port_clkbad1 : STRING;
port_clkloss : STRING;
port_clkswitch : STRING;
port_configupdate : STRING;
port_fbin : STRING;
port_inclk0 : STRING;
port_inclk1 : STRING;
port_locked : STRING;
port_pfdena : STRING;
port_phasecounterselect : STRING;
port_phasedone : STRING;
port_phasestep : STRING;
port_phaseupdown : STRING;
port_pllena : STRING;
port_scanaclr : STRING;
port_scanclk : STRING;
port_scanclkena : STRING;
port_scandata : STRING;
port_scandataout : STRING;
port_scandone : STRING;
port_scanread : STRING;
port_scanwrite : STRING;
port_clk0 : STRING;
port_clk1 : STRING;
port_clk2 : STRING;
port_clk3 : STRING;
port_clk4 : STRING;
port_clk5 : STRING;
port_clkena0 : STRING;
port_clkena1 : STRING;
port_clkena2 : STRING;
port_clkena3 : STRING;
port_clkena4 : STRING;
port_clkena5 : STRING;
port_extclk0 : STRING;
port_extclk1 : STRING;
port_extclk2 : STRING;
port_extclk3 : STRING;
self_reset_on_loss_lock : STRING;
width_clock : NATURAL
);
PORT (
clk : OUT STD_LOGIC_VECTOR (4 DOWNTO 0);
inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
locked : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
sub_wire6_bv(0 DOWNTO 0) <= "0";
sub_wire6 <= To_stdlogicvector(sub_wire6_bv);
sub_wire3 <= sub_wire0(0);
sub_wire1 <= sub_wire0(1);
c1 <= sub_wire1;
locked <= sub_wire2;
c0 <= sub_wire3;
sub_wire4 <= inclk0;
sub_wire5 <= sub_wire6(0 DOWNTO 0) & sub_wire4;
altpll_component : altpll
GENERIC MAP (
bandwidth_type => "AUTO",
clk0_divide_by => 1,
clk0_duty_cycle => 50,
clk0_multiply_by => 1,
clk0_phase_shift => "0",
clk1_divide_by => 1,
clk1_duty_cycle => 50,
clk1_multiply_by => 2,
clk1_phase_shift => "0",
compensate_clock => "CLK0",
inclk0_input_frequency => 20000,
intended_device_family => "Cyclone III",
lpm_hint => "CBX_MODULE_PREFIX=altpll0",
lpm_type => "altpll",
operation_mode => "NORMAL",
pll_type => "AUTO",
port_activeclock => "PORT_UNUSED",
port_areset => "PORT_UNUSED",
port_clkbad0 => "PORT_UNUSED",
port_clkbad1 => "PORT_UNUSED",
port_clkloss => "PORT_UNUSED",
port_clkswitch => "PORT_UNUSED",
port_configupdate => "PORT_UNUSED",
port_fbin => "PORT_UNUSED",
port_inclk0 => "PORT_USED",
port_inclk1 => "PORT_UNUSED",
port_locked => "PORT_USED",
port_pfdena => "PORT_UNUSED",
port_phasecounterselect => "PORT_UNUSED",
port_phasedone => "PORT_UNUSED",
port_phasestep => "PORT_UNUSED",
port_phaseupdown => "PORT_UNUSED",
port_pllena => "PORT_UNUSED",
port_scanaclr => "PORT_UNUSED",
port_scanclk => "PORT_UNUSED",
port_scanclkena => "PORT_UNUSED",
port_scandata => "PORT_UNUSED",
port_scandataout => "PORT_UNUSED",
port_scandone => "PORT_UNUSED",
port_scanread => "PORT_UNUSED",
port_scanwrite => "PORT_UNUSED",
port_clk0 => "PORT_USED",
port_clk1 => "PORT_USED",
port_clk2 => "PORT_UNUSED",
port_clk3 => "PORT_UNUSED",
port_clk4 => "PORT_UNUSED",
port_clk5 => "PORT_UNUSED",
port_clkena0 => "PORT_UNUSED",
port_clkena1 => "PORT_UNUSED",
port_clkena2 => "PORT_UNUSED",
port_clkena3 => "PORT_UNUSED",
port_clkena4 => "PORT_UNUSED",
port_clkena5 => "PORT_UNUSED",
port_extclk0 => "PORT_UNUSED",
port_extclk1 => "PORT_UNUSED",
port_extclk2 => "PORT_UNUSED",
port_extclk3 => "PORT_UNUSED",
self_reset_on_loss_lock => "OFF",
width_clock => 5
)
PORT MAP (
inclk => sub_wire5,
clk => sub_wire0,
locked => sub_wire2
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
-- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
-- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
-- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
-- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
-- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
-- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
-- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
-- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
-- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
-- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
-- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
-- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
-- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
-- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any"
-- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
-- Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
-- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "50.000000"
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "100.000000"
-- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg"
-- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
-- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
-- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1"
-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "50.00000000"
-- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
-- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
-- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
-- Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
-- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg"
-- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
-- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
-- Retrieval info: PRIVATE: RECONFIG_FILE STRING "altpll0.mif"
-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
-- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
-- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
-- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
-- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
-- Retrieval info: PRIVATE: SPREAD_USE STRING "0"
-- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
-- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
-- Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
-- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
-- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: USE_CLK0 STRING "1"
-- Retrieval info: PRIVATE: USE_CLK1 STRING "1"
-- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
-- Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
-- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
-- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1"
-- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
-- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "2"
-- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
-- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
-- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF"
-- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
-- Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
-- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]"
-- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
-- Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
-- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
-- Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
-- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
-- Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
-- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.ppf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.bsf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL altpll0_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
-- Retrieval info: CBX_MODULE_PREFIX: ON
| gpl-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.