repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd/gcd.cache/ip/2018.2/ddc26209722952ee/gcd_block_design_processing_system7_0_2_sim_netlist.vhdl | 1 | 204,716 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Sun Sep 22 03:32:42 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ gcd_block_design_processing_system7_0_2_sim_netlist.vhdl
-- Design : gcd_block_design_processing_system7_0_2
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is
port (
CAN0_PHY_TX : out STD_LOGIC;
CAN0_PHY_RX : in STD_LOGIC;
CAN1_PHY_TX : out STD_LOGIC;
CAN1_PHY_RX : in STD_LOGIC;
ENET0_GMII_TX_EN : out STD_LOGIC;
ENET0_GMII_TX_ER : out STD_LOGIC;
ENET0_MDIO_MDC : out STD_LOGIC;
ENET0_MDIO_O : out STD_LOGIC;
ENET0_MDIO_T : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET0_SOF_RX : out STD_LOGIC;
ENET0_SOF_TX : out STD_LOGIC;
ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET0_GMII_COL : in STD_LOGIC;
ENET0_GMII_CRS : in STD_LOGIC;
ENET0_GMII_RX_CLK : in STD_LOGIC;
ENET0_GMII_RX_DV : in STD_LOGIC;
ENET0_GMII_RX_ER : in STD_LOGIC;
ENET0_GMII_TX_CLK : in STD_LOGIC;
ENET0_MDIO_I : in STD_LOGIC;
ENET0_EXT_INTIN : in STD_LOGIC;
ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_TX_EN : out STD_LOGIC;
ENET1_GMII_TX_ER : out STD_LOGIC;
ENET1_MDIO_MDC : out STD_LOGIC;
ENET1_MDIO_O : out STD_LOGIC;
ENET1_MDIO_T : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET1_SOF_RX : out STD_LOGIC;
ENET1_SOF_TX : out STD_LOGIC;
ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_COL : in STD_LOGIC;
ENET1_GMII_CRS : in STD_LOGIC;
ENET1_GMII_RX_CLK : in STD_LOGIC;
ENET1_GMII_RX_DV : in STD_LOGIC;
ENET1_GMII_RX_ER : in STD_LOGIC;
ENET1_GMII_TX_CLK : in STD_LOGIC;
ENET1_MDIO_I : in STD_LOGIC;
ENET1_EXT_INTIN : in STD_LOGIC;
ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
I2C0_SDA_I : in STD_LOGIC;
I2C0_SDA_O : out STD_LOGIC;
I2C0_SDA_T : out STD_LOGIC;
I2C0_SCL_I : in STD_LOGIC;
I2C0_SCL_O : out STD_LOGIC;
I2C0_SCL_T : out STD_LOGIC;
I2C1_SDA_I : in STD_LOGIC;
I2C1_SDA_O : out STD_LOGIC;
I2C1_SDA_T : out STD_LOGIC;
I2C1_SCL_I : in STD_LOGIC;
I2C1_SCL_O : out STD_LOGIC;
I2C1_SCL_T : out STD_LOGIC;
PJTAG_TCK : in STD_LOGIC;
PJTAG_TMS : in STD_LOGIC;
PJTAG_TDI : in STD_LOGIC;
PJTAG_TDO : out STD_LOGIC;
SDIO0_CLK : out STD_LOGIC;
SDIO0_CLK_FB : in STD_LOGIC;
SDIO0_CMD_O : out STD_LOGIC;
SDIO0_CMD_I : in STD_LOGIC;
SDIO0_CMD_T : out STD_LOGIC;
SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_LED : out STD_LOGIC;
SDIO0_CDN : in STD_LOGIC;
SDIO0_WP : in STD_LOGIC;
SDIO0_BUSPOW : out STD_LOGIC;
SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SDIO1_CLK : out STD_LOGIC;
SDIO1_CLK_FB : in STD_LOGIC;
SDIO1_CMD_O : out STD_LOGIC;
SDIO1_CMD_I : in STD_LOGIC;
SDIO1_CMD_T : out STD_LOGIC;
SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_LED : out STD_LOGIC;
SDIO1_CDN : in STD_LOGIC;
SDIO1_WP : in STD_LOGIC;
SDIO1_BUSPOW : out STD_LOGIC;
SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SPI0_SCLK_I : in STD_LOGIC;
SPI0_SCLK_O : out STD_LOGIC;
SPI0_SCLK_T : out STD_LOGIC;
SPI0_MOSI_I : in STD_LOGIC;
SPI0_MOSI_O : out STD_LOGIC;
SPI0_MOSI_T : out STD_LOGIC;
SPI0_MISO_I : in STD_LOGIC;
SPI0_MISO_O : out STD_LOGIC;
SPI0_MISO_T : out STD_LOGIC;
SPI0_SS_I : in STD_LOGIC;
SPI0_SS_O : out STD_LOGIC;
SPI0_SS1_O : out STD_LOGIC;
SPI0_SS2_O : out STD_LOGIC;
SPI0_SS_T : out STD_LOGIC;
SPI1_SCLK_I : in STD_LOGIC;
SPI1_SCLK_O : out STD_LOGIC;
SPI1_SCLK_T : out STD_LOGIC;
SPI1_MOSI_I : in STD_LOGIC;
SPI1_MOSI_O : out STD_LOGIC;
SPI1_MOSI_T : out STD_LOGIC;
SPI1_MISO_I : in STD_LOGIC;
SPI1_MISO_O : out STD_LOGIC;
SPI1_MISO_T : out STD_LOGIC;
SPI1_SS_I : in STD_LOGIC;
SPI1_SS_O : out STD_LOGIC;
SPI1_SS1_O : out STD_LOGIC;
SPI1_SS2_O : out STD_LOGIC;
SPI1_SS_T : out STD_LOGIC;
UART0_DTRN : out STD_LOGIC;
UART0_RTSN : out STD_LOGIC;
UART0_TX : out STD_LOGIC;
UART0_CTSN : in STD_LOGIC;
UART0_DCDN : in STD_LOGIC;
UART0_DSRN : in STD_LOGIC;
UART0_RIN : in STD_LOGIC;
UART0_RX : in STD_LOGIC;
UART1_DTRN : out STD_LOGIC;
UART1_RTSN : out STD_LOGIC;
UART1_TX : out STD_LOGIC;
UART1_CTSN : in STD_LOGIC;
UART1_DCDN : in STD_LOGIC;
UART1_DSRN : in STD_LOGIC;
UART1_RIN : in STD_LOGIC;
UART1_RX : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
TTC0_CLK0_IN : in STD_LOGIC;
TTC0_CLK1_IN : in STD_LOGIC;
TTC0_CLK2_IN : in STD_LOGIC;
TTC1_WAVE0_OUT : out STD_LOGIC;
TTC1_WAVE1_OUT : out STD_LOGIC;
TTC1_WAVE2_OUT : out STD_LOGIC;
TTC1_CLK0_IN : in STD_LOGIC;
TTC1_CLK1_IN : in STD_LOGIC;
TTC1_CLK2_IN : in STD_LOGIC;
WDT_CLK_IN : in STD_LOGIC;
WDT_RST_OUT : out STD_LOGIC;
TRACE_CLK : in STD_LOGIC;
TRACE_CTL : out STD_LOGIC;
TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 );
TRACE_CLK_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB1_VBUS_PWRSELECT : out STD_LOGIC;
USB1_VBUS_PWRFAULT : in STD_LOGIC;
SRAM_INTIN : in STD_LOGIC;
M_AXI_GP0_ARESETN : out STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARESETN : out STD_LOGIC;
M_AXI_GP1_ARVALID : out STD_LOGIC;
M_AXI_GP1_AWVALID : out STD_LOGIC;
M_AXI_GP1_BREADY : out STD_LOGIC;
M_AXI_GP1_RREADY : out STD_LOGIC;
M_AXI_GP1_WLAST : out STD_LOGIC;
M_AXI_GP1_WVALID : out STD_LOGIC;
M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ACLK : in STD_LOGIC;
M_AXI_GP1_ARREADY : in STD_LOGIC;
M_AXI_GP1_AWREADY : in STD_LOGIC;
M_AXI_GP1_BVALID : in STD_LOGIC;
M_AXI_GP1_RLAST : in STD_LOGIC;
M_AXI_GP1_RVALID : in STD_LOGIC;
M_AXI_GP1_WREADY : in STD_LOGIC;
M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARESETN : out STD_LOGIC;
S_AXI_GP0_ARREADY : out STD_LOGIC;
S_AXI_GP0_AWREADY : out STD_LOGIC;
S_AXI_GP0_BVALID : out STD_LOGIC;
S_AXI_GP0_RLAST : out STD_LOGIC;
S_AXI_GP0_RVALID : out STD_LOGIC;
S_AXI_GP0_WREADY : out STD_LOGIC;
S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_ACLK : in STD_LOGIC;
S_AXI_GP0_ARVALID : in STD_LOGIC;
S_AXI_GP0_AWVALID : in STD_LOGIC;
S_AXI_GP0_BREADY : in STD_LOGIC;
S_AXI_GP0_RREADY : in STD_LOGIC;
S_AXI_GP0_WLAST : in STD_LOGIC;
S_AXI_GP0_WVALID : in STD_LOGIC;
S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ARESETN : out STD_LOGIC;
S_AXI_GP1_ARREADY : out STD_LOGIC;
S_AXI_GP1_AWREADY : out STD_LOGIC;
S_AXI_GP1_BVALID : out STD_LOGIC;
S_AXI_GP1_RLAST : out STD_LOGIC;
S_AXI_GP1_RVALID : out STD_LOGIC;
S_AXI_GP1_WREADY : out STD_LOGIC;
S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ACLK : in STD_LOGIC;
S_AXI_GP1_ARVALID : in STD_LOGIC;
S_AXI_GP1_AWVALID : in STD_LOGIC;
S_AXI_GP1_BREADY : in STD_LOGIC;
S_AXI_GP1_RREADY : in STD_LOGIC;
S_AXI_GP1_WLAST : in STD_LOGIC;
S_AXI_GP1_WVALID : in STD_LOGIC;
S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_ACP_ARESETN : out STD_LOGIC;
S_AXI_ACP_ARREADY : out STD_LOGIC;
S_AXI_ACP_AWREADY : out STD_LOGIC;
S_AXI_ACP_BVALID : out STD_LOGIC;
S_AXI_ACP_RLAST : out STD_LOGIC;
S_AXI_ACP_RVALID : out STD_LOGIC;
S_AXI_ACP_WREADY : out STD_LOGIC;
S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_ACLK : in STD_LOGIC;
S_AXI_ACP_ARVALID : in STD_LOGIC;
S_AXI_ACP_AWVALID : in STD_LOGIC;
S_AXI_ACP_BREADY : in STD_LOGIC;
S_AXI_ACP_RREADY : in STD_LOGIC;
S_AXI_ACP_WLAST : in STD_LOGIC;
S_AXI_ACP_WVALID : in STD_LOGIC;
S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_ARESETN : out STD_LOGIC;
S_AXI_HP0_ARREADY : out STD_LOGIC;
S_AXI_HP0_AWREADY : out STD_LOGIC;
S_AXI_HP0_BVALID : out STD_LOGIC;
S_AXI_HP0_RLAST : out STD_LOGIC;
S_AXI_HP0_RVALID : out STD_LOGIC;
S_AXI_HP0_WREADY : out STD_LOGIC;
S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_ACLK : in STD_LOGIC;
S_AXI_HP0_ARVALID : in STD_LOGIC;
S_AXI_HP0_AWVALID : in STD_LOGIC;
S_AXI_HP0_BREADY : in STD_LOGIC;
S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_RREADY : in STD_LOGIC;
S_AXI_HP0_WLAST : in STD_LOGIC;
S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_WVALID : in STD_LOGIC;
S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_ARESETN : out STD_LOGIC;
S_AXI_HP1_ARREADY : out STD_LOGIC;
S_AXI_HP1_AWREADY : out STD_LOGIC;
S_AXI_HP1_BVALID : out STD_LOGIC;
S_AXI_HP1_RLAST : out STD_LOGIC;
S_AXI_HP1_RVALID : out STD_LOGIC;
S_AXI_HP1_WREADY : out STD_LOGIC;
S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_ACLK : in STD_LOGIC;
S_AXI_HP1_ARVALID : in STD_LOGIC;
S_AXI_HP1_AWVALID : in STD_LOGIC;
S_AXI_HP1_BREADY : in STD_LOGIC;
S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_RREADY : in STD_LOGIC;
S_AXI_HP1_WLAST : in STD_LOGIC;
S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_WVALID : in STD_LOGIC;
S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_ARESETN : out STD_LOGIC;
S_AXI_HP2_ARREADY : out STD_LOGIC;
S_AXI_HP2_AWREADY : out STD_LOGIC;
S_AXI_HP2_BVALID : out STD_LOGIC;
S_AXI_HP2_RLAST : out STD_LOGIC;
S_AXI_HP2_RVALID : out STD_LOGIC;
S_AXI_HP2_WREADY : out STD_LOGIC;
S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_ACLK : in STD_LOGIC;
S_AXI_HP2_ARVALID : in STD_LOGIC;
S_AXI_HP2_AWVALID : in STD_LOGIC;
S_AXI_HP2_BREADY : in STD_LOGIC;
S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_RREADY : in STD_LOGIC;
S_AXI_HP2_WLAST : in STD_LOGIC;
S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_WVALID : in STD_LOGIC;
S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_ARESETN : out STD_LOGIC;
S_AXI_HP3_ARREADY : out STD_LOGIC;
S_AXI_HP3_AWREADY : out STD_LOGIC;
S_AXI_HP3_BVALID : out STD_LOGIC;
S_AXI_HP3_RLAST : out STD_LOGIC;
S_AXI_HP3_RVALID : out STD_LOGIC;
S_AXI_HP3_WREADY : out STD_LOGIC;
S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_ACLK : in STD_LOGIC;
S_AXI_HP3_ARVALID : in STD_LOGIC;
S_AXI_HP3_AWVALID : in STD_LOGIC;
S_AXI_HP3_BREADY : in STD_LOGIC;
S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_RREADY : in STD_LOGIC;
S_AXI_HP3_WLAST : in STD_LOGIC;
S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_WVALID : in STD_LOGIC;
S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
IRQ_P2F_DMAC_ABORT : out STD_LOGIC;
IRQ_P2F_DMAC0 : out STD_LOGIC;
IRQ_P2F_DMAC1 : out STD_LOGIC;
IRQ_P2F_DMAC2 : out STD_LOGIC;
IRQ_P2F_DMAC3 : out STD_LOGIC;
IRQ_P2F_DMAC4 : out STD_LOGIC;
IRQ_P2F_DMAC5 : out STD_LOGIC;
IRQ_P2F_DMAC6 : out STD_LOGIC;
IRQ_P2F_DMAC7 : out STD_LOGIC;
IRQ_P2F_SMC : out STD_LOGIC;
IRQ_P2F_QSPI : out STD_LOGIC;
IRQ_P2F_CTI : out STD_LOGIC;
IRQ_P2F_GPIO : out STD_LOGIC;
IRQ_P2F_USB0 : out STD_LOGIC;
IRQ_P2F_ENET0 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE0 : out STD_LOGIC;
IRQ_P2F_SDIO0 : out STD_LOGIC;
IRQ_P2F_I2C0 : out STD_LOGIC;
IRQ_P2F_SPI0 : out STD_LOGIC;
IRQ_P2F_UART0 : out STD_LOGIC;
IRQ_P2F_CAN0 : out STD_LOGIC;
IRQ_P2F_USB1 : out STD_LOGIC;
IRQ_P2F_ENET1 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE1 : out STD_LOGIC;
IRQ_P2F_SDIO1 : out STD_LOGIC;
IRQ_P2F_I2C1 : out STD_LOGIC;
IRQ_P2F_SPI1 : out STD_LOGIC;
IRQ_P2F_UART1 : out STD_LOGIC;
IRQ_P2F_CAN1 : out STD_LOGIC;
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
Core0_nFIQ : in STD_LOGIC;
Core0_nIRQ : in STD_LOGIC;
Core1_nFIQ : in STD_LOGIC;
Core1_nIRQ : in STD_LOGIC;
DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA0_DAVALID : out STD_LOGIC;
DMA0_DRREADY : out STD_LOGIC;
DMA0_RSTN : out STD_LOGIC;
DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DAVALID : out STD_LOGIC;
DMA1_DRREADY : out STD_LOGIC;
DMA1_RSTN : out STD_LOGIC;
DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DAVALID : out STD_LOGIC;
DMA2_DRREADY : out STD_LOGIC;
DMA2_RSTN : out STD_LOGIC;
DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DAVALID : out STD_LOGIC;
DMA3_DRREADY : out STD_LOGIC;
DMA3_RSTN : out STD_LOGIC;
DMA0_ACLK : in STD_LOGIC;
DMA0_DAREADY : in STD_LOGIC;
DMA0_DRLAST : in STD_LOGIC;
DMA0_DRVALID : in STD_LOGIC;
DMA1_ACLK : in STD_LOGIC;
DMA1_DAREADY : in STD_LOGIC;
DMA1_DRLAST : in STD_LOGIC;
DMA1_DRVALID : in STD_LOGIC;
DMA2_ACLK : in STD_LOGIC;
DMA2_DAREADY : in STD_LOGIC;
DMA2_DRLAST : in STD_LOGIC;
DMA2_DRVALID : in STD_LOGIC;
DMA3_ACLK : in STD_LOGIC;
DMA3_DAREADY : in STD_LOGIC;
DMA3_DRLAST : in STD_LOGIC;
DMA3_DRVALID : in STD_LOGIC;
DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
FCLK_CLK3 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLKTRIG3_N : in STD_LOGIC;
FCLK_CLKTRIG2_N : in STD_LOGIC;
FCLK_CLKTRIG1_N : in STD_LOGIC;
FCLK_CLKTRIG0_N : in STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMD_TRACEIN_VALID : in STD_LOGIC;
FTMD_TRACEIN_CLK : in STD_LOGIC;
FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 );
FTMT_F2P_TRIG_0 : in STD_LOGIC;
FTMT_F2P_TRIGACK_0 : out STD_LOGIC;
FTMT_F2P_TRIG_1 : in STD_LOGIC;
FTMT_F2P_TRIGACK_1 : out STD_LOGIC;
FTMT_F2P_TRIG_2 : in STD_LOGIC;
FTMT_F2P_TRIGACK_2 : out STD_LOGIC;
FTMT_F2P_TRIG_3 : in STD_LOGIC;
FTMT_F2P_TRIGACK_3 : out STD_LOGIC;
FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMT_P2F_TRIGACK_0 : in STD_LOGIC;
FTMT_P2F_TRIG_0 : out STD_LOGIC;
FTMT_P2F_TRIGACK_1 : in STD_LOGIC;
FTMT_P2F_TRIG_1 : out STD_LOGIC;
FTMT_P2F_TRIGACK_2 : in STD_LOGIC;
FTMT_P2F_TRIG_2 : out STD_LOGIC;
FTMT_P2F_TRIGACK_3 : in STD_LOGIC;
FTMT_P2F_TRIG_3 : out STD_LOGIC;
FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 );
FPGA_IDLE_N : in STD_LOGIC;
EVENT_EVENTO : out STD_LOGIC;
EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_EVENTI : in STD_LOGIC;
DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 );
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "gcd_block_design_processing_system7_0_2.hwdef";
attribute POWER : string;
attribute POWER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={650} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={525} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={9} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={108.333336} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={HSTL_I_18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={7} ioBank={Vcco_p0} clockFreq={200} usageRate={0.5} /><PLL domain={Processor} vco={1300.000} /><PLL domain={Memory} vco={1050.000} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is
signal \<const0>\ : STD_LOGIC;
signal ENET0_MDIO_T_n : STD_LOGIC;
signal ENET1_MDIO_T_n : STD_LOGIC;
signal FCLK_CLK_unbuffered : STD_LOGIC_VECTOR ( 0 to 0 );
signal I2C0_SCL_T_n : STD_LOGIC;
signal I2C0_SDA_T_n : STD_LOGIC;
signal I2C1_SCL_T_n : STD_LOGIC;
signal I2C1_SDA_T_n : STD_LOGIC;
signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal SDIO0_CMD_T_n : STD_LOGIC;
signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SDIO1_CMD_T_n : STD_LOGIC;
signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SPI0_MISO_T_n : STD_LOGIC;
signal SPI0_MOSI_T_n : STD_LOGIC;
signal SPI0_SCLK_T_n : STD_LOGIC;
signal SPI0_SS_T_n : STD_LOGIC;
signal SPI1_MISO_T_n : STD_LOGIC;
signal SPI1_MOSI_T_n : STD_LOGIC;
signal SPI1_SCLK_T_n : STD_LOGIC;
signal SPI1_SS_T_n : STD_LOGIC;
signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true";
signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true";
signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true";
signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true";
signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true";
signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true";
signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true";
signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true";
signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true";
signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true";
signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true";
signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true";
signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true";
signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true";
signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true";
signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true";
signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 );
signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 );
signal buffered_DDR_CAS_n : STD_LOGIC;
signal buffered_DDR_CKE : STD_LOGIC;
signal buffered_DDR_CS_n : STD_LOGIC;
signal buffered_DDR_Clk : STD_LOGIC;
signal buffered_DDR_Clk_n : STD_LOGIC;
signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DRSTB : STD_LOGIC;
signal buffered_DDR_ODT : STD_LOGIC;
signal buffered_DDR_RAS_n : STD_LOGIC;
signal buffered_DDR_VRN : STD_LOGIC;
signal buffered_DDR_VRP : STD_LOGIC;
signal buffered_DDR_WEB : STD_LOGIC;
signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 );
signal buffered_PS_CLK : STD_LOGIC;
signal buffered_PS_PORB : STD_LOGIC;
signal buffered_PS_SRSTB : STD_LOGIC;
signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS7_i : label is "PRIMITIVE";
attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
begin
ENET0_GMII_TXD(7) <= \<const0>\;
ENET0_GMII_TXD(6) <= \<const0>\;
ENET0_GMII_TXD(5) <= \<const0>\;
ENET0_GMII_TXD(4) <= \<const0>\;
ENET0_GMII_TXD(3) <= \<const0>\;
ENET0_GMII_TXD(2) <= \<const0>\;
ENET0_GMII_TXD(1) <= \<const0>\;
ENET0_GMII_TXD(0) <= \<const0>\;
ENET0_GMII_TX_EN <= \<const0>\;
ENET0_GMII_TX_ER <= \<const0>\;
ENET1_GMII_TXD(7) <= \<const0>\;
ENET1_GMII_TXD(6) <= \<const0>\;
ENET1_GMII_TXD(5) <= \<const0>\;
ENET1_GMII_TXD(4) <= \<const0>\;
ENET1_GMII_TXD(3) <= \<const0>\;
ENET1_GMII_TXD(2) <= \<const0>\;
ENET1_GMII_TXD(1) <= \<const0>\;
ENET1_GMII_TXD(0) <= \<const0>\;
ENET1_GMII_TX_EN <= \<const0>\;
ENET1_GMII_TX_ER <= \<const0>\;
M_AXI_GP0_ARSIZE(2) <= \<const0>\;
M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0);
M_AXI_GP0_AWSIZE(2) <= \<const0>\;
M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0);
M_AXI_GP1_ARSIZE(2) <= \<const0>\;
M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0);
M_AXI_GP1_AWSIZE(2) <= \<const0>\;
M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0);
PJTAG_TDO <= \<const0>\;
TRACE_CLK_OUT <= \<const0>\;
TRACE_CTL <= \TRACE_CTL_PIPE[0]\;
TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0);
DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CAS_n,
PAD => DDR_CAS_n
);
DDR_CKE_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CKE,
PAD => DDR_CKE
);
DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CS_n,
PAD => DDR_CS_n
);
DDR_Clk_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk,
PAD => DDR_Clk
);
DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk_n,
PAD => DDR_Clk_n
);
DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DRSTB,
PAD => DDR_DRSTB
);
DDR_ODT_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_ODT,
PAD => DDR_ODT
);
DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_RAS_n,
PAD => DDR_RAS_n
);
DDR_VRN_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRN,
PAD => DDR_VRN
);
DDR_VRP_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRP,
PAD => DDR_VRP
);
DDR_WEB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_WEB,
PAD => DDR_WEB
);
ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET0_MDIO_T_n,
O => ENET0_MDIO_T
);
ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET1_MDIO_T_n,
O => ENET1_MDIO_T
);
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(0),
O => GPIO_T(0)
);
\GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(10),
O => GPIO_T(10)
);
\GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(11),
O => GPIO_T(11)
);
\GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(12),
O => GPIO_T(12)
);
\GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(13),
O => GPIO_T(13)
);
\GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(14),
O => GPIO_T(14)
);
\GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(15),
O => GPIO_T(15)
);
\GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(16),
O => GPIO_T(16)
);
\GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(17),
O => GPIO_T(17)
);
\GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(18),
O => GPIO_T(18)
);
\GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(19),
O => GPIO_T(19)
);
\GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(1),
O => GPIO_T(1)
);
\GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(20),
O => GPIO_T(20)
);
\GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(21),
O => GPIO_T(21)
);
\GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(22),
O => GPIO_T(22)
);
\GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(23),
O => GPIO_T(23)
);
\GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(24),
O => GPIO_T(24)
);
\GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(25),
O => GPIO_T(25)
);
\GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(26),
O => GPIO_T(26)
);
\GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(27),
O => GPIO_T(27)
);
\GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(28),
O => GPIO_T(28)
);
\GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(29),
O => GPIO_T(29)
);
\GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(2),
O => GPIO_T(2)
);
\GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(30),
O => GPIO_T(30)
);
\GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(31),
O => GPIO_T(31)
);
\GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(32),
O => GPIO_T(32)
);
\GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(33),
O => GPIO_T(33)
);
\GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(34),
O => GPIO_T(34)
);
\GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(35),
O => GPIO_T(35)
);
\GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(36),
O => GPIO_T(36)
);
\GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(37),
O => GPIO_T(37)
);
\GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(38),
O => GPIO_T(38)
);
\GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(39),
O => GPIO_T(39)
);
\GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(3),
O => GPIO_T(3)
);
\GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(40),
O => GPIO_T(40)
);
\GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(41),
O => GPIO_T(41)
);
\GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(42),
O => GPIO_T(42)
);
\GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(43),
O => GPIO_T(43)
);
\GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(44),
O => GPIO_T(44)
);
\GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(45),
O => GPIO_T(45)
);
\GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(46),
O => GPIO_T(46)
);
\GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(47),
O => GPIO_T(47)
);
\GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(48),
O => GPIO_T(48)
);
\GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(49),
O => GPIO_T(49)
);
\GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(4),
O => GPIO_T(4)
);
\GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(50),
O => GPIO_T(50)
);
\GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(51),
O => GPIO_T(51)
);
\GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(52),
O => GPIO_T(52)
);
\GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(53),
O => GPIO_T(53)
);
\GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(54),
O => GPIO_T(54)
);
\GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(55),
O => GPIO_T(55)
);
\GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(56),
O => GPIO_T(56)
);
\GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(57),
O => GPIO_T(57)
);
\GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(58),
O => GPIO_T(58)
);
\GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(59),
O => GPIO_T(59)
);
\GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(5),
O => GPIO_T(5)
);
\GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(60),
O => GPIO_T(60)
);
\GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(61),
O => GPIO_T(61)
);
\GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(62),
O => GPIO_T(62)
);
\GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(63),
O => GPIO_T(63)
);
\GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(6),
O => GPIO_T(6)
);
\GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(7),
O => GPIO_T(7)
);
\GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(8),
O => GPIO_T(8)
);
\GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(9),
O => GPIO_T(9)
);
I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SCL_T_n,
O => I2C0_SCL_T
);
I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SDA_T_n,
O => I2C0_SDA_T
);
I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SCL_T_n,
O => I2C1_SCL_T
);
I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SDA_T_n,
O => I2C1_SDA_T
);
PS7_i: unisim.vcomponents.PS7
port map (
DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0),
DDRARB(3 downto 0) => DDR_ARB(3 downto 0),
DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0),
DDRCASB => buffered_DDR_CAS_n,
DDRCKE => buffered_DDR_CKE,
DDRCKN => buffered_DDR_Clk_n,
DDRCKP => buffered_DDR_Clk,
DDRCSB => buffered_DDR_CS_n,
DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0),
DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0),
DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0),
DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0),
DDRDRSTB => buffered_DDR_DRSTB,
DDRODT => buffered_DDR_ODT,
DDRRASB => buffered_DDR_RAS_n,
DDRVRN => buffered_DDR_VRN,
DDRVRP => buffered_DDR_VRP,
DDRWEB => buffered_DDR_WEB,
DMA0ACLK => DMA0_ACLK,
DMA0DAREADY => DMA0_DAREADY,
DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0),
DMA0DAVALID => DMA0_DAVALID,
DMA0DRLAST => DMA0_DRLAST,
DMA0DRREADY => DMA0_DRREADY,
DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0),
DMA0DRVALID => DMA0_DRVALID,
DMA0RSTN => DMA0_RSTN,
DMA1ACLK => DMA1_ACLK,
DMA1DAREADY => DMA1_DAREADY,
DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0),
DMA1DAVALID => DMA1_DAVALID,
DMA1DRLAST => DMA1_DRLAST,
DMA1DRREADY => DMA1_DRREADY,
DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0),
DMA1DRVALID => DMA1_DRVALID,
DMA1RSTN => DMA1_RSTN,
DMA2ACLK => DMA2_ACLK,
DMA2DAREADY => DMA2_DAREADY,
DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0),
DMA2DAVALID => DMA2_DAVALID,
DMA2DRLAST => DMA2_DRLAST,
DMA2DRREADY => DMA2_DRREADY,
DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0),
DMA2DRVALID => DMA2_DRVALID,
DMA2RSTN => DMA2_RSTN,
DMA3ACLK => DMA3_ACLK,
DMA3DAREADY => DMA3_DAREADY,
DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0),
DMA3DAVALID => DMA3_DAVALID,
DMA3DRLAST => DMA3_DRLAST,
DMA3DRREADY => DMA3_DRREADY,
DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0),
DMA3DRVALID => DMA3_DRVALID,
DMA3RSTN => DMA3_RSTN,
EMIOCAN0PHYRX => CAN0_PHY_RX,
EMIOCAN0PHYTX => CAN0_PHY_TX,
EMIOCAN1PHYRX => CAN1_PHY_RX,
EMIOCAN1PHYTX => CAN1_PHY_TX,
EMIOENET0EXTINTIN => ENET0_EXT_INTIN,
EMIOENET0GMIICOL => '0',
EMIOENET0GMIICRS => '0',
EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK,
EMIOENET0GMIIRXD(7 downto 0) => B"00000000",
EMIOENET0GMIIRXDV => '0',
EMIOENET0GMIIRXER => '0',
EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK,
EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED,
EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED,
EMIOENET0MDIOI => ENET0_MDIO_I,
EMIOENET0MDIOMDC => ENET0_MDIO_MDC,
EMIOENET0MDIOO => ENET0_MDIO_O,
EMIOENET0MDIOTN => ENET0_MDIO_T_n,
EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX,
EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX,
EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX,
EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX,
EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX,
EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX,
EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX,
EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX,
EMIOENET0SOFRX => ENET0_SOF_RX,
EMIOENET0SOFTX => ENET0_SOF_TX,
EMIOENET1EXTINTIN => ENET1_EXT_INTIN,
EMIOENET1GMIICOL => '0',
EMIOENET1GMIICRS => '0',
EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK,
EMIOENET1GMIIRXD(7 downto 0) => B"00000000",
EMIOENET1GMIIRXDV => '0',
EMIOENET1GMIIRXER => '0',
EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK,
EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED,
EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED,
EMIOENET1MDIOI => ENET1_MDIO_I,
EMIOENET1MDIOMDC => ENET1_MDIO_MDC,
EMIOENET1MDIOO => ENET1_MDIO_O,
EMIOENET1MDIOTN => ENET1_MDIO_T_n,
EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX,
EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX,
EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX,
EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX,
EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX,
EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX,
EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX,
EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX,
EMIOENET1SOFRX => ENET1_SOF_RX,
EMIOENET1SOFTX => ENET1_SOF_TX,
EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0),
EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0),
EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0),
EMIOI2C0SCLI => I2C0_SCL_I,
EMIOI2C0SCLO => I2C0_SCL_O,
EMIOI2C0SCLTN => I2C0_SCL_T_n,
EMIOI2C0SDAI => I2C0_SDA_I,
EMIOI2C0SDAO => I2C0_SDA_O,
EMIOI2C0SDATN => I2C0_SDA_T_n,
EMIOI2C1SCLI => I2C1_SCL_I,
EMIOI2C1SCLO => I2C1_SCL_O,
EMIOI2C1SCLTN => I2C1_SCL_T_n,
EMIOI2C1SDAI => I2C1_SDA_I,
EMIOI2C1SDAO => I2C1_SDA_O,
EMIOI2C1SDATN => I2C1_SDA_T_n,
EMIOPJTAGTCK => PJTAG_TCK,
EMIOPJTAGTDI => PJTAG_TDI,
EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED,
EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED,
EMIOPJTAGTMS => PJTAG_TMS,
EMIOSDIO0BUSPOW => SDIO0_BUSPOW,
EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0),
EMIOSDIO0CDN => SDIO0_CDN,
EMIOSDIO0CLK => SDIO0_CLK,
EMIOSDIO0CLKFB => SDIO0_CLK_FB,
EMIOSDIO0CMDI => SDIO0_CMD_I,
EMIOSDIO0CMDO => SDIO0_CMD_O,
EMIOSDIO0CMDTN => SDIO0_CMD_T_n,
EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0),
EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0),
EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0),
EMIOSDIO0LED => SDIO0_LED,
EMIOSDIO0WP => SDIO0_WP,
EMIOSDIO1BUSPOW => SDIO1_BUSPOW,
EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0),
EMIOSDIO1CDN => SDIO1_CDN,
EMIOSDIO1CLK => SDIO1_CLK,
EMIOSDIO1CLKFB => SDIO1_CLK_FB,
EMIOSDIO1CMDI => SDIO1_CMD_I,
EMIOSDIO1CMDO => SDIO1_CMD_O,
EMIOSDIO1CMDTN => SDIO1_CMD_T_n,
EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0),
EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0),
EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0),
EMIOSDIO1LED => SDIO1_LED,
EMIOSDIO1WP => SDIO1_WP,
EMIOSPI0MI => SPI0_MISO_I,
EMIOSPI0MO => SPI0_MOSI_O,
EMIOSPI0MOTN => SPI0_MOSI_T_n,
EMIOSPI0SCLKI => SPI0_SCLK_I,
EMIOSPI0SCLKO => SPI0_SCLK_O,
EMIOSPI0SCLKTN => SPI0_SCLK_T_n,
EMIOSPI0SI => SPI0_MOSI_I,
EMIOSPI0SO => SPI0_MISO_O,
EMIOSPI0SSIN => SPI0_SS_I,
EMIOSPI0SSNTN => SPI0_SS_T_n,
EMIOSPI0SSON(2) => SPI0_SS2_O,
EMIOSPI0SSON(1) => SPI0_SS1_O,
EMIOSPI0SSON(0) => SPI0_SS_O,
EMIOSPI0STN => SPI0_MISO_T_n,
EMIOSPI1MI => SPI1_MISO_I,
EMIOSPI1MO => SPI1_MOSI_O,
EMIOSPI1MOTN => SPI1_MOSI_T_n,
EMIOSPI1SCLKI => SPI1_SCLK_I,
EMIOSPI1SCLKO => SPI1_SCLK_O,
EMIOSPI1SCLKTN => SPI1_SCLK_T_n,
EMIOSPI1SI => SPI1_MOSI_I,
EMIOSPI1SO => SPI1_MISO_O,
EMIOSPI1SSIN => SPI1_SS_I,
EMIOSPI1SSNTN => SPI1_SS_T_n,
EMIOSPI1SSON(2) => SPI1_SS2_O,
EMIOSPI1SSON(1) => SPI1_SS1_O,
EMIOSPI1SSON(0) => SPI1_SS_O,
EMIOSPI1STN => SPI1_MISO_T_n,
EMIOSRAMINTIN => SRAM_INTIN,
EMIOTRACECLK => TRACE_CLK,
EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED,
EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0),
EMIOTTC0CLKI(2) => TTC0_CLK2_IN,
EMIOTTC0CLKI(1) => TTC0_CLK1_IN,
EMIOTTC0CLKI(0) => TTC0_CLK0_IN,
EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT,
EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT,
EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT,
EMIOTTC1CLKI(2) => TTC1_CLK2_IN,
EMIOTTC1CLKI(1) => TTC1_CLK1_IN,
EMIOTTC1CLKI(0) => TTC1_CLK0_IN,
EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT,
EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT,
EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT,
EMIOUART0CTSN => UART0_CTSN,
EMIOUART0DCDN => UART0_DCDN,
EMIOUART0DSRN => UART0_DSRN,
EMIOUART0DTRN => UART0_DTRN,
EMIOUART0RIN => UART0_RIN,
EMIOUART0RTSN => UART0_RTSN,
EMIOUART0RX => UART0_RX,
EMIOUART0TX => UART0_TX,
EMIOUART1CTSN => UART1_CTSN,
EMIOUART1DCDN => UART1_DCDN,
EMIOUART1DSRN => UART1_DSRN,
EMIOUART1DTRN => UART1_DTRN,
EMIOUART1RIN => UART1_RIN,
EMIOUART1RTSN => UART1_RTSN,
EMIOUART1RX => UART1_RX,
EMIOUART1TX => UART1_TX,
EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0),
EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT,
EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT,
EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0),
EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT,
EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT,
EMIOWDTCLKI => WDT_CLK_IN,
EMIOWDTRSTO => WDT_RST_OUT,
EVENTEVENTI => EVENT_EVENTI,
EVENTEVENTO => EVENT_EVENTO,
EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0),
EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0),
FCLKCLK(3) => FCLK_CLK3,
FCLKCLK(2) => FCLK_CLK2,
FCLKCLK(1) => FCLK_CLK1,
FCLKCLK(0) => FCLK_CLK_unbuffered(0),
FCLKCLKTRIGN(3 downto 0) => B"0000",
FCLKRESETN(3) => FCLK_RESET3_N,
FCLKRESETN(2) => FCLK_RESET2_N,
FCLKRESETN(1) => FCLK_RESET1_N,
FCLKRESETN(0) => FCLK_RESET0_N,
FPGAIDLEN => FPGA_IDLE_N,
FTMDTRACEINATID(3 downto 0) => B"0000",
FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK,
FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000",
FTMDTRACEINVALID => '0',
FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0),
FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3,
FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2,
FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1,
FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0,
FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3,
FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2,
FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1,
FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0,
FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0),
FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3,
FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2,
FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1,
FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0,
FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3,
FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2,
FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1,
FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0,
IRQF2P(19) => Core1_nFIQ,
IRQF2P(18) => Core0_nFIQ,
IRQF2P(17) => Core1_nIRQ,
IRQF2P(16) => Core0_nIRQ,
IRQF2P(15 downto 1) => B"000000000000000",
IRQF2P(0) => IRQ_F2P(0),
IRQP2F(28) => IRQ_P2F_DMAC_ABORT,
IRQP2F(27) => IRQ_P2F_DMAC7,
IRQP2F(26) => IRQ_P2F_DMAC6,
IRQP2F(25) => IRQ_P2F_DMAC5,
IRQP2F(24) => IRQ_P2F_DMAC4,
IRQP2F(23) => IRQ_P2F_DMAC3,
IRQP2F(22) => IRQ_P2F_DMAC2,
IRQP2F(21) => IRQ_P2F_DMAC1,
IRQP2F(20) => IRQ_P2F_DMAC0,
IRQP2F(19) => IRQ_P2F_SMC,
IRQP2F(18) => IRQ_P2F_QSPI,
IRQP2F(17) => IRQ_P2F_CTI,
IRQP2F(16) => IRQ_P2F_GPIO,
IRQP2F(15) => IRQ_P2F_USB0,
IRQP2F(14) => IRQ_P2F_ENET0,
IRQP2F(13) => IRQ_P2F_ENET_WAKE0,
IRQP2F(12) => IRQ_P2F_SDIO0,
IRQP2F(11) => IRQ_P2F_I2C0,
IRQP2F(10) => IRQ_P2F_SPI0,
IRQP2F(9) => IRQ_P2F_UART0,
IRQP2F(8) => IRQ_P2F_CAN0,
IRQP2F(7) => IRQ_P2F_USB1,
IRQP2F(6) => IRQ_P2F_ENET1,
IRQP2F(5) => IRQ_P2F_ENET_WAKE1,
IRQP2F(4) => IRQ_P2F_SDIO1,
IRQP2F(3) => IRQ_P2F_I2C1,
IRQP2F(2) => IRQ_P2F_SPI1,
IRQP2F(1) => IRQ_P2F_UART1,
IRQP2F(0) => IRQ_P2F_CAN1,
MAXIGP0ACLK => M_AXI_GP0_ACLK,
MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
MAXIGP0ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0),
MAXIGP0ARESETN => M_AXI_GP0_ARESETN,
MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
MAXIGP0ARREADY => M_AXI_GP0_ARREADY,
MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0),
MAXIGP0ARVALID => M_AXI_GP0_ARVALID,
MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
MAXIGP0AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0),
MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
MAXIGP0AWREADY => M_AXI_GP0_AWREADY,
MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0),
MAXIGP0AWVALID => M_AXI_GP0_AWVALID,
MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
MAXIGP0BREADY => M_AXI_GP0_BREADY,
MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
MAXIGP0BVALID => M_AXI_GP0_BVALID,
MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
MAXIGP0RLAST => M_AXI_GP0_RLAST,
MAXIGP0RREADY => M_AXI_GP0_RREADY,
MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
MAXIGP0RVALID => M_AXI_GP0_RVALID,
MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
MAXIGP0WLAST => M_AXI_GP0_WLAST,
MAXIGP0WREADY => M_AXI_GP0_WREADY,
MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
MAXIGP0WVALID => M_AXI_GP0_WVALID,
MAXIGP1ACLK => M_AXI_GP1_ACLK,
MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0),
MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0),
MAXIGP1ARCACHE(3 downto 0) => M_AXI_GP1_ARCACHE(3 downto 0),
MAXIGP1ARESETN => M_AXI_GP1_ARESETN,
MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0),
MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0),
MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0),
MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0),
MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0),
MAXIGP1ARREADY => M_AXI_GP1_ARREADY,
MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0),
MAXIGP1ARVALID => M_AXI_GP1_ARVALID,
MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0),
MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0),
MAXIGP1AWCACHE(3 downto 0) => M_AXI_GP1_AWCACHE(3 downto 0),
MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0),
MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0),
MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0),
MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0),
MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0),
MAXIGP1AWREADY => M_AXI_GP1_AWREADY,
MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0),
MAXIGP1AWVALID => M_AXI_GP1_AWVALID,
MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0),
MAXIGP1BREADY => M_AXI_GP1_BREADY,
MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0),
MAXIGP1BVALID => M_AXI_GP1_BVALID,
MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0),
MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0),
MAXIGP1RLAST => M_AXI_GP1_RLAST,
MAXIGP1RREADY => M_AXI_GP1_RREADY,
MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0),
MAXIGP1RVALID => M_AXI_GP1_RVALID,
MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0),
MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0),
MAXIGP1WLAST => M_AXI_GP1_WLAST,
MAXIGP1WREADY => M_AXI_GP1_WREADY,
MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0),
MAXIGP1WVALID => M_AXI_GP1_WVALID,
MIO(53 downto 0) => buffered_MIO(53 downto 0),
PSCLK => buffered_PS_CLK,
PSPORB => buffered_PS_PORB,
PSSRSTB => buffered_PS_SRSTB,
SAXIACPACLK => S_AXI_ACP_ACLK,
SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0),
SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0),
SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0),
SAXIACPARESETN => S_AXI_ACP_ARESETN,
SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0),
SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0),
SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0),
SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0),
SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0),
SAXIACPARREADY => S_AXI_ACP_ARREADY,
SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0),
SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0),
SAXIACPARVALID => S_AXI_ACP_ARVALID,
SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0),
SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0),
SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0),
SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0),
SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0),
SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0),
SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0),
SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0),
SAXIACPAWREADY => S_AXI_ACP_AWREADY,
SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0),
SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0),
SAXIACPAWVALID => S_AXI_ACP_AWVALID,
SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0),
SAXIACPBREADY => S_AXI_ACP_BREADY,
SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0),
SAXIACPBVALID => S_AXI_ACP_BVALID,
SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0),
SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0),
SAXIACPRLAST => S_AXI_ACP_RLAST,
SAXIACPRREADY => S_AXI_ACP_RREADY,
SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0),
SAXIACPRVALID => S_AXI_ACP_RVALID,
SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0),
SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0),
SAXIACPWLAST => S_AXI_ACP_WLAST,
SAXIACPWREADY => S_AXI_ACP_WREADY,
SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0),
SAXIACPWVALID => S_AXI_ACP_WVALID,
SAXIGP0ACLK => S_AXI_GP0_ACLK,
SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0),
SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0),
SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0),
SAXIGP0ARESETN => S_AXI_GP0_ARESETN,
SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0),
SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0),
SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0),
SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0),
SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0),
SAXIGP0ARREADY => S_AXI_GP0_ARREADY,
SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0),
SAXIGP0ARVALID => S_AXI_GP0_ARVALID,
SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0),
SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0),
SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0),
SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0),
SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0),
SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0),
SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0),
SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0),
SAXIGP0AWREADY => S_AXI_GP0_AWREADY,
SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0),
SAXIGP0AWVALID => S_AXI_GP0_AWVALID,
SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0),
SAXIGP0BREADY => S_AXI_GP0_BREADY,
SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0),
SAXIGP0BVALID => S_AXI_GP0_BVALID,
SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0),
SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0),
SAXIGP0RLAST => S_AXI_GP0_RLAST,
SAXIGP0RREADY => S_AXI_GP0_RREADY,
SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0),
SAXIGP0RVALID => S_AXI_GP0_RVALID,
SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0),
SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0),
SAXIGP0WLAST => S_AXI_GP0_WLAST,
SAXIGP0WREADY => S_AXI_GP0_WREADY,
SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0),
SAXIGP0WVALID => S_AXI_GP0_WVALID,
SAXIGP1ACLK => S_AXI_GP1_ACLK,
SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0),
SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0),
SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0),
SAXIGP1ARESETN => S_AXI_GP1_ARESETN,
SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0),
SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0),
SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0),
SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0),
SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0),
SAXIGP1ARREADY => S_AXI_GP1_ARREADY,
SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0),
SAXIGP1ARVALID => S_AXI_GP1_ARVALID,
SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0),
SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0),
SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0),
SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0),
SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0),
SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0),
SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0),
SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0),
SAXIGP1AWREADY => S_AXI_GP1_AWREADY,
SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0),
SAXIGP1AWVALID => S_AXI_GP1_AWVALID,
SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0),
SAXIGP1BREADY => S_AXI_GP1_BREADY,
SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0),
SAXIGP1BVALID => S_AXI_GP1_BVALID,
SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0),
SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0),
SAXIGP1RLAST => S_AXI_GP1_RLAST,
SAXIGP1RREADY => S_AXI_GP1_RREADY,
SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0),
SAXIGP1RVALID => S_AXI_GP1_RVALID,
SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0),
SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0),
SAXIGP1WLAST => S_AXI_GP1_WLAST,
SAXIGP1WREADY => S_AXI_GP1_WREADY,
SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0),
SAXIGP1WVALID => S_AXI_GP1_WVALID,
SAXIHP0ACLK => S_AXI_HP0_ACLK,
SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0),
SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0),
SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0),
SAXIHP0ARESETN => S_AXI_HP0_ARESETN,
SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0),
SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0),
SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0),
SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0),
SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0),
SAXIHP0ARREADY => S_AXI_HP0_ARREADY,
SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0),
SAXIHP0ARVALID => S_AXI_HP0_ARVALID,
SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0),
SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0),
SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0),
SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0),
SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0),
SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0),
SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0),
SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0),
SAXIHP0AWREADY => S_AXI_HP0_AWREADY,
SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0),
SAXIHP0AWVALID => S_AXI_HP0_AWVALID,
SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0),
SAXIHP0BREADY => S_AXI_HP0_BREADY,
SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0),
SAXIHP0BVALID => S_AXI_HP0_BVALID,
SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0),
SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0),
SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0),
SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN,
SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0),
SAXIHP0RLAST => S_AXI_HP0_RLAST,
SAXIHP0RREADY => S_AXI_HP0_RREADY,
SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0),
SAXIHP0RVALID => S_AXI_HP0_RVALID,
SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0),
SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0),
SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0),
SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0),
SAXIHP0WLAST => S_AXI_HP0_WLAST,
SAXIHP0WREADY => S_AXI_HP0_WREADY,
SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN,
SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0),
SAXIHP0WVALID => S_AXI_HP0_WVALID,
SAXIHP1ACLK => S_AXI_HP1_ACLK,
SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0),
SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0),
SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0),
SAXIHP1ARESETN => S_AXI_HP1_ARESETN,
SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0),
SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0),
SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0),
SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0),
SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0),
SAXIHP1ARREADY => S_AXI_HP1_ARREADY,
SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0),
SAXIHP1ARVALID => S_AXI_HP1_ARVALID,
SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0),
SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0),
SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0),
SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0),
SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0),
SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0),
SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0),
SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0),
SAXIHP1AWREADY => S_AXI_HP1_AWREADY,
SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0),
SAXIHP1AWVALID => S_AXI_HP1_AWVALID,
SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0),
SAXIHP1BREADY => S_AXI_HP1_BREADY,
SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0),
SAXIHP1BVALID => S_AXI_HP1_BVALID,
SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0),
SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0),
SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0),
SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN,
SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0),
SAXIHP1RLAST => S_AXI_HP1_RLAST,
SAXIHP1RREADY => S_AXI_HP1_RREADY,
SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0),
SAXIHP1RVALID => S_AXI_HP1_RVALID,
SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0),
SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0),
SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0),
SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0),
SAXIHP1WLAST => S_AXI_HP1_WLAST,
SAXIHP1WREADY => S_AXI_HP1_WREADY,
SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN,
SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0),
SAXIHP1WVALID => S_AXI_HP1_WVALID,
SAXIHP2ACLK => S_AXI_HP2_ACLK,
SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0),
SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0),
SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0),
SAXIHP2ARESETN => S_AXI_HP2_ARESETN,
SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0),
SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0),
SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0),
SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0),
SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0),
SAXIHP2ARREADY => S_AXI_HP2_ARREADY,
SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0),
SAXIHP2ARVALID => S_AXI_HP2_ARVALID,
SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0),
SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0),
SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0),
SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0),
SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0),
SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0),
SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0),
SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0),
SAXIHP2AWREADY => S_AXI_HP2_AWREADY,
SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0),
SAXIHP2AWVALID => S_AXI_HP2_AWVALID,
SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0),
SAXIHP2BREADY => S_AXI_HP2_BREADY,
SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0),
SAXIHP2BVALID => S_AXI_HP2_BVALID,
SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0),
SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0),
SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0),
SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN,
SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0),
SAXIHP2RLAST => S_AXI_HP2_RLAST,
SAXIHP2RREADY => S_AXI_HP2_RREADY,
SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0),
SAXIHP2RVALID => S_AXI_HP2_RVALID,
SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0),
SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0),
SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0),
SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0),
SAXIHP2WLAST => S_AXI_HP2_WLAST,
SAXIHP2WREADY => S_AXI_HP2_WREADY,
SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN,
SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0),
SAXIHP2WVALID => S_AXI_HP2_WVALID,
SAXIHP3ACLK => S_AXI_HP3_ACLK,
SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0),
SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0),
SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0),
SAXIHP3ARESETN => S_AXI_HP3_ARESETN,
SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0),
SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0),
SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0),
SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0),
SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0),
SAXIHP3ARREADY => S_AXI_HP3_ARREADY,
SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0),
SAXIHP3ARVALID => S_AXI_HP3_ARVALID,
SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0),
SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0),
SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0),
SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0),
SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0),
SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0),
SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0),
SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0),
SAXIHP3AWREADY => S_AXI_HP3_AWREADY,
SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0),
SAXIHP3AWVALID => S_AXI_HP3_AWVALID,
SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0),
SAXIHP3BREADY => S_AXI_HP3_BREADY,
SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0),
SAXIHP3BVALID => S_AXI_HP3_BVALID,
SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0),
SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0),
SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0),
SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN,
SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0),
SAXIHP3RLAST => S_AXI_HP3_RLAST,
SAXIHP3RREADY => S_AXI_HP3_RREADY,
SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0),
SAXIHP3RVALID => S_AXI_HP3_RVALID,
SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0),
SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0),
SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0),
SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0),
SAXIHP3WLAST => S_AXI_HP3_WLAST,
SAXIHP3WREADY => S_AXI_HP3_WREADY,
SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN,
SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0),
SAXIHP3WVALID => S_AXI_HP3_WVALID
);
PS_CLK_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_CLK,
PAD => PS_CLK
);
PS_PORB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_PORB,
PAD => PS_PORB
);
PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_SRSTB,
PAD => PS_SRSTB
);
SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_CMD_T_n,
O => SDIO0_CMD_T
);
\SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(0),
O => SDIO0_DATA_T(0)
);
\SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(1),
O => SDIO0_DATA_T(1)
);
\SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(2),
O => SDIO0_DATA_T(2)
);
\SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(3),
O => SDIO0_DATA_T(3)
);
SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_CMD_T_n,
O => SDIO1_CMD_T
);
\SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(0),
O => SDIO1_DATA_T(0)
);
\SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(1),
O => SDIO1_DATA_T(1)
);
\SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(2),
O => SDIO1_DATA_T(2)
);
\SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(3),
O => SDIO1_DATA_T(3)
);
SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MISO_T_n,
O => SPI0_MISO_T
);
SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MOSI_T_n,
O => SPI0_MOSI_T
);
SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SCLK_T_n,
O => SPI0_SCLK_T
);
SPI0_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SS_T_n,
O => SPI0_SS_T
);
SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MISO_T_n,
O => SPI1_MISO_T
);
SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MOSI_T_n,
O => SPI1_MOSI_T
);
SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SCLK_T_n,
O => SPI1_SCLK_T
);
SPI1_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SS_T_n,
O => SPI1_SS_T
);
\buffer_fclk_clk_0.FCLK_CLK_0_BUFG\: unisim.vcomponents.BUFG
port map (
I => FCLK_CLK_unbuffered(0),
O => FCLK_CLK0
);
\genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(0),
PAD => MIO(0)
);
\genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(10),
PAD => MIO(10)
);
\genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(11),
PAD => MIO(11)
);
\genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(12),
PAD => MIO(12)
);
\genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(13),
PAD => MIO(13)
);
\genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(14),
PAD => MIO(14)
);
\genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(15),
PAD => MIO(15)
);
\genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(16),
PAD => MIO(16)
);
\genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(17),
PAD => MIO(17)
);
\genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(18),
PAD => MIO(18)
);
\genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(19),
PAD => MIO(19)
);
\genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(1),
PAD => MIO(1)
);
\genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(20),
PAD => MIO(20)
);
\genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(21),
PAD => MIO(21)
);
\genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(22),
PAD => MIO(22)
);
\genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(23),
PAD => MIO(23)
);
\genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(24),
PAD => MIO(24)
);
\genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(25),
PAD => MIO(25)
);
\genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(26),
PAD => MIO(26)
);
\genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(27),
PAD => MIO(27)
);
\genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(28),
PAD => MIO(28)
);
\genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(29),
PAD => MIO(29)
);
\genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(2),
PAD => MIO(2)
);
\genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(30),
PAD => MIO(30)
);
\genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(31),
PAD => MIO(31)
);
\genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(32),
PAD => MIO(32)
);
\genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(33),
PAD => MIO(33)
);
\genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(34),
PAD => MIO(34)
);
\genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(35),
PAD => MIO(35)
);
\genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(36),
PAD => MIO(36)
);
\genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(37),
PAD => MIO(37)
);
\genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(38),
PAD => MIO(38)
);
\genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(39),
PAD => MIO(39)
);
\genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(3),
PAD => MIO(3)
);
\genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(40),
PAD => MIO(40)
);
\genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(41),
PAD => MIO(41)
);
\genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(42),
PAD => MIO(42)
);
\genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(43),
PAD => MIO(43)
);
\genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(44),
PAD => MIO(44)
);
\genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(45),
PAD => MIO(45)
);
\genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(46),
PAD => MIO(46)
);
\genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(47),
PAD => MIO(47)
);
\genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(48),
PAD => MIO(48)
);
\genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(49),
PAD => MIO(49)
);
\genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(4),
PAD => MIO(4)
);
\genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(50),
PAD => MIO(50)
);
\genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(51),
PAD => MIO(51)
);
\genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(52),
PAD => MIO(52)
);
\genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(53),
PAD => MIO(53)
);
\genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(5),
PAD => MIO(5)
);
\genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(6),
PAD => MIO(6)
);
\genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(7),
PAD => MIO(7)
);
\genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(8),
PAD => MIO(8)
);
\genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(9),
PAD => MIO(9)
);
\genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(0),
PAD => DDR_BankAddr(0)
);
\genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(1),
PAD => DDR_BankAddr(1)
);
\genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(2),
PAD => DDR_BankAddr(2)
);
\genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(0),
PAD => DDR_Addr(0)
);
\genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(10),
PAD => DDR_Addr(10)
);
\genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(11),
PAD => DDR_Addr(11)
);
\genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(12),
PAD => DDR_Addr(12)
);
\genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(13),
PAD => DDR_Addr(13)
);
\genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(14),
PAD => DDR_Addr(14)
);
\genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(1),
PAD => DDR_Addr(1)
);
\genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(2),
PAD => DDR_Addr(2)
);
\genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(3),
PAD => DDR_Addr(3)
);
\genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(4),
PAD => DDR_Addr(4)
);
\genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(5),
PAD => DDR_Addr(5)
);
\genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(6),
PAD => DDR_Addr(6)
);
\genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(7),
PAD => DDR_Addr(7)
);
\genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(8),
PAD => DDR_Addr(8)
);
\genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(9),
PAD => DDR_Addr(9)
);
\genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(0),
PAD => DDR_DM(0)
);
\genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(1),
PAD => DDR_DM(1)
);
\genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(2),
PAD => DDR_DM(2)
);
\genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(3),
PAD => DDR_DM(3)
);
\genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(0),
PAD => DDR_DQ(0)
);
\genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(10),
PAD => DDR_DQ(10)
);
\genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(11),
PAD => DDR_DQ(11)
);
\genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(12),
PAD => DDR_DQ(12)
);
\genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(13),
PAD => DDR_DQ(13)
);
\genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(14),
PAD => DDR_DQ(14)
);
\genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(15),
PAD => DDR_DQ(15)
);
\genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(16),
PAD => DDR_DQ(16)
);
\genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(17),
PAD => DDR_DQ(17)
);
\genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(18),
PAD => DDR_DQ(18)
);
\genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(19),
PAD => DDR_DQ(19)
);
\genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(1),
PAD => DDR_DQ(1)
);
\genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(20),
PAD => DDR_DQ(20)
);
\genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(21),
PAD => DDR_DQ(21)
);
\genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(22),
PAD => DDR_DQ(22)
);
\genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(23),
PAD => DDR_DQ(23)
);
\genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(24),
PAD => DDR_DQ(24)
);
\genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(25),
PAD => DDR_DQ(25)
);
\genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(26),
PAD => DDR_DQ(26)
);
\genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(27),
PAD => DDR_DQ(27)
);
\genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(28),
PAD => DDR_DQ(28)
);
\genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(29),
PAD => DDR_DQ(29)
);
\genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(2),
PAD => DDR_DQ(2)
);
\genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(30),
PAD => DDR_DQ(30)
);
\genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(31),
PAD => DDR_DQ(31)
);
\genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(3),
PAD => DDR_DQ(3)
);
\genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(4),
PAD => DDR_DQ(4)
);
\genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(5),
PAD => DDR_DQ(5)
);
\genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(6),
PAD => DDR_DQ(6)
);
\genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(7),
PAD => DDR_DQ(7)
);
\genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(8),
PAD => DDR_DQ(8)
);
\genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(9),
PAD => DDR_DQ(9)
);
\genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(0),
PAD => DDR_DQS_n(0)
);
\genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(1),
PAD => DDR_DQS_n(1)
);
\genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(2),
PAD => DDR_DQS_n(2)
);
\genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(3),
PAD => DDR_DQS_n(3)
);
\genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(0),
PAD => DDR_DQS(0)
);
\genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(1),
PAD => DDR_DQS(1)
);
\genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(2),
PAD => DDR_DQS(2)
);
\genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(3),
PAD => DDR_DQS(3)
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[0]\
);
i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(1)
);
i_10: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(1)
);
i_11: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(0)
);
i_12: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(1)
);
i_13: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(0)
);
i_14: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(1)
);
i_15: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(0)
);
i_16: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(1)
);
i_17: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(0)
);
i_18: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(1)
);
i_19: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(0)
);
i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(0)
);
i_20: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(1)
);
i_21: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(0)
);
i_22: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(1)
);
i_23: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(0)
);
i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[7]\
);
i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[6]\
);
i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[5]\
);
i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[4]\
);
i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[3]\
);
i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[2]\
);
i_9: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[1]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
SDIO0_WP : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "gcd_block_design_processing_system7_0_2,processing_system7_v5_5_processing_system7,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "processing_system7_v5_5_processing_system7,Vivado 2018.2";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET1_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET2_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET3_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_GPIO_O_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_GPIO_T_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of inst : label is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of inst : label is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of inst : label is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of inst : label is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of inst : label is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of inst : label is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of inst : label is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of inst : label is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of inst : label is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of inst : label is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 0;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 0;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of inst : label is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of inst : label is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of inst : label is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of inst : label is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of inst : label is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of inst : label is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of inst : label is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of inst : label is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of inst : label is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of inst : label is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of inst : label is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of inst : label is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of inst : label is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of inst : label is "gcd_block_design_processing_system7_0_2.hwdef";
attribute POWER : string;
attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={650} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={525} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={9} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={108.333336} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={HSTL_I_18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={7} ioBank={Vcco_p0} clockFreq={200} usageRate={0.5} /><PLL domain={Processor} vco={1300.000} /><PLL domain={Memory} vco={1050.000} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0;
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of DDR_CAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CAS_N";
attribute X_INTERFACE_INFO of DDR_CKE : signal is "xilinx.com:interface:ddrx:1.0 DDR CKE";
attribute X_INTERFACE_INFO of DDR_CS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CS_N";
attribute X_INTERFACE_INFO of DDR_Clk : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_P";
attribute X_INTERFACE_INFO of DDR_Clk_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_N";
attribute X_INTERFACE_INFO of DDR_DRSTB : signal is "xilinx.com:interface:ddrx:1.0 DDR RESET_N";
attribute X_INTERFACE_INFO of DDR_ODT : signal is "xilinx.com:interface:ddrx:1.0 DDR ODT";
attribute X_INTERFACE_INFO of DDR_RAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR RAS_N";
attribute X_INTERFACE_INFO of DDR_VRN : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRN";
attribute X_INTERFACE_INFO of DDR_VRP : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRP";
attribute X_INTERFACE_INFO of DDR_WEB : signal is "xilinx.com:interface:ddrx:1.0 DDR WE_N";
attribute X_INTERFACE_INFO of FCLK_CLK0 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK0 CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of FCLK_CLK0 : signal is "XIL_INTERFACENAME FCLK_CLK0, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0";
attribute X_INTERFACE_INFO of FCLK_RESET0_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET0_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET0_N : signal is "XIL_INTERFACENAME FCLK_RESET0_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of M_AXI_GP0_ACLK : signal is "xilinx.com:signal:clock:1.0 M_AXI_GP0_ACLK CLK";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_ACLK : signal is "XIL_INTERFACENAME M_AXI_GP0_ACLK, ASSOCIATED_BUSIF M_AXI_GP0, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_BVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_RREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_RVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_WREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_WVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WVALID";
attribute X_INTERFACE_INFO of PS_CLK : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_CLK";
attribute X_INTERFACE_INFO of PS_PORB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_PORB";
attribute X_INTERFACE_PARAMETER of PS_PORB : signal is "XIL_INTERFACENAME FIXED_IO, CAN_DEBUG false";
attribute X_INTERFACE_INFO of PS_SRSTB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_SRSTB";
attribute X_INTERFACE_INFO of SDIO0_WP : signal is "xilinx.com:interface:sdio:1.0 SDIO_0 WP";
attribute X_INTERFACE_INFO of USB0_VBUS_PWRFAULT : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 VBUS_PWRFAULT";
attribute X_INTERFACE_INFO of USB0_VBUS_PWRSELECT : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 VBUS_PWRSELECT";
attribute X_INTERFACE_INFO of DDR_Addr : signal is "xilinx.com:interface:ddrx:1.0 DDR ADDR";
attribute X_INTERFACE_INFO of DDR_BankAddr : signal is "xilinx.com:interface:ddrx:1.0 DDR BA";
attribute X_INTERFACE_INFO of DDR_DM : signal is "xilinx.com:interface:ddrx:1.0 DDR DM";
attribute X_INTERFACE_INFO of DDR_DQ : signal is "xilinx.com:interface:ddrx:1.0 DDR DQ";
attribute X_INTERFACE_INFO of DDR_DQS : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_P";
attribute X_INTERFACE_PARAMETER of DDR_DQS : signal is "XIL_INTERFACENAME DDR, CAN_DEBUG false, TIMEPERIOD_PS 1250, MEMORY_TYPE COMPONENTS, DATA_WIDTH 8, CS_ENABLED true, DATA_MASK_ENABLED true, SLOT Single, MEM_ADDR_MAP ROW_COLUMN_BANK, BURST_LENGTH 8, AXI_ARBITRATION_SCHEME TDM, CAS_LATENCY 11, CAS_WRITE_LATENCY 11";
attribute X_INTERFACE_INFO of DDR_DQS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_N";
attribute X_INTERFACE_INFO of IRQ_F2P : signal is "xilinx.com:signal:interrupt:1.0 IRQ_F2P INTERRUPT";
attribute X_INTERFACE_PARAMETER of IRQ_F2P : signal is "XIL_INTERFACENAME IRQ_F2P, SENSITIVITY LEVEL_HIGH, PortWidth 1";
attribute X_INTERFACE_INFO of MIO : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO MIO";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARID";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_BID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_RDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RDATA";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_RDATA : signal is "XIL_INTERFACENAME M_AXI_GP0, SUPPORTS_NARROW_BURST 0, NUM_WRITE_OUTSTANDING 8, NUM_READ_OUTSTANDING 8, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 100000000, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of M_AXI_GP0_RID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_WDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WDATA";
attribute X_INTERFACE_INFO of M_AXI_GP0_WID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WSTRB : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WSTRB";
attribute X_INTERFACE_INFO of USB0_PORT_INDCTL : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 PORT_INDCTL";
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7
port map (
CAN0_PHY_RX => '0',
CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED,
CAN1_PHY_RX => '0',
CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED,
Core0_nFIQ => '0',
Core0_nIRQ => '0',
Core1_nFIQ => '0',
Core1_nIRQ => '0',
DDR_ARB(3 downto 0) => B"0000",
DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0),
DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0),
DDR_CAS_n => DDR_CAS_n,
DDR_CKE => DDR_CKE,
DDR_CS_n => DDR_CS_n,
DDR_Clk => DDR_Clk,
DDR_Clk_n => DDR_Clk_n,
DDR_DM(3 downto 0) => DDR_DM(3 downto 0),
DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0),
DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0),
DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0),
DDR_DRSTB => DDR_DRSTB,
DDR_ODT => DDR_ODT,
DDR_RAS_n => DDR_RAS_n,
DDR_VRN => DDR_VRN,
DDR_VRP => DDR_VRP,
DDR_WEB => DDR_WEB,
DMA0_ACLK => '0',
DMA0_DAREADY => '0',
DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0),
DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED,
DMA0_DRLAST => '0',
DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED,
DMA0_DRTYPE(1 downto 0) => B"00",
DMA0_DRVALID => '0',
DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED,
DMA1_ACLK => '0',
DMA1_DAREADY => '0',
DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0),
DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED,
DMA1_DRLAST => '0',
DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED,
DMA1_DRTYPE(1 downto 0) => B"00",
DMA1_DRVALID => '0',
DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED,
DMA2_ACLK => '0',
DMA2_DAREADY => '0',
DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0),
DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED,
DMA2_DRLAST => '0',
DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED,
DMA2_DRTYPE(1 downto 0) => B"00",
DMA2_DRVALID => '0',
DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED,
DMA3_ACLK => '0',
DMA3_DAREADY => '0',
DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0),
DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED,
DMA3_DRLAST => '0',
DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED,
DMA3_DRTYPE(1 downto 0) => B"00",
DMA3_DRVALID => '0',
DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED,
ENET0_EXT_INTIN => '0',
ENET0_GMII_COL => '0',
ENET0_GMII_CRS => '0',
ENET0_GMII_RXD(7 downto 0) => B"00000000",
ENET0_GMII_RX_CLK => '0',
ENET0_GMII_RX_DV => '0',
ENET0_GMII_RX_ER => '0',
ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0),
ENET0_GMII_TX_CLK => '0',
ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED,
ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED,
ENET0_MDIO_I => '0',
ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED,
ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED,
ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED,
ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED,
ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED,
ENET1_EXT_INTIN => '0',
ENET1_GMII_COL => '0',
ENET1_GMII_CRS => '0',
ENET1_GMII_RXD(7 downto 0) => B"00000000",
ENET1_GMII_RX_CLK => '0',
ENET1_GMII_RX_DV => '0',
ENET1_GMII_RX_ER => '0',
ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0),
ENET1_GMII_TX_CLK => '0',
ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED,
ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED,
ENET1_MDIO_I => '0',
ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED,
ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED,
ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED,
ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED,
ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED,
EVENT_EVENTI => '0',
EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED,
EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0),
EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0),
FCLK_CLK0 => FCLK_CLK0,
FCLK_CLK1 => NLW_inst_FCLK_CLK1_UNCONNECTED,
FCLK_CLK2 => NLW_inst_FCLK_CLK2_UNCONNECTED,
FCLK_CLK3 => NLW_inst_FCLK_CLK3_UNCONNECTED,
FCLK_CLKTRIG0_N => '0',
FCLK_CLKTRIG1_N => '0',
FCLK_CLKTRIG2_N => '0',
FCLK_CLKTRIG3_N => '0',
FCLK_RESET0_N => FCLK_RESET0_N,
FCLK_RESET1_N => NLW_inst_FCLK_RESET1_N_UNCONNECTED,
FCLK_RESET2_N => NLW_inst_FCLK_RESET2_N_UNCONNECTED,
FCLK_RESET3_N => NLW_inst_FCLK_RESET3_N_UNCONNECTED,
FPGA_IDLE_N => '0',
FTMD_TRACEIN_ATID(3 downto 0) => B"0000",
FTMD_TRACEIN_CLK => '0',
FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000",
FTMD_TRACEIN_VALID => '0',
FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000",
FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED,
FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED,
FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED,
FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED,
FTMT_F2P_TRIG_0 => '0',
FTMT_F2P_TRIG_1 => '0',
FTMT_F2P_TRIG_2 => '0',
FTMT_F2P_TRIG_3 => '0',
FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0),
FTMT_P2F_TRIGACK_0 => '0',
FTMT_P2F_TRIGACK_1 => '0',
FTMT_P2F_TRIGACK_2 => '0',
FTMT_P2F_TRIGACK_3 => '0',
FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED,
FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED,
FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED,
FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED,
GPIO_I(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
GPIO_O(63 downto 0) => NLW_inst_GPIO_O_UNCONNECTED(63 downto 0),
GPIO_T(63 downto 0) => NLW_inst_GPIO_T_UNCONNECTED(63 downto 0),
I2C0_SCL_I => '0',
I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED,
I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED,
I2C0_SDA_I => '0',
I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED,
I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED,
I2C1_SCL_I => '0',
I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED,
I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED,
I2C1_SDA_I => '0',
I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED,
I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED,
IRQ_F2P(0) => IRQ_F2P(0),
IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED,
IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED,
IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED,
IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED,
IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED,
IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED,
IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED,
IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED,
IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED,
IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED,
IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED,
IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED,
IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED,
IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED,
IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED,
IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED,
IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED,
IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED,
IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED,
IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED,
IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED,
IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED,
IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED,
IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED,
IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED,
IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED,
IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED,
IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED,
IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED,
MIO(53 downto 0) => MIO(53 downto 0),
M_AXI_GP0_ACLK => M_AXI_GP0_ACLK,
M_AXI_GP0_ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
M_AXI_GP0_ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
M_AXI_GP0_ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0),
M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED,
M_AXI_GP0_ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
M_AXI_GP0_ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
M_AXI_GP0_ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
M_AXI_GP0_ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
M_AXI_GP0_ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
M_AXI_GP0_ARREADY => M_AXI_GP0_ARREADY,
M_AXI_GP0_ARSIZE(2 downto 0) => M_AXI_GP0_ARSIZE(2 downto 0),
M_AXI_GP0_ARVALID => M_AXI_GP0_ARVALID,
M_AXI_GP0_AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
M_AXI_GP0_AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
M_AXI_GP0_AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0),
M_AXI_GP0_AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
M_AXI_GP0_AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
M_AXI_GP0_AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
M_AXI_GP0_AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
M_AXI_GP0_AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
M_AXI_GP0_AWREADY => M_AXI_GP0_AWREADY,
M_AXI_GP0_AWSIZE(2 downto 0) => M_AXI_GP0_AWSIZE(2 downto 0),
M_AXI_GP0_AWVALID => M_AXI_GP0_AWVALID,
M_AXI_GP0_BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
M_AXI_GP0_BREADY => M_AXI_GP0_BREADY,
M_AXI_GP0_BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
M_AXI_GP0_BVALID => M_AXI_GP0_BVALID,
M_AXI_GP0_RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
M_AXI_GP0_RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
M_AXI_GP0_RLAST => M_AXI_GP0_RLAST,
M_AXI_GP0_RREADY => M_AXI_GP0_RREADY,
M_AXI_GP0_RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
M_AXI_GP0_RVALID => M_AXI_GP0_RVALID,
M_AXI_GP0_WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
M_AXI_GP0_WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
M_AXI_GP0_WLAST => M_AXI_GP0_WLAST,
M_AXI_GP0_WREADY => M_AXI_GP0_WREADY,
M_AXI_GP0_WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
M_AXI_GP0_WVALID => M_AXI_GP0_WVALID,
M_AXI_GP1_ACLK => '0',
M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED,
M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0),
M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARREADY => '0',
M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED,
M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0),
M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWREADY => '0',
M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED,
M_AXI_GP1_BID(11 downto 0) => B"000000000000",
M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED,
M_AXI_GP1_BRESP(1 downto 0) => B"00",
M_AXI_GP1_BVALID => '0',
M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000",
M_AXI_GP1_RID(11 downto 0) => B"000000000000",
M_AXI_GP1_RLAST => '0',
M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED,
M_AXI_GP1_RRESP(1 downto 0) => B"00",
M_AXI_GP1_RVALID => '0',
M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0),
M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0),
M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED,
M_AXI_GP1_WREADY => '0',
M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0),
M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED,
PJTAG_TCK => '0',
PJTAG_TDI => '0',
PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED,
PJTAG_TMS => '0',
PS_CLK => PS_CLK,
PS_PORB => PS_PORB,
PS_SRSTB => PS_SRSTB,
SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED,
SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO0_CDN => '0',
SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED,
SDIO0_CLK_FB => '0',
SDIO0_CMD_I => '0',
SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED,
SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED,
SDIO0_DATA_I(3 downto 0) => B"0000",
SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0),
SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0),
SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED,
SDIO0_WP => SDIO0_WP,
SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED,
SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO1_CDN => '0',
SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED,
SDIO1_CLK_FB => '0',
SDIO1_CMD_I => '0',
SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED,
SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED,
SDIO1_DATA_I(3 downto 0) => B"0000",
SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0),
SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0),
SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED,
SDIO1_WP => '0',
SPI0_MISO_I => '0',
SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED,
SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED,
SPI0_MOSI_I => '0',
SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED,
SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED,
SPI0_SCLK_I => '0',
SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED,
SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED,
SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED,
SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED,
SPI0_SS_I => '0',
SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED,
SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED,
SPI1_MISO_I => '0',
SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED,
SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED,
SPI1_MOSI_I => '0',
SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED,
SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED,
SPI1_SCLK_I => '0',
SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED,
SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED,
SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED,
SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED,
SPI1_SS_I => '0',
SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED,
SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED,
SRAM_INTIN => '0',
S_AXI_ACP_ACLK => '0',
S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_ARBURST(1 downto 0) => B"00",
S_AXI_ACP_ARCACHE(3 downto 0) => B"0000",
S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED,
S_AXI_ACP_ARID(2 downto 0) => B"000",
S_AXI_ACP_ARLEN(3 downto 0) => B"0000",
S_AXI_ACP_ARLOCK(1 downto 0) => B"00",
S_AXI_ACP_ARPROT(2 downto 0) => B"000",
S_AXI_ACP_ARQOS(3 downto 0) => B"0000",
S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED,
S_AXI_ACP_ARSIZE(2 downto 0) => B"000",
S_AXI_ACP_ARUSER(4 downto 0) => B"00000",
S_AXI_ACP_ARVALID => '0',
S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_AWBURST(1 downto 0) => B"00",
S_AXI_ACP_AWCACHE(3 downto 0) => B"0000",
S_AXI_ACP_AWID(2 downto 0) => B"000",
S_AXI_ACP_AWLEN(3 downto 0) => B"0000",
S_AXI_ACP_AWLOCK(1 downto 0) => B"00",
S_AXI_ACP_AWPROT(2 downto 0) => B"000",
S_AXI_ACP_AWQOS(3 downto 0) => B"0000",
S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED,
S_AXI_ACP_AWSIZE(2 downto 0) => B"000",
S_AXI_ACP_AWUSER(4 downto 0) => B"00000",
S_AXI_ACP_AWVALID => '0',
S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0),
S_AXI_ACP_BREADY => '0',
S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED,
S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0),
S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0),
S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED,
S_AXI_ACP_RREADY => '0',
S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED,
S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_ACP_WID(2 downto 0) => B"000",
S_AXI_ACP_WLAST => '0',
S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED,
S_AXI_ACP_WSTRB(7 downto 0) => B"00000000",
S_AXI_ACP_WVALID => '0',
S_AXI_GP0_ACLK => '0',
S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_ARBURST(1 downto 0) => B"00",
S_AXI_GP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED,
S_AXI_GP0_ARID(5 downto 0) => B"000000",
S_AXI_GP0_ARLEN(3 downto 0) => B"0000",
S_AXI_GP0_ARLOCK(1 downto 0) => B"00",
S_AXI_GP0_ARPROT(2 downto 0) => B"000",
S_AXI_GP0_ARQOS(3 downto 0) => B"0000",
S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED,
S_AXI_GP0_ARSIZE(2 downto 0) => B"000",
S_AXI_GP0_ARVALID => '0',
S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_AWBURST(1 downto 0) => B"00",
S_AXI_GP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP0_AWID(5 downto 0) => B"000000",
S_AXI_GP0_AWLEN(3 downto 0) => B"0000",
S_AXI_GP0_AWLOCK(1 downto 0) => B"00",
S_AXI_GP0_AWPROT(2 downto 0) => B"000",
S_AXI_GP0_AWQOS(3 downto 0) => B"0000",
S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED,
S_AXI_GP0_AWSIZE(2 downto 0) => B"000",
S_AXI_GP0_AWVALID => '0',
S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0),
S_AXI_GP0_BREADY => '0',
S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED,
S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0),
S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED,
S_AXI_GP0_RREADY => '0',
S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED,
S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_WID(5 downto 0) => B"000000",
S_AXI_GP0_WLAST => '0',
S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED,
S_AXI_GP0_WSTRB(3 downto 0) => B"0000",
S_AXI_GP0_WVALID => '0',
S_AXI_GP1_ACLK => '0',
S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_ARBURST(1 downto 0) => B"00",
S_AXI_GP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED,
S_AXI_GP1_ARID(5 downto 0) => B"000000",
S_AXI_GP1_ARLEN(3 downto 0) => B"0000",
S_AXI_GP1_ARLOCK(1 downto 0) => B"00",
S_AXI_GP1_ARPROT(2 downto 0) => B"000",
S_AXI_GP1_ARQOS(3 downto 0) => B"0000",
S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED,
S_AXI_GP1_ARSIZE(2 downto 0) => B"000",
S_AXI_GP1_ARVALID => '0',
S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_AWBURST(1 downto 0) => B"00",
S_AXI_GP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP1_AWID(5 downto 0) => B"000000",
S_AXI_GP1_AWLEN(3 downto 0) => B"0000",
S_AXI_GP1_AWLOCK(1 downto 0) => B"00",
S_AXI_GP1_AWPROT(2 downto 0) => B"000",
S_AXI_GP1_AWQOS(3 downto 0) => B"0000",
S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED,
S_AXI_GP1_AWSIZE(2 downto 0) => B"000",
S_AXI_GP1_AWVALID => '0',
S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0),
S_AXI_GP1_BREADY => '0',
S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED,
S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0),
S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED,
S_AXI_GP1_RREADY => '0',
S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED,
S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_WID(5 downto 0) => B"000000",
S_AXI_GP1_WLAST => '0',
S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED,
S_AXI_GP1_WSTRB(3 downto 0) => B"0000",
S_AXI_GP1_WVALID => '0',
S_AXI_HP0_ACLK => '0',
S_AXI_HP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_ARBURST(1 downto 0) => B"00",
S_AXI_HP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED,
S_AXI_HP0_ARID(5 downto 0) => B"000000",
S_AXI_HP0_ARLEN(3 downto 0) => B"0000",
S_AXI_HP0_ARLOCK(1 downto 0) => B"00",
S_AXI_HP0_ARPROT(2 downto 0) => B"000",
S_AXI_HP0_ARQOS(3 downto 0) => B"0000",
S_AXI_HP0_ARREADY => NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED,
S_AXI_HP0_ARSIZE(2 downto 0) => B"000",
S_AXI_HP0_ARVALID => '0',
S_AXI_HP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_AWBURST(1 downto 0) => B"00",
S_AXI_HP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP0_AWID(5 downto 0) => B"000000",
S_AXI_HP0_AWLEN(3 downto 0) => B"0000",
S_AXI_HP0_AWLOCK(1 downto 0) => B"00",
S_AXI_HP0_AWPROT(2 downto 0) => B"000",
S_AXI_HP0_AWQOS(3 downto 0) => B"0000",
S_AXI_HP0_AWREADY => NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED,
S_AXI_HP0_AWSIZE(2 downto 0) => B"000",
S_AXI_HP0_AWVALID => '0',
S_AXI_HP0_BID(5 downto 0) => NLW_inst_S_AXI_HP0_BID_UNCONNECTED(5 downto 0),
S_AXI_HP0_BREADY => '0',
S_AXI_HP0_BRESP(1 downto 0) => NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_BVALID => NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED,
S_AXI_HP0_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP0_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_RDATA(63 downto 0) => NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP0_RDISSUECAP1_EN => '0',
S_AXI_HP0_RID(5 downto 0) => NLW_inst_S_AXI_HP0_RID_UNCONNECTED(5 downto 0),
S_AXI_HP0_RLAST => NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED,
S_AXI_HP0_RREADY => '0',
S_AXI_HP0_RRESP(1 downto 0) => NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_RVALID => NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED,
S_AXI_HP0_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP0_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP0_WID(5 downto 0) => B"000000",
S_AXI_HP0_WLAST => '0',
S_AXI_HP0_WREADY => NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED,
S_AXI_HP0_WRISSUECAP1_EN => '0',
S_AXI_HP0_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP0_WVALID => '0',
S_AXI_HP1_ACLK => '0',
S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_ARBURST(1 downto 0) => B"00",
S_AXI_HP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED,
S_AXI_HP1_ARID(5 downto 0) => B"000000",
S_AXI_HP1_ARLEN(3 downto 0) => B"0000",
S_AXI_HP1_ARLOCK(1 downto 0) => B"00",
S_AXI_HP1_ARPROT(2 downto 0) => B"000",
S_AXI_HP1_ARQOS(3 downto 0) => B"0000",
S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED,
S_AXI_HP1_ARSIZE(2 downto 0) => B"000",
S_AXI_HP1_ARVALID => '0',
S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_AWBURST(1 downto 0) => B"00",
S_AXI_HP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP1_AWID(5 downto 0) => B"000000",
S_AXI_HP1_AWLEN(3 downto 0) => B"0000",
S_AXI_HP1_AWLOCK(1 downto 0) => B"00",
S_AXI_HP1_AWPROT(2 downto 0) => B"000",
S_AXI_HP1_AWQOS(3 downto 0) => B"0000",
S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED,
S_AXI_HP1_AWSIZE(2 downto 0) => B"000",
S_AXI_HP1_AWVALID => '0',
S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0),
S_AXI_HP1_BREADY => '0',
S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED,
S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP1_RDISSUECAP1_EN => '0',
S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0),
S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED,
S_AXI_HP1_RREADY => '0',
S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED,
S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP1_WID(5 downto 0) => B"000000",
S_AXI_HP1_WLAST => '0',
S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED,
S_AXI_HP1_WRISSUECAP1_EN => '0',
S_AXI_HP1_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP1_WVALID => '0',
S_AXI_HP2_ACLK => '0',
S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_ARBURST(1 downto 0) => B"00",
S_AXI_HP2_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED,
S_AXI_HP2_ARID(5 downto 0) => B"000000",
S_AXI_HP2_ARLEN(3 downto 0) => B"0000",
S_AXI_HP2_ARLOCK(1 downto 0) => B"00",
S_AXI_HP2_ARPROT(2 downto 0) => B"000",
S_AXI_HP2_ARQOS(3 downto 0) => B"0000",
S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED,
S_AXI_HP2_ARSIZE(2 downto 0) => B"000",
S_AXI_HP2_ARVALID => '0',
S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_AWBURST(1 downto 0) => B"00",
S_AXI_HP2_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP2_AWID(5 downto 0) => B"000000",
S_AXI_HP2_AWLEN(3 downto 0) => B"0000",
S_AXI_HP2_AWLOCK(1 downto 0) => B"00",
S_AXI_HP2_AWPROT(2 downto 0) => B"000",
S_AXI_HP2_AWQOS(3 downto 0) => B"0000",
S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED,
S_AXI_HP2_AWSIZE(2 downto 0) => B"000",
S_AXI_HP2_AWVALID => '0',
S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0),
S_AXI_HP2_BREADY => '0',
S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED,
S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP2_RDISSUECAP1_EN => '0',
S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0),
S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED,
S_AXI_HP2_RREADY => '0',
S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED,
S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP2_WID(5 downto 0) => B"000000",
S_AXI_HP2_WLAST => '0',
S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED,
S_AXI_HP2_WRISSUECAP1_EN => '0',
S_AXI_HP2_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP2_WVALID => '0',
S_AXI_HP3_ACLK => '0',
S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_ARBURST(1 downto 0) => B"00",
S_AXI_HP3_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED,
S_AXI_HP3_ARID(5 downto 0) => B"000000",
S_AXI_HP3_ARLEN(3 downto 0) => B"0000",
S_AXI_HP3_ARLOCK(1 downto 0) => B"00",
S_AXI_HP3_ARPROT(2 downto 0) => B"000",
S_AXI_HP3_ARQOS(3 downto 0) => B"0000",
S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED,
S_AXI_HP3_ARSIZE(2 downto 0) => B"000",
S_AXI_HP3_ARVALID => '0',
S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_AWBURST(1 downto 0) => B"00",
S_AXI_HP3_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP3_AWID(5 downto 0) => B"000000",
S_AXI_HP3_AWLEN(3 downto 0) => B"0000",
S_AXI_HP3_AWLOCK(1 downto 0) => B"00",
S_AXI_HP3_AWPROT(2 downto 0) => B"000",
S_AXI_HP3_AWQOS(3 downto 0) => B"0000",
S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED,
S_AXI_HP3_AWSIZE(2 downto 0) => B"000",
S_AXI_HP3_AWVALID => '0',
S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0),
S_AXI_HP3_BREADY => '0',
S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED,
S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP3_RDISSUECAP1_EN => '0',
S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0),
S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED,
S_AXI_HP3_RREADY => '0',
S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED,
S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP3_WID(5 downto 0) => B"000000",
S_AXI_HP3_WLAST => '0',
S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED,
S_AXI_HP3_WRISSUECAP1_EN => '0',
S_AXI_HP3_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP3_WVALID => '0',
TRACE_CLK => '0',
TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED,
TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED,
TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0),
TTC0_CLK0_IN => '0',
TTC0_CLK1_IN => '0',
TTC0_CLK2_IN => '0',
TTC0_WAVE0_OUT => TTC0_WAVE0_OUT,
TTC0_WAVE1_OUT => TTC0_WAVE1_OUT,
TTC0_WAVE2_OUT => TTC0_WAVE2_OUT,
TTC1_CLK0_IN => '0',
TTC1_CLK1_IN => '0',
TTC1_CLK2_IN => '0',
TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED,
TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED,
TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED,
UART0_CTSN => '0',
UART0_DCDN => '0',
UART0_DSRN => '0',
UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED,
UART0_RIN => '0',
UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED,
UART0_RX => '1',
UART0_TX => NLW_inst_UART0_TX_UNCONNECTED,
UART1_CTSN => '0',
UART1_DCDN => '0',
UART1_DSRN => '0',
UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED,
UART1_RIN => '0',
UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED,
UART1_RX => '1',
UART1_TX => NLW_inst_UART1_TX_UNCONNECTED,
USB0_PORT_INDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0),
USB0_VBUS_PWRFAULT => USB0_VBUS_PWRFAULT,
USB0_VBUS_PWRSELECT => USB0_VBUS_PWRSELECT,
USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB1_VBUS_PWRFAULT => '0',
USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED,
WDT_CLK_IN => '0',
WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED
);
end STRUCTURE;
| mit | f813d4d70b9bc2452b17beefc0f88968 | 0.639711 | 2.767517 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/fir_picoblaze/ssg_display_top.vhdl | 1 | 9,317 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 02:04:07 12/09/2018
-- Design Name:
-- Module Name: top - structural
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use ieee.std_logic_unsigned.all;
use work.ssg_display_shared_package.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ssg_display_top is
port (clk : in std_logic;
rst : in std_logic;
in_switches_p : in std_logic_vector(7 downto 0);
in_buttons_p : in std_logic_vector(3 downto 0);
out_seg_p : out SEG_T;
out_dp_p : out std_logic;
out_digits_en_p : out DIGITS_EN_T;
out_leds_p : out std_logic_vector(7 downto 0)
);
end ssg_display_top;
architecture structural of ssg_display_top is
--
-------------------------------------------------------------------------------------------
-- Components
-------------------------------------------------------------------------------------------
--
--
-- Seven Segment Display Component
--
component ssg_display is
port (clk : in std_logic;
rst : in std_logic;
in_bcds_p : in std_logic_vector(15 downto 0);-- Four BCD numbers that can be displayed by the four 7-segment digits
in_dps_p : in DP_T; -- enable/disable input signals for the decimal point of a digit
out_seg_p : out SEG_T; -- enable output signals for seven led segments(cathode) of currently refreshed digit
out_dp_p : out std_logic; -- enable ouput signal for the decimal point of currently refreshed digit
out_digits_en_p : out DIGITS_EN_T -- enable signals for K=4 digits. only one digit out of K=4 digits is enabled for refresh duration
);
end component ssg_display;
--
-- Declaration of the KCPSM6 component including default values for generics.
--
component kcpsm6
generic( hwbuild : std_logic_vector(7 downto 0) := X"00";
interrupt_vector : std_logic_vector(11 downto 0) := X"3FF";
scratch_pad_memory_size : integer := 64);
port ( address : out std_logic_vector(11 downto 0);
instruction : in std_logic_vector(17 downto 0);
bram_enable : out std_logic;
in_port : in std_logic_vector(7 downto 0);
out_port : out std_logic_vector(7 downto 0);
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
k_write_strobe : out std_logic;
read_strobe : out std_logic;
interrupt : in std_logic;
interrupt_ack : out std_logic;
sleep : in std_logic;
reset : in std_logic;
clk : in std_logic);
end component kcpsm6;
--
-- Program ROM
--
component ssg_program is
generic( C_FAMILY : string := "S6";
C_RAM_SIZE_KWORDS : integer := 1;
C_JTAG_LOADER_ENABLE : integer := 0);
Port ( address : in std_logic_vector(11 downto 0);
instruction : out std_logic_vector(17 downto 0);
enable : in std_logic;
rdl : out std_logic;
clk : in std_logic);
end component ssg_program;
--
-- Signals for connection of ssg_display and KCPSM6.
--
signal bcds_reg : std_logic_vector(15 downto 0);-- Four BCD numbers that can be displayed by the four 7-segment digits
signal dps_reg : DP_T; -- enable/disable input signals for the decimal point of a digit
signal segs_sig : SEG_T; -- enable output signals for seven led segments(cathode) of currently refreshed digit
signal dp_sig : std_logic; -- enable ouput signal for the decimal point of currently refreshed digit
signal digits_en_sig : DIGITS_EN_T; -- enable signals for K=4 digits. only one digit out of K=4 digits is enabled for refresh duration
--
-- Signals for connection of KCPSM6 and Program Memory.
--
signal address : std_logic_vector(11 downto 0);
signal instruction : std_logic_vector(17 downto 0);
signal bram_enable : std_logic;
signal in_port : std_logic_vector(7 downto 0);
signal out_port : std_logic_vector(7 downto 0);
signal port_id : std_logic_vector(7 downto 0);
signal write_strobe : std_logic;
signal k_write_strobe : std_logic;
signal read_strobe : std_logic;
signal interrupt : std_logic;
signal interrupt_ack : std_logic;
signal kcpsm6_sleep : std_logic;
signal kcpsm6_reset : std_logic;
--
-- Some additional signals are required if your system also needs to reset KCPSM6.
--
signal rdl : std_logic;
--
-- When interrupt is to be used then the recommended circuit included below requires
-- the following signal to represent the request made from your system.
--
signal int_request : std_logic;
begin
--
-- Components Instances
--
processor: kcpsm6
generic map ( hwbuild => X"00",
interrupt_vector => X"3FF",
scratch_pad_memory_size => 64)
port map( address => address,
instruction => instruction,
bram_enable => bram_enable,
port_id => port_id,
write_strobe => write_strobe,
k_write_strobe => k_write_strobe,
out_port => out_port,
read_strobe => read_strobe,
in_port => in_port,
interrupt => interrupt,
interrupt_ack => interrupt_ack,
sleep => kcpsm6_sleep,
reset => kcpsm6_reset,
clk => clk);
program_rom: ssg_program --Name to match your PSM file
generic map( C_FAMILY => "S6", --Family 'S6', 'V6' or '7S'
C_RAM_SIZE_KWORDS => 1, --Program size '1', '2' or '4'
C_JTAG_LOADER_ENABLE => 1) --Include JTAG Loader when set to '1'
port map( address => address,
instruction => instruction,
enable => bram_enable,
rdl => rdl,
clk => clk);
ssd: ssg_display
port map ( clk => clk,
rst => rst,
in_bcds_p => bcds_reg,
in_dps_p => dps_reg,
out_seg_p => segs_sig,
out_dp_p => dp_sig,
out_digits_en_p => digits_en_sig
);
--
-- In many designs (especially your first) interrupt and sleep are not used.
-- Tie these inputs Low until you need them. Tying 'interrupt' to 'interrupt_ack'
-- preserves both signals for future use and avoids a warning message.
--
kcpsm6_sleep <= '0';
interrupt <= interrupt_ack;
kcpsm6_reset <= rst or rdl;
in_port(7 downto 4) <= (others => '0');
mux_in_ports: process( clk )
begin
if( clk'event and clk='1') then
if( rst = '1' ) then
in_port(3 downto 0) <= (others => '0');
else
case port_id(1 downto 0) is
-- IN_SWITCH_HLFWRD_X_PORT
when "00" => in_port(3 downto 0) <= in_switches_p(3 downto 0);
-- IN_SWITCH_HLFWRD_Y_PORT
when "01" => in_port(3 downto 0) <= in_switches_p(7 downto 4);
-- IN_BUTTON_PORT
when "10" => in_port(3 downto 0) <= in_buttons_p;
-- Others
when others => in_port(3 downto 0) <= (others => '0');
end case;
end if;
end if;
end process mux_in_ports;
decode_out_ports: process(clk)
begin
if( clk'event and clk='1') then
if( rst = '1') then
bcds_reg <= (others => '0');
dps_reg <= (others => DISABLE_DP);
else
if( write_strobe = '1') then
case port_id(1 downto 0) is
-- OUT_NUM_X_PORT
when "00" => bcds_reg(3 downto 0) <= out_port(3 downto 0);
-- OUT_NUM_Y_PORT
when "01" => bcds_reg(7 downto 4) <= out_port(3 downto 0);
-- OUT_SUM_PORT
when "10" => bcds_reg(15 downto 8) <= out_port;
-- OUT_DP_PORT
when "11" => dps_reg <= out_port(3 downto 0);
when others => bcds_reg <= bcds_reg;
dps_reg <= dps_reg;
end case;
end if;
end if;
end if;
end process decode_out_ports;
out_seg_p <= segs_sig;
out_dp_p <= dp_sig;
out_digits_en_p <= digits_en_sig;
out_leds_p <= in_switches_p;
end structural; | mit | d050a7edbf8826591f6e75060d5df396 | 0.531394 | 3.560183 | false | false | false | false |
besm6/micro-besm | tests/2901/vhdl/funct_blocks_alg_beh/components/output_and_shifter/test_vectors.vhdl | 1 | 7,437 | --------------------------------------------------------------------------------
--
-- AM2901 Benchmark - test patterns for output shifter
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Jan 1, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept 17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept 17, 92 ZYCAD
--------------------------------------------------------------------------------
--library ZYCAD;
use work.TYPES.all;
use work.MVL7_functions.all;
entity E is
end;
architecture A of E is
component output_and_shifter_inst
port (
I : in MVL7_vector(8 downto 0);
A, F, Q : in MVL7_vector(3 downto 0);
OEbar : in MVL7;
Y : out MVL7_vector(3 downto 0);
RAM0, RAM3, Q0, Q3 : out MVL7
);
end component;
signal I : MVL7_vector(8 downto 0);
signal A, F, Q : MVL7_vector(3 downto 0);
signal OEbar : MVL7;
signal Y : MVL7_vector(3 downto 0);
signal RAM0, RAM3, Q0, Q3 : MVL7;
for all : output_and_shifter_inst use entity
work.output_and_shifter(output_and_shifter);
begin
output_and_shifter_inst1 : output_and_shifter_inst port map(
I,
A, F, Q,
OEbar,
Y ,
RAM0, RAM3, Q0, Q3
);
process
begin
-------------------------------------------------------------------------
F <= "0101"; --#1
A <= "1110";
Q <= "0000"; -- Y gets F.
I <= "000000000";
OEBAR <= '0';
wait for 1 ns;
assert (Y = "0101")
report
"Assert a1 : < Y /= '0101'> "
severity warning;
assert (RAM0 = 'Z')
report
"Assert a1 : < RAM0 /= 'Z'> "
severity warning;
assert (RAM3 = 'Z')
report
"Assert a1 : < RAM3 /= 'Z'> "
severity warning;
assert (Q0 = 'Z')
report
"Assert a1 : < Q0 /= 'Z'> "
severity warning;
assert (Q3 = 'Z')
report
"Assert a1 : < Q3 /= 'Z'> "
severity warning;
wait for 1 ns;
-------------------------------------------------------------------------
F <= "0101"; --#2
A <= "0111";
Q <= "0000"; -- Y gets tri-stated.
I <= "000000000";
OEBAR <= '1';
wait for 1 ns;
assert (Y = "ZZZZ")
report
"Assert b1 : < Y /= 'ZZZZ'> "
severity warning;
assert (RAM0 = 'Z')
report
"Assert b1 : < RAM0 /= 'Z'> "
severity warning;
assert (RAM3 = 'Z')
report
"Assert b1 : < RAM3 /= 'Z'> "
severity warning;
assert (Q0 = 'Z')
report
"Assert b1 : < Q0 /= 'Z'> "
severity warning;
assert (Q3 = 'Z')
report
"Assert b1 : < Q3 /= 'Z'> "
severity warning;
wait for 1 ns;
-------------------------------------------------------------------------
F <= "1110"; --#3
A <= "0110";
Q <= "0000"; -- Y gets F.
I <= "001000000";
OEBAR <= '0';
wait for 1 ns;
assert (Y = "1110")
report
"Assert c1 : < Y /= '1110'> "
severity warning;
assert (RAM0 = 'Z')
report
"Assert c1 : < RAM0 /= 'Z'> "
severity warning;
assert (RAM3 = 'Z')
report
"Assert c1 : < RAM3 /= 'Z'> "
severity warning;
assert (Q0 = 'Z')
report
"Assert c1 : < Q0 /= 'Z'> "
severity warning;
assert (Q3 = 'Z')
report
"Assert c1 : < Q3 /= 'Z'> "
severity warning;
wait for 1 ns;
-------------------------------------------------------------------------
F <= "1110"; --#4
A <= "0110";
Q <= "0000"; -- Y gets A.
I <= "010000000";
OEBAR <= '0';
wait for 1 ns;
assert (Y = "0110")
report
"Assert d1 : < Y /= '0110'> "
severity warning;
assert (RAM0 = 'Z')
report
"Assert d1 : < RAM0 /= 'Z'> "
severity warning;
assert (RAM3 = 'Z')
report
"Assert d1 : < RAM3 /= 'Z'> "
severity warning;
assert (Q0 = 'Z')
report
"Assert d1 : < Q0 /= 'Z'> "
severity warning;
assert (Q3 = 'Z')
report
"Assert d1 : < Q3 /= 'Z'> "
severity warning;
wait for 1 ns;
-------------------------------------------------------------------------
F <= "1110"; --#5
A <= "0111";
Q <= "0000"; -- Y gets F.
I <= "011000000";
OEBAR <= '0';
wait for 1 ns;
assert (Y = "1110")
report
"Assert e1 : < Y /= '1110'> "
severity warning;
assert (RAM0 = 'Z')
report
"Assert e1 : < RAM0 /= 'Z'> "
severity warning;
assert (RAM3 = 'Z')
report
"Assert e1 : < RAM3 /= 'Z'> "
severity warning;
assert (Q0 = 'Z')
report
"Assert e1 : < Q0 /= 'Z'> "
severity warning;
assert (Q3 = 'Z')
report
"Assert e1 : < Q3 /= 'Z'> "
severity warning;
wait for 1 ns;
-------------------------------------------------------------------------
F <= "1001"; --#6
A <= "1000";
Q <= "0100"; -- Y gets F. RAM0 gets F(0). Q0 gets Q(0)
I <= "100000000";
OEBAR <= '0';
wait for 1 ns;
assert (Y = "1001")
report
"Assert f1 : < Y /= '1001'> "
severity warning;
assert (RAM0 = '1')
report
"Assert f1 : < RAM0 /= '1'> "
severity warning;
assert (RAM3 = 'Z')
report
"Assert f1 : < RAM3 /= 'Z'> "
severity warning;
assert (Q0 = '0')
report
"Assert f1 : < Q0 /= '0'> "
severity warning;
assert (Q3 = 'Z')
report
"Assert f1 : < Q3 /= 'Z'> "
severity warning;
wait for 1 ns;
-------------------------------------------------------------------------
F <= "0110"; --#7
A <= "1110";
Q <= "1101"; -- Y gets F. RAM0 gets F(0). Q0 gets Q(0)
I <= "101000000";
OEBAR <= '0';
wait for 1 ns;
assert (Y = "0110")
report
"Assert g1 : < Y /= '0110'> "
severity warning;
assert (RAM0 = '0')
report
"Assert g1 : < RAM0 /= '0'> "
severity warning;
assert (RAM3 = 'Z')
report
"Assert g1 : < RAM3 /= 'Z'> "
severity warning;
assert (Q0 = '1')
report
"Assert g1 : < Q0 /= '1'> "
severity warning;
assert (Q3 = 'Z')
report
"Assert g1 : < Q3 /= 'Z'> "
severity warning;
wait for 1 ns;
-------------------------------------------------------------------------
F <= "0100"; --#8
A <= "0010";
Q <= "1000"; -- Y gets F. RAM3 gets F(3). Q3 gets Q(3)
I <= "110000000";
OEBAR <= '0';
wait for 1 ns;
assert (Y = "0100")
report
"Assert h1 : < Y /= '0100'> "
severity warning;
assert (RAM0 = 'Z')
report
"Assert h1 : < RAM0 /= 'Z'> "
severity warning;
assert (RAM3 = '0')
report
"Assert h1 : < RAM3 /= '0'> "
severity warning;
assert (Q0 = 'Z')
report
"Assert h1 : < Q0 /= 'Z'> "
severity warning;
assert (Q3 = '1')
report
"Assert h1 : < Q3 /= '1'> "
severity warning;
wait for 1 ns;
-------------------------------------------------------------------------
F <= "0001"; --#9
A <= "1100";
Q <= "1000"; -- Y gets F. RAM3 gets F(3). Q3 gets Q(3)
I <= "111000000";
OEBAR <= '0';
wait for 1 ns;
assert (Y = "0001")
report
"Assert i1 : < Y /= '0001'> "
severity warning;
assert (RAM0 = 'Z')
report
"Assert i1 : < RAM0 /= 'Z'> "
severity warning;
assert (RAM3 = '0')
report
"Assert i1 : < RAM3 /= '0'> "
severity warning;
assert (Q0 = 'Z')
report
"Assert i1 : < Q0 /= 'Z'> "
severity warning;
assert (Q3 = '1')
report
"Assert i1 : < Q3 /= '1'> "
severity warning;
wait for 1 ns;
-------------------------------------------------------------------------
end process;
end A; | mit | 4c06f3a8acbe5a2ecc1f8c6521a064ed | 0.45045 | 3.093594 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/proj_pointer_basic_hls_ip_integ/proj_pointer_basic_hls_ip_integ.cache/ip/2018.2/871fc0ca5689fa74/design_1_processing_system7_0_0_sim_netlist.vhdl | 1 | 206,005 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Mon Sep 16 04:56:50 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_processing_system7_0_0_sim_netlist.vhdl
-- Design : design_1_processing_system7_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is
port (
CAN0_PHY_TX : out STD_LOGIC;
CAN0_PHY_RX : in STD_LOGIC;
CAN1_PHY_TX : out STD_LOGIC;
CAN1_PHY_RX : in STD_LOGIC;
ENET0_GMII_TX_EN : out STD_LOGIC;
ENET0_GMII_TX_ER : out STD_LOGIC;
ENET0_MDIO_MDC : out STD_LOGIC;
ENET0_MDIO_O : out STD_LOGIC;
ENET0_MDIO_T : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET0_SOF_RX : out STD_LOGIC;
ENET0_SOF_TX : out STD_LOGIC;
ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET0_GMII_COL : in STD_LOGIC;
ENET0_GMII_CRS : in STD_LOGIC;
ENET0_GMII_RX_CLK : in STD_LOGIC;
ENET0_GMII_RX_DV : in STD_LOGIC;
ENET0_GMII_RX_ER : in STD_LOGIC;
ENET0_GMII_TX_CLK : in STD_LOGIC;
ENET0_MDIO_I : in STD_LOGIC;
ENET0_EXT_INTIN : in STD_LOGIC;
ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_TX_EN : out STD_LOGIC;
ENET1_GMII_TX_ER : out STD_LOGIC;
ENET1_MDIO_MDC : out STD_LOGIC;
ENET1_MDIO_O : out STD_LOGIC;
ENET1_MDIO_T : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET1_SOF_RX : out STD_LOGIC;
ENET1_SOF_TX : out STD_LOGIC;
ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_COL : in STD_LOGIC;
ENET1_GMII_CRS : in STD_LOGIC;
ENET1_GMII_RX_CLK : in STD_LOGIC;
ENET1_GMII_RX_DV : in STD_LOGIC;
ENET1_GMII_RX_ER : in STD_LOGIC;
ENET1_GMII_TX_CLK : in STD_LOGIC;
ENET1_MDIO_I : in STD_LOGIC;
ENET1_EXT_INTIN : in STD_LOGIC;
ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
I2C0_SDA_I : in STD_LOGIC;
I2C0_SDA_O : out STD_LOGIC;
I2C0_SDA_T : out STD_LOGIC;
I2C0_SCL_I : in STD_LOGIC;
I2C0_SCL_O : out STD_LOGIC;
I2C0_SCL_T : out STD_LOGIC;
I2C1_SDA_I : in STD_LOGIC;
I2C1_SDA_O : out STD_LOGIC;
I2C1_SDA_T : out STD_LOGIC;
I2C1_SCL_I : in STD_LOGIC;
I2C1_SCL_O : out STD_LOGIC;
I2C1_SCL_T : out STD_LOGIC;
PJTAG_TCK : in STD_LOGIC;
PJTAG_TMS : in STD_LOGIC;
PJTAG_TDI : in STD_LOGIC;
PJTAG_TDO : out STD_LOGIC;
SDIO0_CLK : out STD_LOGIC;
SDIO0_CLK_FB : in STD_LOGIC;
SDIO0_CMD_O : out STD_LOGIC;
SDIO0_CMD_I : in STD_LOGIC;
SDIO0_CMD_T : out STD_LOGIC;
SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_LED : out STD_LOGIC;
SDIO0_CDN : in STD_LOGIC;
SDIO0_WP : in STD_LOGIC;
SDIO0_BUSPOW : out STD_LOGIC;
SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SDIO1_CLK : out STD_LOGIC;
SDIO1_CLK_FB : in STD_LOGIC;
SDIO1_CMD_O : out STD_LOGIC;
SDIO1_CMD_I : in STD_LOGIC;
SDIO1_CMD_T : out STD_LOGIC;
SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_LED : out STD_LOGIC;
SDIO1_CDN : in STD_LOGIC;
SDIO1_WP : in STD_LOGIC;
SDIO1_BUSPOW : out STD_LOGIC;
SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SPI0_SCLK_I : in STD_LOGIC;
SPI0_SCLK_O : out STD_LOGIC;
SPI0_SCLK_T : out STD_LOGIC;
SPI0_MOSI_I : in STD_LOGIC;
SPI0_MOSI_O : out STD_LOGIC;
SPI0_MOSI_T : out STD_LOGIC;
SPI0_MISO_I : in STD_LOGIC;
SPI0_MISO_O : out STD_LOGIC;
SPI0_MISO_T : out STD_LOGIC;
SPI0_SS_I : in STD_LOGIC;
SPI0_SS_O : out STD_LOGIC;
SPI0_SS1_O : out STD_LOGIC;
SPI0_SS2_O : out STD_LOGIC;
SPI0_SS_T : out STD_LOGIC;
SPI1_SCLK_I : in STD_LOGIC;
SPI1_SCLK_O : out STD_LOGIC;
SPI1_SCLK_T : out STD_LOGIC;
SPI1_MOSI_I : in STD_LOGIC;
SPI1_MOSI_O : out STD_LOGIC;
SPI1_MOSI_T : out STD_LOGIC;
SPI1_MISO_I : in STD_LOGIC;
SPI1_MISO_O : out STD_LOGIC;
SPI1_MISO_T : out STD_LOGIC;
SPI1_SS_I : in STD_LOGIC;
SPI1_SS_O : out STD_LOGIC;
SPI1_SS1_O : out STD_LOGIC;
SPI1_SS2_O : out STD_LOGIC;
SPI1_SS_T : out STD_LOGIC;
UART0_DTRN : out STD_LOGIC;
UART0_RTSN : out STD_LOGIC;
UART0_TX : out STD_LOGIC;
UART0_CTSN : in STD_LOGIC;
UART0_DCDN : in STD_LOGIC;
UART0_DSRN : in STD_LOGIC;
UART0_RIN : in STD_LOGIC;
UART0_RX : in STD_LOGIC;
UART1_DTRN : out STD_LOGIC;
UART1_RTSN : out STD_LOGIC;
UART1_TX : out STD_LOGIC;
UART1_CTSN : in STD_LOGIC;
UART1_DCDN : in STD_LOGIC;
UART1_DSRN : in STD_LOGIC;
UART1_RIN : in STD_LOGIC;
UART1_RX : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
TTC0_CLK0_IN : in STD_LOGIC;
TTC0_CLK1_IN : in STD_LOGIC;
TTC0_CLK2_IN : in STD_LOGIC;
TTC1_WAVE0_OUT : out STD_LOGIC;
TTC1_WAVE1_OUT : out STD_LOGIC;
TTC1_WAVE2_OUT : out STD_LOGIC;
TTC1_CLK0_IN : in STD_LOGIC;
TTC1_CLK1_IN : in STD_LOGIC;
TTC1_CLK2_IN : in STD_LOGIC;
WDT_CLK_IN : in STD_LOGIC;
WDT_RST_OUT : out STD_LOGIC;
TRACE_CLK : in STD_LOGIC;
TRACE_CTL : out STD_LOGIC;
TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 );
TRACE_CLK_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB1_VBUS_PWRSELECT : out STD_LOGIC;
USB1_VBUS_PWRFAULT : in STD_LOGIC;
SRAM_INTIN : in STD_LOGIC;
M_AXI_GP0_ARESETN : out STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARESETN : out STD_LOGIC;
M_AXI_GP1_ARVALID : out STD_LOGIC;
M_AXI_GP1_AWVALID : out STD_LOGIC;
M_AXI_GP1_BREADY : out STD_LOGIC;
M_AXI_GP1_RREADY : out STD_LOGIC;
M_AXI_GP1_WLAST : out STD_LOGIC;
M_AXI_GP1_WVALID : out STD_LOGIC;
M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ACLK : in STD_LOGIC;
M_AXI_GP1_ARREADY : in STD_LOGIC;
M_AXI_GP1_AWREADY : in STD_LOGIC;
M_AXI_GP1_BVALID : in STD_LOGIC;
M_AXI_GP1_RLAST : in STD_LOGIC;
M_AXI_GP1_RVALID : in STD_LOGIC;
M_AXI_GP1_WREADY : in STD_LOGIC;
M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARESETN : out STD_LOGIC;
S_AXI_GP0_ARREADY : out STD_LOGIC;
S_AXI_GP0_AWREADY : out STD_LOGIC;
S_AXI_GP0_BVALID : out STD_LOGIC;
S_AXI_GP0_RLAST : out STD_LOGIC;
S_AXI_GP0_RVALID : out STD_LOGIC;
S_AXI_GP0_WREADY : out STD_LOGIC;
S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_ACLK : in STD_LOGIC;
S_AXI_GP0_ARVALID : in STD_LOGIC;
S_AXI_GP0_AWVALID : in STD_LOGIC;
S_AXI_GP0_BREADY : in STD_LOGIC;
S_AXI_GP0_RREADY : in STD_LOGIC;
S_AXI_GP0_WLAST : in STD_LOGIC;
S_AXI_GP0_WVALID : in STD_LOGIC;
S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ARESETN : out STD_LOGIC;
S_AXI_GP1_ARREADY : out STD_LOGIC;
S_AXI_GP1_AWREADY : out STD_LOGIC;
S_AXI_GP1_BVALID : out STD_LOGIC;
S_AXI_GP1_RLAST : out STD_LOGIC;
S_AXI_GP1_RVALID : out STD_LOGIC;
S_AXI_GP1_WREADY : out STD_LOGIC;
S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ACLK : in STD_LOGIC;
S_AXI_GP1_ARVALID : in STD_LOGIC;
S_AXI_GP1_AWVALID : in STD_LOGIC;
S_AXI_GP1_BREADY : in STD_LOGIC;
S_AXI_GP1_RREADY : in STD_LOGIC;
S_AXI_GP1_WLAST : in STD_LOGIC;
S_AXI_GP1_WVALID : in STD_LOGIC;
S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_ACP_ARESETN : out STD_LOGIC;
S_AXI_ACP_ARREADY : out STD_LOGIC;
S_AXI_ACP_AWREADY : out STD_LOGIC;
S_AXI_ACP_BVALID : out STD_LOGIC;
S_AXI_ACP_RLAST : out STD_LOGIC;
S_AXI_ACP_RVALID : out STD_LOGIC;
S_AXI_ACP_WREADY : out STD_LOGIC;
S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_ACLK : in STD_LOGIC;
S_AXI_ACP_ARVALID : in STD_LOGIC;
S_AXI_ACP_AWVALID : in STD_LOGIC;
S_AXI_ACP_BREADY : in STD_LOGIC;
S_AXI_ACP_RREADY : in STD_LOGIC;
S_AXI_ACP_WLAST : in STD_LOGIC;
S_AXI_ACP_WVALID : in STD_LOGIC;
S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_ARESETN : out STD_LOGIC;
S_AXI_HP0_ARREADY : out STD_LOGIC;
S_AXI_HP0_AWREADY : out STD_LOGIC;
S_AXI_HP0_BVALID : out STD_LOGIC;
S_AXI_HP0_RLAST : out STD_LOGIC;
S_AXI_HP0_RVALID : out STD_LOGIC;
S_AXI_HP0_WREADY : out STD_LOGIC;
S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_ACLK : in STD_LOGIC;
S_AXI_HP0_ARVALID : in STD_LOGIC;
S_AXI_HP0_AWVALID : in STD_LOGIC;
S_AXI_HP0_BREADY : in STD_LOGIC;
S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_RREADY : in STD_LOGIC;
S_AXI_HP0_WLAST : in STD_LOGIC;
S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_WVALID : in STD_LOGIC;
S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_ARESETN : out STD_LOGIC;
S_AXI_HP1_ARREADY : out STD_LOGIC;
S_AXI_HP1_AWREADY : out STD_LOGIC;
S_AXI_HP1_BVALID : out STD_LOGIC;
S_AXI_HP1_RLAST : out STD_LOGIC;
S_AXI_HP1_RVALID : out STD_LOGIC;
S_AXI_HP1_WREADY : out STD_LOGIC;
S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_ACLK : in STD_LOGIC;
S_AXI_HP1_ARVALID : in STD_LOGIC;
S_AXI_HP1_AWVALID : in STD_LOGIC;
S_AXI_HP1_BREADY : in STD_LOGIC;
S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_RREADY : in STD_LOGIC;
S_AXI_HP1_WLAST : in STD_LOGIC;
S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_WVALID : in STD_LOGIC;
S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_ARESETN : out STD_LOGIC;
S_AXI_HP2_ARREADY : out STD_LOGIC;
S_AXI_HP2_AWREADY : out STD_LOGIC;
S_AXI_HP2_BVALID : out STD_LOGIC;
S_AXI_HP2_RLAST : out STD_LOGIC;
S_AXI_HP2_RVALID : out STD_LOGIC;
S_AXI_HP2_WREADY : out STD_LOGIC;
S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_ACLK : in STD_LOGIC;
S_AXI_HP2_ARVALID : in STD_LOGIC;
S_AXI_HP2_AWVALID : in STD_LOGIC;
S_AXI_HP2_BREADY : in STD_LOGIC;
S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_RREADY : in STD_LOGIC;
S_AXI_HP2_WLAST : in STD_LOGIC;
S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_WVALID : in STD_LOGIC;
S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_ARESETN : out STD_LOGIC;
S_AXI_HP3_ARREADY : out STD_LOGIC;
S_AXI_HP3_AWREADY : out STD_LOGIC;
S_AXI_HP3_BVALID : out STD_LOGIC;
S_AXI_HP3_RLAST : out STD_LOGIC;
S_AXI_HP3_RVALID : out STD_LOGIC;
S_AXI_HP3_WREADY : out STD_LOGIC;
S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_ACLK : in STD_LOGIC;
S_AXI_HP3_ARVALID : in STD_LOGIC;
S_AXI_HP3_AWVALID : in STD_LOGIC;
S_AXI_HP3_BREADY : in STD_LOGIC;
S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_RREADY : in STD_LOGIC;
S_AXI_HP3_WLAST : in STD_LOGIC;
S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_WVALID : in STD_LOGIC;
S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
IRQ_P2F_DMAC_ABORT : out STD_LOGIC;
IRQ_P2F_DMAC0 : out STD_LOGIC;
IRQ_P2F_DMAC1 : out STD_LOGIC;
IRQ_P2F_DMAC2 : out STD_LOGIC;
IRQ_P2F_DMAC3 : out STD_LOGIC;
IRQ_P2F_DMAC4 : out STD_LOGIC;
IRQ_P2F_DMAC5 : out STD_LOGIC;
IRQ_P2F_DMAC6 : out STD_LOGIC;
IRQ_P2F_DMAC7 : out STD_LOGIC;
IRQ_P2F_SMC : out STD_LOGIC;
IRQ_P2F_QSPI : out STD_LOGIC;
IRQ_P2F_CTI : out STD_LOGIC;
IRQ_P2F_GPIO : out STD_LOGIC;
IRQ_P2F_USB0 : out STD_LOGIC;
IRQ_P2F_ENET0 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE0 : out STD_LOGIC;
IRQ_P2F_SDIO0 : out STD_LOGIC;
IRQ_P2F_I2C0 : out STD_LOGIC;
IRQ_P2F_SPI0 : out STD_LOGIC;
IRQ_P2F_UART0 : out STD_LOGIC;
IRQ_P2F_CAN0 : out STD_LOGIC;
IRQ_P2F_USB1 : out STD_LOGIC;
IRQ_P2F_ENET1 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE1 : out STD_LOGIC;
IRQ_P2F_SDIO1 : out STD_LOGIC;
IRQ_P2F_I2C1 : out STD_LOGIC;
IRQ_P2F_SPI1 : out STD_LOGIC;
IRQ_P2F_UART1 : out STD_LOGIC;
IRQ_P2F_CAN1 : out STD_LOGIC;
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
Core0_nFIQ : in STD_LOGIC;
Core0_nIRQ : in STD_LOGIC;
Core1_nFIQ : in STD_LOGIC;
Core1_nIRQ : in STD_LOGIC;
DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA0_DAVALID : out STD_LOGIC;
DMA0_DRREADY : out STD_LOGIC;
DMA0_RSTN : out STD_LOGIC;
DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DAVALID : out STD_LOGIC;
DMA1_DRREADY : out STD_LOGIC;
DMA1_RSTN : out STD_LOGIC;
DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DAVALID : out STD_LOGIC;
DMA2_DRREADY : out STD_LOGIC;
DMA2_RSTN : out STD_LOGIC;
DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DAVALID : out STD_LOGIC;
DMA3_DRREADY : out STD_LOGIC;
DMA3_RSTN : out STD_LOGIC;
DMA0_ACLK : in STD_LOGIC;
DMA0_DAREADY : in STD_LOGIC;
DMA0_DRLAST : in STD_LOGIC;
DMA0_DRVALID : in STD_LOGIC;
DMA1_ACLK : in STD_LOGIC;
DMA1_DAREADY : in STD_LOGIC;
DMA1_DRLAST : in STD_LOGIC;
DMA1_DRVALID : in STD_LOGIC;
DMA2_ACLK : in STD_LOGIC;
DMA2_DAREADY : in STD_LOGIC;
DMA2_DRLAST : in STD_LOGIC;
DMA2_DRVALID : in STD_LOGIC;
DMA3_ACLK : in STD_LOGIC;
DMA3_DAREADY : in STD_LOGIC;
DMA3_DRLAST : in STD_LOGIC;
DMA3_DRVALID : in STD_LOGIC;
DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
FCLK_CLK3 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLKTRIG3_N : in STD_LOGIC;
FCLK_CLKTRIG2_N : in STD_LOGIC;
FCLK_CLKTRIG1_N : in STD_LOGIC;
FCLK_CLKTRIG0_N : in STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMD_TRACEIN_VALID : in STD_LOGIC;
FTMD_TRACEIN_CLK : in STD_LOGIC;
FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 );
FTMT_F2P_TRIG_0 : in STD_LOGIC;
FTMT_F2P_TRIGACK_0 : out STD_LOGIC;
FTMT_F2P_TRIG_1 : in STD_LOGIC;
FTMT_F2P_TRIGACK_1 : out STD_LOGIC;
FTMT_F2P_TRIG_2 : in STD_LOGIC;
FTMT_F2P_TRIGACK_2 : out STD_LOGIC;
FTMT_F2P_TRIG_3 : in STD_LOGIC;
FTMT_F2P_TRIGACK_3 : out STD_LOGIC;
FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMT_P2F_TRIGACK_0 : in STD_LOGIC;
FTMT_P2F_TRIG_0 : out STD_LOGIC;
FTMT_P2F_TRIGACK_1 : in STD_LOGIC;
FTMT_P2F_TRIG_1 : out STD_LOGIC;
FTMT_P2F_TRIGACK_2 : in STD_LOGIC;
FTMT_P2F_TRIG_2 : out STD_LOGIC;
FTMT_P2F_TRIGACK_3 : in STD_LOGIC;
FTMT_P2F_TRIG_3 : out STD_LOGIC;
FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 );
FPGA_IDLE_N : in STD_LOGIC;
EVENT_EVENTO : out STD_LOGIC;
EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_EVENTI : in STD_LOGIC;
DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 );
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "design_1_processing_system7_0_0.hwdef";
attribute POWER : string;
attribute POWER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={667} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={9} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={7} ioBank={Vcco_p0} clockFreq={200} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={50} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal ENET0_MDIO_T_n : STD_LOGIC;
signal ENET1_MDIO_T_n : STD_LOGIC;
signal FCLK_CLK_unbuffered : STD_LOGIC_VECTOR ( 0 to 0 );
signal I2C0_SCL_T_n : STD_LOGIC;
signal I2C0_SDA_T_n : STD_LOGIC;
signal I2C1_SCL_T_n : STD_LOGIC;
signal I2C1_SDA_T_n : STD_LOGIC;
signal \^m_axi_gp0_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp0_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal SDIO0_CMD_T_n : STD_LOGIC;
signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SDIO1_CMD_T_n : STD_LOGIC;
signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SPI0_MISO_T_n : STD_LOGIC;
signal SPI0_MOSI_T_n : STD_LOGIC;
signal SPI0_SCLK_T_n : STD_LOGIC;
signal SPI0_SS_T_n : STD_LOGIC;
signal SPI1_MISO_T_n : STD_LOGIC;
signal SPI1_MOSI_T_n : STD_LOGIC;
signal SPI1_SCLK_T_n : STD_LOGIC;
signal SPI1_SS_T_n : STD_LOGIC;
signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true";
signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true";
signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true";
signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true";
signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true";
signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true";
signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true";
signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true";
signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true";
signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true";
signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true";
signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true";
signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true";
signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true";
signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true";
signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true";
signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 );
signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 );
signal buffered_DDR_CAS_n : STD_LOGIC;
signal buffered_DDR_CKE : STD_LOGIC;
signal buffered_DDR_CS_n : STD_LOGIC;
signal buffered_DDR_Clk : STD_LOGIC;
signal buffered_DDR_Clk_n : STD_LOGIC;
signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DRSTB : STD_LOGIC;
signal buffered_DDR_ODT : STD_LOGIC;
signal buffered_DDR_RAS_n : STD_LOGIC;
signal buffered_DDR_VRN : STD_LOGIC;
signal buffered_DDR_VRP : STD_LOGIC;
signal buffered_DDR_WEB : STD_LOGIC;
signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 );
signal buffered_PS_CLK : STD_LOGIC;
signal buffered_PS_PORB : STD_LOGIC;
signal buffered_PS_SRSTB : STD_LOGIC;
signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS7_i : label is "PRIMITIVE";
attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
begin
ENET0_GMII_TXD(7) <= \<const0>\;
ENET0_GMII_TXD(6) <= \<const0>\;
ENET0_GMII_TXD(5) <= \<const0>\;
ENET0_GMII_TXD(4) <= \<const0>\;
ENET0_GMII_TXD(3) <= \<const0>\;
ENET0_GMII_TXD(2) <= \<const0>\;
ENET0_GMII_TXD(1) <= \<const0>\;
ENET0_GMII_TXD(0) <= \<const0>\;
ENET0_GMII_TX_EN <= \<const0>\;
ENET0_GMII_TX_ER <= \<const0>\;
ENET1_GMII_TXD(7) <= \<const0>\;
ENET1_GMII_TXD(6) <= \<const0>\;
ENET1_GMII_TXD(5) <= \<const0>\;
ENET1_GMII_TXD(4) <= \<const0>\;
ENET1_GMII_TXD(3) <= \<const0>\;
ENET1_GMII_TXD(2) <= \<const0>\;
ENET1_GMII_TXD(1) <= \<const0>\;
ENET1_GMII_TXD(0) <= \<const0>\;
ENET1_GMII_TX_EN <= \<const0>\;
ENET1_GMII_TX_ER <= \<const0>\;
M_AXI_GP0_ARCACHE(3 downto 2) <= \^m_axi_gp0_arcache\(3 downto 2);
M_AXI_GP0_ARCACHE(1) <= \<const1>\;
M_AXI_GP0_ARCACHE(0) <= \^m_axi_gp0_arcache\(0);
M_AXI_GP0_ARSIZE(2) <= \<const0>\;
M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0);
M_AXI_GP0_AWCACHE(3 downto 2) <= \^m_axi_gp0_awcache\(3 downto 2);
M_AXI_GP0_AWCACHE(1) <= \<const1>\;
M_AXI_GP0_AWCACHE(0) <= \^m_axi_gp0_awcache\(0);
M_AXI_GP0_AWSIZE(2) <= \<const0>\;
M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0);
M_AXI_GP1_ARCACHE(3 downto 2) <= \^m_axi_gp1_arcache\(3 downto 2);
M_AXI_GP1_ARCACHE(1) <= \<const1>\;
M_AXI_GP1_ARCACHE(0) <= \^m_axi_gp1_arcache\(0);
M_AXI_GP1_ARSIZE(2) <= \<const0>\;
M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0);
M_AXI_GP1_AWCACHE(3 downto 2) <= \^m_axi_gp1_awcache\(3 downto 2);
M_AXI_GP1_AWCACHE(1) <= \<const1>\;
M_AXI_GP1_AWCACHE(0) <= \^m_axi_gp1_awcache\(0);
M_AXI_GP1_AWSIZE(2) <= \<const0>\;
M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0);
PJTAG_TDO <= \<const0>\;
TRACE_CLK_OUT <= \<const0>\;
TRACE_CTL <= \TRACE_CTL_PIPE[0]\;
TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0);
DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CAS_n,
PAD => DDR_CAS_n
);
DDR_CKE_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CKE,
PAD => DDR_CKE
);
DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CS_n,
PAD => DDR_CS_n
);
DDR_Clk_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk,
PAD => DDR_Clk
);
DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk_n,
PAD => DDR_Clk_n
);
DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DRSTB,
PAD => DDR_DRSTB
);
DDR_ODT_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_ODT,
PAD => DDR_ODT
);
DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_RAS_n,
PAD => DDR_RAS_n
);
DDR_VRN_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRN,
PAD => DDR_VRN
);
DDR_VRP_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRP,
PAD => DDR_VRP
);
DDR_WEB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_WEB,
PAD => DDR_WEB
);
ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET0_MDIO_T_n,
O => ENET0_MDIO_T
);
ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET1_MDIO_T_n,
O => ENET1_MDIO_T
);
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(0),
O => GPIO_T(0)
);
\GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(10),
O => GPIO_T(10)
);
\GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(11),
O => GPIO_T(11)
);
\GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(12),
O => GPIO_T(12)
);
\GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(13),
O => GPIO_T(13)
);
\GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(14),
O => GPIO_T(14)
);
\GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(15),
O => GPIO_T(15)
);
\GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(16),
O => GPIO_T(16)
);
\GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(17),
O => GPIO_T(17)
);
\GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(18),
O => GPIO_T(18)
);
\GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(19),
O => GPIO_T(19)
);
\GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(1),
O => GPIO_T(1)
);
\GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(20),
O => GPIO_T(20)
);
\GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(21),
O => GPIO_T(21)
);
\GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(22),
O => GPIO_T(22)
);
\GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(23),
O => GPIO_T(23)
);
\GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(24),
O => GPIO_T(24)
);
\GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(25),
O => GPIO_T(25)
);
\GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(26),
O => GPIO_T(26)
);
\GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(27),
O => GPIO_T(27)
);
\GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(28),
O => GPIO_T(28)
);
\GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(29),
O => GPIO_T(29)
);
\GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(2),
O => GPIO_T(2)
);
\GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(30),
O => GPIO_T(30)
);
\GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(31),
O => GPIO_T(31)
);
\GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(32),
O => GPIO_T(32)
);
\GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(33),
O => GPIO_T(33)
);
\GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(34),
O => GPIO_T(34)
);
\GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(35),
O => GPIO_T(35)
);
\GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(36),
O => GPIO_T(36)
);
\GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(37),
O => GPIO_T(37)
);
\GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(38),
O => GPIO_T(38)
);
\GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(39),
O => GPIO_T(39)
);
\GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(3),
O => GPIO_T(3)
);
\GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(40),
O => GPIO_T(40)
);
\GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(41),
O => GPIO_T(41)
);
\GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(42),
O => GPIO_T(42)
);
\GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(43),
O => GPIO_T(43)
);
\GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(44),
O => GPIO_T(44)
);
\GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(45),
O => GPIO_T(45)
);
\GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(46),
O => GPIO_T(46)
);
\GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(47),
O => GPIO_T(47)
);
\GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(48),
O => GPIO_T(48)
);
\GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(49),
O => GPIO_T(49)
);
\GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(4),
O => GPIO_T(4)
);
\GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(50),
O => GPIO_T(50)
);
\GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(51),
O => GPIO_T(51)
);
\GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(52),
O => GPIO_T(52)
);
\GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(53),
O => GPIO_T(53)
);
\GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(54),
O => GPIO_T(54)
);
\GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(55),
O => GPIO_T(55)
);
\GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(56),
O => GPIO_T(56)
);
\GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(57),
O => GPIO_T(57)
);
\GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(58),
O => GPIO_T(58)
);
\GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(59),
O => GPIO_T(59)
);
\GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(5),
O => GPIO_T(5)
);
\GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(60),
O => GPIO_T(60)
);
\GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(61),
O => GPIO_T(61)
);
\GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(62),
O => GPIO_T(62)
);
\GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(63),
O => GPIO_T(63)
);
\GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(6),
O => GPIO_T(6)
);
\GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(7),
O => GPIO_T(7)
);
\GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(8),
O => GPIO_T(8)
);
\GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(9),
O => GPIO_T(9)
);
I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SCL_T_n,
O => I2C0_SCL_T
);
I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SDA_T_n,
O => I2C0_SDA_T
);
I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SCL_T_n,
O => I2C1_SCL_T
);
I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SDA_T_n,
O => I2C1_SDA_T
);
PS7_i: unisim.vcomponents.PS7
port map (
DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0),
DDRARB(3 downto 0) => DDR_ARB(3 downto 0),
DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0),
DDRCASB => buffered_DDR_CAS_n,
DDRCKE => buffered_DDR_CKE,
DDRCKN => buffered_DDR_Clk_n,
DDRCKP => buffered_DDR_Clk,
DDRCSB => buffered_DDR_CS_n,
DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0),
DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0),
DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0),
DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0),
DDRDRSTB => buffered_DDR_DRSTB,
DDRODT => buffered_DDR_ODT,
DDRRASB => buffered_DDR_RAS_n,
DDRVRN => buffered_DDR_VRN,
DDRVRP => buffered_DDR_VRP,
DDRWEB => buffered_DDR_WEB,
DMA0ACLK => DMA0_ACLK,
DMA0DAREADY => DMA0_DAREADY,
DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0),
DMA0DAVALID => DMA0_DAVALID,
DMA0DRLAST => DMA0_DRLAST,
DMA0DRREADY => DMA0_DRREADY,
DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0),
DMA0DRVALID => DMA0_DRVALID,
DMA0RSTN => DMA0_RSTN,
DMA1ACLK => DMA1_ACLK,
DMA1DAREADY => DMA1_DAREADY,
DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0),
DMA1DAVALID => DMA1_DAVALID,
DMA1DRLAST => DMA1_DRLAST,
DMA1DRREADY => DMA1_DRREADY,
DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0),
DMA1DRVALID => DMA1_DRVALID,
DMA1RSTN => DMA1_RSTN,
DMA2ACLK => DMA2_ACLK,
DMA2DAREADY => DMA2_DAREADY,
DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0),
DMA2DAVALID => DMA2_DAVALID,
DMA2DRLAST => DMA2_DRLAST,
DMA2DRREADY => DMA2_DRREADY,
DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0),
DMA2DRVALID => DMA2_DRVALID,
DMA2RSTN => DMA2_RSTN,
DMA3ACLK => DMA3_ACLK,
DMA3DAREADY => DMA3_DAREADY,
DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0),
DMA3DAVALID => DMA3_DAVALID,
DMA3DRLAST => DMA3_DRLAST,
DMA3DRREADY => DMA3_DRREADY,
DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0),
DMA3DRVALID => DMA3_DRVALID,
DMA3RSTN => DMA3_RSTN,
EMIOCAN0PHYRX => CAN0_PHY_RX,
EMIOCAN0PHYTX => CAN0_PHY_TX,
EMIOCAN1PHYRX => CAN1_PHY_RX,
EMIOCAN1PHYTX => CAN1_PHY_TX,
EMIOENET0EXTINTIN => ENET0_EXT_INTIN,
EMIOENET0GMIICOL => '0',
EMIOENET0GMIICRS => '0',
EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK,
EMIOENET0GMIIRXD(7 downto 0) => B"00000000",
EMIOENET0GMIIRXDV => '0',
EMIOENET0GMIIRXER => '0',
EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK,
EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED,
EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED,
EMIOENET0MDIOI => ENET0_MDIO_I,
EMIOENET0MDIOMDC => ENET0_MDIO_MDC,
EMIOENET0MDIOO => ENET0_MDIO_O,
EMIOENET0MDIOTN => ENET0_MDIO_T_n,
EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX,
EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX,
EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX,
EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX,
EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX,
EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX,
EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX,
EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX,
EMIOENET0SOFRX => ENET0_SOF_RX,
EMIOENET0SOFTX => ENET0_SOF_TX,
EMIOENET1EXTINTIN => ENET1_EXT_INTIN,
EMIOENET1GMIICOL => '0',
EMIOENET1GMIICRS => '0',
EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK,
EMIOENET1GMIIRXD(7 downto 0) => B"00000000",
EMIOENET1GMIIRXDV => '0',
EMIOENET1GMIIRXER => '0',
EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK,
EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED,
EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED,
EMIOENET1MDIOI => ENET1_MDIO_I,
EMIOENET1MDIOMDC => ENET1_MDIO_MDC,
EMIOENET1MDIOO => ENET1_MDIO_O,
EMIOENET1MDIOTN => ENET1_MDIO_T_n,
EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX,
EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX,
EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX,
EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX,
EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX,
EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX,
EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX,
EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX,
EMIOENET1SOFRX => ENET1_SOF_RX,
EMIOENET1SOFTX => ENET1_SOF_TX,
EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0),
EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0),
EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0),
EMIOI2C0SCLI => I2C0_SCL_I,
EMIOI2C0SCLO => I2C0_SCL_O,
EMIOI2C0SCLTN => I2C0_SCL_T_n,
EMIOI2C0SDAI => I2C0_SDA_I,
EMIOI2C0SDAO => I2C0_SDA_O,
EMIOI2C0SDATN => I2C0_SDA_T_n,
EMIOI2C1SCLI => I2C1_SCL_I,
EMIOI2C1SCLO => I2C1_SCL_O,
EMIOI2C1SCLTN => I2C1_SCL_T_n,
EMIOI2C1SDAI => I2C1_SDA_I,
EMIOI2C1SDAO => I2C1_SDA_O,
EMIOI2C1SDATN => I2C1_SDA_T_n,
EMIOPJTAGTCK => PJTAG_TCK,
EMIOPJTAGTDI => PJTAG_TDI,
EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED,
EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED,
EMIOPJTAGTMS => PJTAG_TMS,
EMIOSDIO0BUSPOW => SDIO0_BUSPOW,
EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0),
EMIOSDIO0CDN => SDIO0_CDN,
EMIOSDIO0CLK => SDIO0_CLK,
EMIOSDIO0CLKFB => SDIO0_CLK_FB,
EMIOSDIO0CMDI => SDIO0_CMD_I,
EMIOSDIO0CMDO => SDIO0_CMD_O,
EMIOSDIO0CMDTN => SDIO0_CMD_T_n,
EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0),
EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0),
EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0),
EMIOSDIO0LED => SDIO0_LED,
EMIOSDIO0WP => SDIO0_WP,
EMIOSDIO1BUSPOW => SDIO1_BUSPOW,
EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0),
EMIOSDIO1CDN => SDIO1_CDN,
EMIOSDIO1CLK => SDIO1_CLK,
EMIOSDIO1CLKFB => SDIO1_CLK_FB,
EMIOSDIO1CMDI => SDIO1_CMD_I,
EMIOSDIO1CMDO => SDIO1_CMD_O,
EMIOSDIO1CMDTN => SDIO1_CMD_T_n,
EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0),
EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0),
EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0),
EMIOSDIO1LED => SDIO1_LED,
EMIOSDIO1WP => SDIO1_WP,
EMIOSPI0MI => SPI0_MISO_I,
EMIOSPI0MO => SPI0_MOSI_O,
EMIOSPI0MOTN => SPI0_MOSI_T_n,
EMIOSPI0SCLKI => SPI0_SCLK_I,
EMIOSPI0SCLKO => SPI0_SCLK_O,
EMIOSPI0SCLKTN => SPI0_SCLK_T_n,
EMIOSPI0SI => SPI0_MOSI_I,
EMIOSPI0SO => SPI0_MISO_O,
EMIOSPI0SSIN => SPI0_SS_I,
EMIOSPI0SSNTN => SPI0_SS_T_n,
EMIOSPI0SSON(2) => SPI0_SS2_O,
EMIOSPI0SSON(1) => SPI0_SS1_O,
EMIOSPI0SSON(0) => SPI0_SS_O,
EMIOSPI0STN => SPI0_MISO_T_n,
EMIOSPI1MI => SPI1_MISO_I,
EMIOSPI1MO => SPI1_MOSI_O,
EMIOSPI1MOTN => SPI1_MOSI_T_n,
EMIOSPI1SCLKI => SPI1_SCLK_I,
EMIOSPI1SCLKO => SPI1_SCLK_O,
EMIOSPI1SCLKTN => SPI1_SCLK_T_n,
EMIOSPI1SI => SPI1_MOSI_I,
EMIOSPI1SO => SPI1_MISO_O,
EMIOSPI1SSIN => SPI1_SS_I,
EMIOSPI1SSNTN => SPI1_SS_T_n,
EMIOSPI1SSON(2) => SPI1_SS2_O,
EMIOSPI1SSON(1) => SPI1_SS1_O,
EMIOSPI1SSON(0) => SPI1_SS_O,
EMIOSPI1STN => SPI1_MISO_T_n,
EMIOSRAMINTIN => SRAM_INTIN,
EMIOTRACECLK => TRACE_CLK,
EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED,
EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0),
EMIOTTC0CLKI(2) => TTC0_CLK2_IN,
EMIOTTC0CLKI(1) => TTC0_CLK1_IN,
EMIOTTC0CLKI(0) => TTC0_CLK0_IN,
EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT,
EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT,
EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT,
EMIOTTC1CLKI(2) => TTC1_CLK2_IN,
EMIOTTC1CLKI(1) => TTC1_CLK1_IN,
EMIOTTC1CLKI(0) => TTC1_CLK0_IN,
EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT,
EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT,
EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT,
EMIOUART0CTSN => UART0_CTSN,
EMIOUART0DCDN => UART0_DCDN,
EMIOUART0DSRN => UART0_DSRN,
EMIOUART0DTRN => UART0_DTRN,
EMIOUART0RIN => UART0_RIN,
EMIOUART0RTSN => UART0_RTSN,
EMIOUART0RX => UART0_RX,
EMIOUART0TX => UART0_TX,
EMIOUART1CTSN => UART1_CTSN,
EMIOUART1DCDN => UART1_DCDN,
EMIOUART1DSRN => UART1_DSRN,
EMIOUART1DTRN => UART1_DTRN,
EMIOUART1RIN => UART1_RIN,
EMIOUART1RTSN => UART1_RTSN,
EMIOUART1RX => UART1_RX,
EMIOUART1TX => UART1_TX,
EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0),
EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT,
EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT,
EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0),
EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT,
EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT,
EMIOWDTCLKI => WDT_CLK_IN,
EMIOWDTRSTO => WDT_RST_OUT,
EVENTEVENTI => EVENT_EVENTI,
EVENTEVENTO => EVENT_EVENTO,
EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0),
EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0),
FCLKCLK(3) => FCLK_CLK3,
FCLKCLK(2) => FCLK_CLK2,
FCLKCLK(1) => FCLK_CLK1,
FCLKCLK(0) => FCLK_CLK_unbuffered(0),
FCLKCLKTRIGN(3 downto 0) => B"0000",
FCLKRESETN(3) => FCLK_RESET3_N,
FCLKRESETN(2) => FCLK_RESET2_N,
FCLKRESETN(1) => FCLK_RESET1_N,
FCLKRESETN(0) => FCLK_RESET0_N,
FPGAIDLEN => FPGA_IDLE_N,
FTMDTRACEINATID(3 downto 0) => B"0000",
FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK,
FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000",
FTMDTRACEINVALID => '0',
FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0),
FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3,
FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2,
FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1,
FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0,
FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3,
FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2,
FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1,
FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0,
FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0),
FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3,
FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2,
FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1,
FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0,
FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3,
FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2,
FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1,
FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0,
IRQF2P(19) => Core1_nFIQ,
IRQF2P(18) => Core0_nFIQ,
IRQF2P(17) => Core1_nIRQ,
IRQF2P(16) => Core0_nIRQ,
IRQF2P(15 downto 1) => B"000000000000000",
IRQF2P(0) => IRQ_F2P(0),
IRQP2F(28) => IRQ_P2F_DMAC_ABORT,
IRQP2F(27) => IRQ_P2F_DMAC7,
IRQP2F(26) => IRQ_P2F_DMAC6,
IRQP2F(25) => IRQ_P2F_DMAC5,
IRQP2F(24) => IRQ_P2F_DMAC4,
IRQP2F(23) => IRQ_P2F_DMAC3,
IRQP2F(22) => IRQ_P2F_DMAC2,
IRQP2F(21) => IRQ_P2F_DMAC1,
IRQP2F(20) => IRQ_P2F_DMAC0,
IRQP2F(19) => IRQ_P2F_SMC,
IRQP2F(18) => IRQ_P2F_QSPI,
IRQP2F(17) => IRQ_P2F_CTI,
IRQP2F(16) => IRQ_P2F_GPIO,
IRQP2F(15) => IRQ_P2F_USB0,
IRQP2F(14) => IRQ_P2F_ENET0,
IRQP2F(13) => IRQ_P2F_ENET_WAKE0,
IRQP2F(12) => IRQ_P2F_SDIO0,
IRQP2F(11) => IRQ_P2F_I2C0,
IRQP2F(10) => IRQ_P2F_SPI0,
IRQP2F(9) => IRQ_P2F_UART0,
IRQP2F(8) => IRQ_P2F_CAN0,
IRQP2F(7) => IRQ_P2F_USB1,
IRQP2F(6) => IRQ_P2F_ENET1,
IRQP2F(5) => IRQ_P2F_ENET_WAKE1,
IRQP2F(4) => IRQ_P2F_SDIO1,
IRQP2F(3) => IRQ_P2F_I2C1,
IRQP2F(2) => IRQ_P2F_SPI1,
IRQP2F(1) => IRQ_P2F_UART1,
IRQP2F(0) => IRQ_P2F_CAN1,
MAXIGP0ACLK => M_AXI_GP0_ACLK,
MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
MAXIGP0ARCACHE(3 downto 2) => \^m_axi_gp0_arcache\(3 downto 2),
MAXIGP0ARCACHE(1) => NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED(1),
MAXIGP0ARCACHE(0) => \^m_axi_gp0_arcache\(0),
MAXIGP0ARESETN => M_AXI_GP0_ARESETN,
MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
MAXIGP0ARREADY => M_AXI_GP0_ARREADY,
MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0),
MAXIGP0ARVALID => M_AXI_GP0_ARVALID,
MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
MAXIGP0AWCACHE(3 downto 2) => \^m_axi_gp0_awcache\(3 downto 2),
MAXIGP0AWCACHE(1) => NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED(1),
MAXIGP0AWCACHE(0) => \^m_axi_gp0_awcache\(0),
MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
MAXIGP0AWREADY => M_AXI_GP0_AWREADY,
MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0),
MAXIGP0AWVALID => M_AXI_GP0_AWVALID,
MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
MAXIGP0BREADY => M_AXI_GP0_BREADY,
MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
MAXIGP0BVALID => M_AXI_GP0_BVALID,
MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
MAXIGP0RLAST => M_AXI_GP0_RLAST,
MAXIGP0RREADY => M_AXI_GP0_RREADY,
MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
MAXIGP0RVALID => M_AXI_GP0_RVALID,
MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
MAXIGP0WLAST => M_AXI_GP0_WLAST,
MAXIGP0WREADY => M_AXI_GP0_WREADY,
MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
MAXIGP0WVALID => M_AXI_GP0_WVALID,
MAXIGP1ACLK => M_AXI_GP1_ACLK,
MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0),
MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0),
MAXIGP1ARCACHE(3 downto 2) => \^m_axi_gp1_arcache\(3 downto 2),
MAXIGP1ARCACHE(1) => NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED(1),
MAXIGP1ARCACHE(0) => \^m_axi_gp1_arcache\(0),
MAXIGP1ARESETN => M_AXI_GP1_ARESETN,
MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0),
MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0),
MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0),
MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0),
MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0),
MAXIGP1ARREADY => M_AXI_GP1_ARREADY,
MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0),
MAXIGP1ARVALID => M_AXI_GP1_ARVALID,
MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0),
MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0),
MAXIGP1AWCACHE(3 downto 2) => \^m_axi_gp1_awcache\(3 downto 2),
MAXIGP1AWCACHE(1) => NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED(1),
MAXIGP1AWCACHE(0) => \^m_axi_gp1_awcache\(0),
MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0),
MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0),
MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0),
MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0),
MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0),
MAXIGP1AWREADY => M_AXI_GP1_AWREADY,
MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0),
MAXIGP1AWVALID => M_AXI_GP1_AWVALID,
MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0),
MAXIGP1BREADY => M_AXI_GP1_BREADY,
MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0),
MAXIGP1BVALID => M_AXI_GP1_BVALID,
MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0),
MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0),
MAXIGP1RLAST => M_AXI_GP1_RLAST,
MAXIGP1RREADY => M_AXI_GP1_RREADY,
MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0),
MAXIGP1RVALID => M_AXI_GP1_RVALID,
MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0),
MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0),
MAXIGP1WLAST => M_AXI_GP1_WLAST,
MAXIGP1WREADY => M_AXI_GP1_WREADY,
MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0),
MAXIGP1WVALID => M_AXI_GP1_WVALID,
MIO(53 downto 0) => buffered_MIO(53 downto 0),
PSCLK => buffered_PS_CLK,
PSPORB => buffered_PS_PORB,
PSSRSTB => buffered_PS_SRSTB,
SAXIACPACLK => S_AXI_ACP_ACLK,
SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0),
SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0),
SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0),
SAXIACPARESETN => S_AXI_ACP_ARESETN,
SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0),
SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0),
SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0),
SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0),
SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0),
SAXIACPARREADY => S_AXI_ACP_ARREADY,
SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0),
SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0),
SAXIACPARVALID => S_AXI_ACP_ARVALID,
SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0),
SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0),
SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0),
SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0),
SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0),
SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0),
SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0),
SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0),
SAXIACPAWREADY => S_AXI_ACP_AWREADY,
SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0),
SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0),
SAXIACPAWVALID => S_AXI_ACP_AWVALID,
SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0),
SAXIACPBREADY => S_AXI_ACP_BREADY,
SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0),
SAXIACPBVALID => S_AXI_ACP_BVALID,
SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0),
SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0),
SAXIACPRLAST => S_AXI_ACP_RLAST,
SAXIACPRREADY => S_AXI_ACP_RREADY,
SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0),
SAXIACPRVALID => S_AXI_ACP_RVALID,
SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0),
SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0),
SAXIACPWLAST => S_AXI_ACP_WLAST,
SAXIACPWREADY => S_AXI_ACP_WREADY,
SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0),
SAXIACPWVALID => S_AXI_ACP_WVALID,
SAXIGP0ACLK => S_AXI_GP0_ACLK,
SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0),
SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0),
SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0),
SAXIGP0ARESETN => S_AXI_GP0_ARESETN,
SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0),
SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0),
SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0),
SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0),
SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0),
SAXIGP0ARREADY => S_AXI_GP0_ARREADY,
SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0),
SAXIGP0ARVALID => S_AXI_GP0_ARVALID,
SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0),
SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0),
SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0),
SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0),
SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0),
SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0),
SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0),
SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0),
SAXIGP0AWREADY => S_AXI_GP0_AWREADY,
SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0),
SAXIGP0AWVALID => S_AXI_GP0_AWVALID,
SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0),
SAXIGP0BREADY => S_AXI_GP0_BREADY,
SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0),
SAXIGP0BVALID => S_AXI_GP0_BVALID,
SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0),
SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0),
SAXIGP0RLAST => S_AXI_GP0_RLAST,
SAXIGP0RREADY => S_AXI_GP0_RREADY,
SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0),
SAXIGP0RVALID => S_AXI_GP0_RVALID,
SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0),
SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0),
SAXIGP0WLAST => S_AXI_GP0_WLAST,
SAXIGP0WREADY => S_AXI_GP0_WREADY,
SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0),
SAXIGP0WVALID => S_AXI_GP0_WVALID,
SAXIGP1ACLK => S_AXI_GP1_ACLK,
SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0),
SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0),
SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0),
SAXIGP1ARESETN => S_AXI_GP1_ARESETN,
SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0),
SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0),
SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0),
SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0),
SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0),
SAXIGP1ARREADY => S_AXI_GP1_ARREADY,
SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0),
SAXIGP1ARVALID => S_AXI_GP1_ARVALID,
SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0),
SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0),
SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0),
SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0),
SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0),
SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0),
SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0),
SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0),
SAXIGP1AWREADY => S_AXI_GP1_AWREADY,
SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0),
SAXIGP1AWVALID => S_AXI_GP1_AWVALID,
SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0),
SAXIGP1BREADY => S_AXI_GP1_BREADY,
SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0),
SAXIGP1BVALID => S_AXI_GP1_BVALID,
SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0),
SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0),
SAXIGP1RLAST => S_AXI_GP1_RLAST,
SAXIGP1RREADY => S_AXI_GP1_RREADY,
SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0),
SAXIGP1RVALID => S_AXI_GP1_RVALID,
SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0),
SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0),
SAXIGP1WLAST => S_AXI_GP1_WLAST,
SAXIGP1WREADY => S_AXI_GP1_WREADY,
SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0),
SAXIGP1WVALID => S_AXI_GP1_WVALID,
SAXIHP0ACLK => S_AXI_HP0_ACLK,
SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0),
SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0),
SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0),
SAXIHP0ARESETN => S_AXI_HP0_ARESETN,
SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0),
SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0),
SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0),
SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0),
SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0),
SAXIHP0ARREADY => S_AXI_HP0_ARREADY,
SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0),
SAXIHP0ARVALID => S_AXI_HP0_ARVALID,
SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0),
SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0),
SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0),
SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0),
SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0),
SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0),
SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0),
SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0),
SAXIHP0AWREADY => S_AXI_HP0_AWREADY,
SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0),
SAXIHP0AWVALID => S_AXI_HP0_AWVALID,
SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0),
SAXIHP0BREADY => S_AXI_HP0_BREADY,
SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0),
SAXIHP0BVALID => S_AXI_HP0_BVALID,
SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0),
SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0),
SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0),
SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN,
SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0),
SAXIHP0RLAST => S_AXI_HP0_RLAST,
SAXIHP0RREADY => S_AXI_HP0_RREADY,
SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0),
SAXIHP0RVALID => S_AXI_HP0_RVALID,
SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0),
SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0),
SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0),
SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0),
SAXIHP0WLAST => S_AXI_HP0_WLAST,
SAXIHP0WREADY => S_AXI_HP0_WREADY,
SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN,
SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0),
SAXIHP0WVALID => S_AXI_HP0_WVALID,
SAXIHP1ACLK => S_AXI_HP1_ACLK,
SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0),
SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0),
SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0),
SAXIHP1ARESETN => S_AXI_HP1_ARESETN,
SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0),
SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0),
SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0),
SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0),
SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0),
SAXIHP1ARREADY => S_AXI_HP1_ARREADY,
SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0),
SAXIHP1ARVALID => S_AXI_HP1_ARVALID,
SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0),
SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0),
SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0),
SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0),
SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0),
SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0),
SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0),
SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0),
SAXIHP1AWREADY => S_AXI_HP1_AWREADY,
SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0),
SAXIHP1AWVALID => S_AXI_HP1_AWVALID,
SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0),
SAXIHP1BREADY => S_AXI_HP1_BREADY,
SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0),
SAXIHP1BVALID => S_AXI_HP1_BVALID,
SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0),
SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0),
SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0),
SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN,
SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0),
SAXIHP1RLAST => S_AXI_HP1_RLAST,
SAXIHP1RREADY => S_AXI_HP1_RREADY,
SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0),
SAXIHP1RVALID => S_AXI_HP1_RVALID,
SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0),
SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0),
SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0),
SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0),
SAXIHP1WLAST => S_AXI_HP1_WLAST,
SAXIHP1WREADY => S_AXI_HP1_WREADY,
SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN,
SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0),
SAXIHP1WVALID => S_AXI_HP1_WVALID,
SAXIHP2ACLK => S_AXI_HP2_ACLK,
SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0),
SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0),
SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0),
SAXIHP2ARESETN => S_AXI_HP2_ARESETN,
SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0),
SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0),
SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0),
SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0),
SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0),
SAXIHP2ARREADY => S_AXI_HP2_ARREADY,
SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0),
SAXIHP2ARVALID => S_AXI_HP2_ARVALID,
SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0),
SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0),
SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0),
SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0),
SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0),
SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0),
SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0),
SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0),
SAXIHP2AWREADY => S_AXI_HP2_AWREADY,
SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0),
SAXIHP2AWVALID => S_AXI_HP2_AWVALID,
SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0),
SAXIHP2BREADY => S_AXI_HP2_BREADY,
SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0),
SAXIHP2BVALID => S_AXI_HP2_BVALID,
SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0),
SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0),
SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0),
SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN,
SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0),
SAXIHP2RLAST => S_AXI_HP2_RLAST,
SAXIHP2RREADY => S_AXI_HP2_RREADY,
SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0),
SAXIHP2RVALID => S_AXI_HP2_RVALID,
SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0),
SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0),
SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0),
SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0),
SAXIHP2WLAST => S_AXI_HP2_WLAST,
SAXIHP2WREADY => S_AXI_HP2_WREADY,
SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN,
SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0),
SAXIHP2WVALID => S_AXI_HP2_WVALID,
SAXIHP3ACLK => S_AXI_HP3_ACLK,
SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0),
SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0),
SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0),
SAXIHP3ARESETN => S_AXI_HP3_ARESETN,
SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0),
SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0),
SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0),
SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0),
SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0),
SAXIHP3ARREADY => S_AXI_HP3_ARREADY,
SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0),
SAXIHP3ARVALID => S_AXI_HP3_ARVALID,
SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0),
SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0),
SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0),
SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0),
SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0),
SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0),
SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0),
SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0),
SAXIHP3AWREADY => S_AXI_HP3_AWREADY,
SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0),
SAXIHP3AWVALID => S_AXI_HP3_AWVALID,
SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0),
SAXIHP3BREADY => S_AXI_HP3_BREADY,
SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0),
SAXIHP3BVALID => S_AXI_HP3_BVALID,
SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0),
SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0),
SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0),
SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN,
SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0),
SAXIHP3RLAST => S_AXI_HP3_RLAST,
SAXIHP3RREADY => S_AXI_HP3_RREADY,
SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0),
SAXIHP3RVALID => S_AXI_HP3_RVALID,
SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0),
SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0),
SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0),
SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0),
SAXIHP3WLAST => S_AXI_HP3_WLAST,
SAXIHP3WREADY => S_AXI_HP3_WREADY,
SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN,
SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0),
SAXIHP3WVALID => S_AXI_HP3_WVALID
);
PS_CLK_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_CLK,
PAD => PS_CLK
);
PS_PORB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_PORB,
PAD => PS_PORB
);
PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_SRSTB,
PAD => PS_SRSTB
);
SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_CMD_T_n,
O => SDIO0_CMD_T
);
\SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(0),
O => SDIO0_DATA_T(0)
);
\SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(1),
O => SDIO0_DATA_T(1)
);
\SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(2),
O => SDIO0_DATA_T(2)
);
\SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(3),
O => SDIO0_DATA_T(3)
);
SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_CMD_T_n,
O => SDIO1_CMD_T
);
\SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(0),
O => SDIO1_DATA_T(0)
);
\SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(1),
O => SDIO1_DATA_T(1)
);
\SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(2),
O => SDIO1_DATA_T(2)
);
\SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(3),
O => SDIO1_DATA_T(3)
);
SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MISO_T_n,
O => SPI0_MISO_T
);
SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MOSI_T_n,
O => SPI0_MOSI_T
);
SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SCLK_T_n,
O => SPI0_SCLK_T
);
SPI0_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SS_T_n,
O => SPI0_SS_T
);
SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MISO_T_n,
O => SPI1_MISO_T
);
SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MOSI_T_n,
O => SPI1_MOSI_T
);
SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SCLK_T_n,
O => SPI1_SCLK_T
);
SPI1_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SS_T_n,
O => SPI1_SS_T
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\buffer_fclk_clk_0.FCLK_CLK_0_BUFG\: unisim.vcomponents.BUFG
port map (
I => FCLK_CLK_unbuffered(0),
O => FCLK_CLK0
);
\genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(0),
PAD => MIO(0)
);
\genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(10),
PAD => MIO(10)
);
\genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(11),
PAD => MIO(11)
);
\genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(12),
PAD => MIO(12)
);
\genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(13),
PAD => MIO(13)
);
\genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(14),
PAD => MIO(14)
);
\genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(15),
PAD => MIO(15)
);
\genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(16),
PAD => MIO(16)
);
\genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(17),
PAD => MIO(17)
);
\genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(18),
PAD => MIO(18)
);
\genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(19),
PAD => MIO(19)
);
\genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(1),
PAD => MIO(1)
);
\genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(20),
PAD => MIO(20)
);
\genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(21),
PAD => MIO(21)
);
\genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(22),
PAD => MIO(22)
);
\genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(23),
PAD => MIO(23)
);
\genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(24),
PAD => MIO(24)
);
\genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(25),
PAD => MIO(25)
);
\genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(26),
PAD => MIO(26)
);
\genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(27),
PAD => MIO(27)
);
\genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(28),
PAD => MIO(28)
);
\genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(29),
PAD => MIO(29)
);
\genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(2),
PAD => MIO(2)
);
\genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(30),
PAD => MIO(30)
);
\genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(31),
PAD => MIO(31)
);
\genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(32),
PAD => MIO(32)
);
\genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(33),
PAD => MIO(33)
);
\genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(34),
PAD => MIO(34)
);
\genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(35),
PAD => MIO(35)
);
\genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(36),
PAD => MIO(36)
);
\genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(37),
PAD => MIO(37)
);
\genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(38),
PAD => MIO(38)
);
\genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(39),
PAD => MIO(39)
);
\genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(3),
PAD => MIO(3)
);
\genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(40),
PAD => MIO(40)
);
\genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(41),
PAD => MIO(41)
);
\genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(42),
PAD => MIO(42)
);
\genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(43),
PAD => MIO(43)
);
\genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(44),
PAD => MIO(44)
);
\genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(45),
PAD => MIO(45)
);
\genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(46),
PAD => MIO(46)
);
\genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(47),
PAD => MIO(47)
);
\genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(48),
PAD => MIO(48)
);
\genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(49),
PAD => MIO(49)
);
\genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(4),
PAD => MIO(4)
);
\genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(50),
PAD => MIO(50)
);
\genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(51),
PAD => MIO(51)
);
\genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(52),
PAD => MIO(52)
);
\genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(53),
PAD => MIO(53)
);
\genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(5),
PAD => MIO(5)
);
\genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(6),
PAD => MIO(6)
);
\genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(7),
PAD => MIO(7)
);
\genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(8),
PAD => MIO(8)
);
\genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(9),
PAD => MIO(9)
);
\genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(0),
PAD => DDR_BankAddr(0)
);
\genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(1),
PAD => DDR_BankAddr(1)
);
\genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(2),
PAD => DDR_BankAddr(2)
);
\genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(0),
PAD => DDR_Addr(0)
);
\genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(10),
PAD => DDR_Addr(10)
);
\genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(11),
PAD => DDR_Addr(11)
);
\genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(12),
PAD => DDR_Addr(12)
);
\genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(13),
PAD => DDR_Addr(13)
);
\genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(14),
PAD => DDR_Addr(14)
);
\genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(1),
PAD => DDR_Addr(1)
);
\genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(2),
PAD => DDR_Addr(2)
);
\genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(3),
PAD => DDR_Addr(3)
);
\genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(4),
PAD => DDR_Addr(4)
);
\genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(5),
PAD => DDR_Addr(5)
);
\genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(6),
PAD => DDR_Addr(6)
);
\genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(7),
PAD => DDR_Addr(7)
);
\genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(8),
PAD => DDR_Addr(8)
);
\genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(9),
PAD => DDR_Addr(9)
);
\genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(0),
PAD => DDR_DM(0)
);
\genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(1),
PAD => DDR_DM(1)
);
\genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(2),
PAD => DDR_DM(2)
);
\genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(3),
PAD => DDR_DM(3)
);
\genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(0),
PAD => DDR_DQ(0)
);
\genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(10),
PAD => DDR_DQ(10)
);
\genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(11),
PAD => DDR_DQ(11)
);
\genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(12),
PAD => DDR_DQ(12)
);
\genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(13),
PAD => DDR_DQ(13)
);
\genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(14),
PAD => DDR_DQ(14)
);
\genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(15),
PAD => DDR_DQ(15)
);
\genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(16),
PAD => DDR_DQ(16)
);
\genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(17),
PAD => DDR_DQ(17)
);
\genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(18),
PAD => DDR_DQ(18)
);
\genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(19),
PAD => DDR_DQ(19)
);
\genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(1),
PAD => DDR_DQ(1)
);
\genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(20),
PAD => DDR_DQ(20)
);
\genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(21),
PAD => DDR_DQ(21)
);
\genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(22),
PAD => DDR_DQ(22)
);
\genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(23),
PAD => DDR_DQ(23)
);
\genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(24),
PAD => DDR_DQ(24)
);
\genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(25),
PAD => DDR_DQ(25)
);
\genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(26),
PAD => DDR_DQ(26)
);
\genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(27),
PAD => DDR_DQ(27)
);
\genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(28),
PAD => DDR_DQ(28)
);
\genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(29),
PAD => DDR_DQ(29)
);
\genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(2),
PAD => DDR_DQ(2)
);
\genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(30),
PAD => DDR_DQ(30)
);
\genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(31),
PAD => DDR_DQ(31)
);
\genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(3),
PAD => DDR_DQ(3)
);
\genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(4),
PAD => DDR_DQ(4)
);
\genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(5),
PAD => DDR_DQ(5)
);
\genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(6),
PAD => DDR_DQ(6)
);
\genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(7),
PAD => DDR_DQ(7)
);
\genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(8),
PAD => DDR_DQ(8)
);
\genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(9),
PAD => DDR_DQ(9)
);
\genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(0),
PAD => DDR_DQS_n(0)
);
\genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(1),
PAD => DDR_DQS_n(1)
);
\genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(2),
PAD => DDR_DQS_n(2)
);
\genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(3),
PAD => DDR_DQS_n(3)
);
\genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(0),
PAD => DDR_DQS(0)
);
\genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(1),
PAD => DDR_DQS(1)
);
\genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(2),
PAD => DDR_DQS(2)
);
\genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(3),
PAD => DDR_DQS(3)
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[0]\
);
i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(1)
);
i_10: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(1)
);
i_11: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(0)
);
i_12: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(1)
);
i_13: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(0)
);
i_14: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(1)
);
i_15: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(0)
);
i_16: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(1)
);
i_17: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(0)
);
i_18: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(1)
);
i_19: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(0)
);
i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(0)
);
i_20: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(1)
);
i_21: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(0)
);
i_22: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(1)
);
i_23: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(0)
);
i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[7]\
);
i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[6]\
);
i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[5]\
);
i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[4]\
);
i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[3]\
);
i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[2]\
);
i_9: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[1]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "design_1_processing_system7_0_0,processing_system7_v5_5_processing_system7,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "processing_system7_v5_5_processing_system7,Vivado 2018.2";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET1_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET2_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET3_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_GPIO_O_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_GPIO_T_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of inst : label is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of inst : label is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of inst : label is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of inst : label is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of inst : label is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of inst : label is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of inst : label is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of inst : label is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of inst : label is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of inst : label is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 1;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 1;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of inst : label is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of inst : label is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of inst : label is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of inst : label is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of inst : label is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of inst : label is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of inst : label is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of inst : label is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of inst : label is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of inst : label is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of inst : label is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of inst : label is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of inst : label is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of inst : label is "design_1_processing_system7_0_0.hwdef";
attribute POWER : string;
attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={667} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={9} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={7} ioBank={Vcco_p0} clockFreq={200} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={50} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0;
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of DDR_CAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CAS_N";
attribute X_INTERFACE_INFO of DDR_CKE : signal is "xilinx.com:interface:ddrx:1.0 DDR CKE";
attribute X_INTERFACE_INFO of DDR_CS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CS_N";
attribute X_INTERFACE_INFO of DDR_Clk : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_P";
attribute X_INTERFACE_INFO of DDR_Clk_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_N";
attribute X_INTERFACE_INFO of DDR_DRSTB : signal is "xilinx.com:interface:ddrx:1.0 DDR RESET_N";
attribute X_INTERFACE_INFO of DDR_ODT : signal is "xilinx.com:interface:ddrx:1.0 DDR ODT";
attribute X_INTERFACE_INFO of DDR_RAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR RAS_N";
attribute X_INTERFACE_INFO of DDR_VRN : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRN";
attribute X_INTERFACE_INFO of DDR_VRP : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRP";
attribute X_INTERFACE_INFO of DDR_WEB : signal is "xilinx.com:interface:ddrx:1.0 DDR WE_N";
attribute X_INTERFACE_INFO of FCLK_CLK0 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK0 CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of FCLK_CLK0 : signal is "XIL_INTERFACENAME FCLK_CLK0, FREQ_HZ 50000000, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of FCLK_RESET0_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET0_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET0_N : signal is "XIL_INTERFACENAME FCLK_RESET0_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of M_AXI_GP0_ACLK : signal is "xilinx.com:signal:clock:1.0 M_AXI_GP0_ACLK CLK";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_ACLK : signal is "XIL_INTERFACENAME M_AXI_GP0_ACLK, ASSOCIATED_BUSIF M_AXI_GP0, FREQ_HZ 50000000, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_BVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_RREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_RVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_WREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_WVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WVALID";
attribute X_INTERFACE_INFO of PS_CLK : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_CLK";
attribute X_INTERFACE_INFO of PS_PORB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_PORB";
attribute X_INTERFACE_PARAMETER of PS_PORB : signal is "XIL_INTERFACENAME FIXED_IO, CAN_DEBUG false";
attribute X_INTERFACE_INFO of PS_SRSTB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_SRSTB";
attribute X_INTERFACE_INFO of USB0_VBUS_PWRFAULT : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 VBUS_PWRFAULT";
attribute X_INTERFACE_INFO of USB0_VBUS_PWRSELECT : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 VBUS_PWRSELECT";
attribute X_INTERFACE_INFO of DDR_Addr : signal is "xilinx.com:interface:ddrx:1.0 DDR ADDR";
attribute X_INTERFACE_INFO of DDR_BankAddr : signal is "xilinx.com:interface:ddrx:1.0 DDR BA";
attribute X_INTERFACE_INFO of DDR_DM : signal is "xilinx.com:interface:ddrx:1.0 DDR DM";
attribute X_INTERFACE_INFO of DDR_DQ : signal is "xilinx.com:interface:ddrx:1.0 DDR DQ";
attribute X_INTERFACE_INFO of DDR_DQS : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_P";
attribute X_INTERFACE_PARAMETER of DDR_DQS : signal is "XIL_INTERFACENAME DDR, CAN_DEBUG false, TIMEPERIOD_PS 1250, MEMORY_TYPE COMPONENTS, DATA_WIDTH 8, CS_ENABLED true, DATA_MASK_ENABLED true, SLOT Single, MEM_ADDR_MAP ROW_COLUMN_BANK, BURST_LENGTH 8, AXI_ARBITRATION_SCHEME TDM, CAS_LATENCY 11, CAS_WRITE_LATENCY 11";
attribute X_INTERFACE_INFO of DDR_DQS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_N";
attribute X_INTERFACE_INFO of MIO : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO MIO";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARID";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_BID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_RDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RDATA";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_RDATA : signal is "XIL_INTERFACENAME M_AXI_GP0, SUPPORTS_NARROW_BURST 0, NUM_WRITE_OUTSTANDING 8, NUM_READ_OUTSTANDING 8, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 50000000, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of M_AXI_GP0_RID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_WDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WDATA";
attribute X_INTERFACE_INFO of M_AXI_GP0_WID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WSTRB : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WSTRB";
attribute X_INTERFACE_INFO of USB0_PORT_INDCTL : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 PORT_INDCTL";
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7
port map (
CAN0_PHY_RX => '0',
CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED,
CAN1_PHY_RX => '0',
CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED,
Core0_nFIQ => '0',
Core0_nIRQ => '0',
Core1_nFIQ => '0',
Core1_nIRQ => '0',
DDR_ARB(3 downto 0) => B"0000",
DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0),
DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0),
DDR_CAS_n => DDR_CAS_n,
DDR_CKE => DDR_CKE,
DDR_CS_n => DDR_CS_n,
DDR_Clk => DDR_Clk,
DDR_Clk_n => DDR_Clk_n,
DDR_DM(3 downto 0) => DDR_DM(3 downto 0),
DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0),
DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0),
DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0),
DDR_DRSTB => DDR_DRSTB,
DDR_ODT => DDR_ODT,
DDR_RAS_n => DDR_RAS_n,
DDR_VRN => DDR_VRN,
DDR_VRP => DDR_VRP,
DDR_WEB => DDR_WEB,
DMA0_ACLK => '0',
DMA0_DAREADY => '0',
DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0),
DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED,
DMA0_DRLAST => '0',
DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED,
DMA0_DRTYPE(1 downto 0) => B"00",
DMA0_DRVALID => '0',
DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED,
DMA1_ACLK => '0',
DMA1_DAREADY => '0',
DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0),
DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED,
DMA1_DRLAST => '0',
DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED,
DMA1_DRTYPE(1 downto 0) => B"00",
DMA1_DRVALID => '0',
DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED,
DMA2_ACLK => '0',
DMA2_DAREADY => '0',
DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0),
DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED,
DMA2_DRLAST => '0',
DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED,
DMA2_DRTYPE(1 downto 0) => B"00",
DMA2_DRVALID => '0',
DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED,
DMA3_ACLK => '0',
DMA3_DAREADY => '0',
DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0),
DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED,
DMA3_DRLAST => '0',
DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED,
DMA3_DRTYPE(1 downto 0) => B"00",
DMA3_DRVALID => '0',
DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED,
ENET0_EXT_INTIN => '0',
ENET0_GMII_COL => '0',
ENET0_GMII_CRS => '0',
ENET0_GMII_RXD(7 downto 0) => B"00000000",
ENET0_GMII_RX_CLK => '0',
ENET0_GMII_RX_DV => '0',
ENET0_GMII_RX_ER => '0',
ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0),
ENET0_GMII_TX_CLK => '0',
ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED,
ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED,
ENET0_MDIO_I => '0',
ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED,
ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED,
ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED,
ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED,
ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED,
ENET1_EXT_INTIN => '0',
ENET1_GMII_COL => '0',
ENET1_GMII_CRS => '0',
ENET1_GMII_RXD(7 downto 0) => B"00000000",
ENET1_GMII_RX_CLK => '0',
ENET1_GMII_RX_DV => '0',
ENET1_GMII_RX_ER => '0',
ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0),
ENET1_GMII_TX_CLK => '0',
ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED,
ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED,
ENET1_MDIO_I => '0',
ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED,
ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED,
ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED,
ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED,
ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED,
EVENT_EVENTI => '0',
EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED,
EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0),
EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0),
FCLK_CLK0 => FCLK_CLK0,
FCLK_CLK1 => NLW_inst_FCLK_CLK1_UNCONNECTED,
FCLK_CLK2 => NLW_inst_FCLK_CLK2_UNCONNECTED,
FCLK_CLK3 => NLW_inst_FCLK_CLK3_UNCONNECTED,
FCLK_CLKTRIG0_N => '0',
FCLK_CLKTRIG1_N => '0',
FCLK_CLKTRIG2_N => '0',
FCLK_CLKTRIG3_N => '0',
FCLK_RESET0_N => FCLK_RESET0_N,
FCLK_RESET1_N => NLW_inst_FCLK_RESET1_N_UNCONNECTED,
FCLK_RESET2_N => NLW_inst_FCLK_RESET2_N_UNCONNECTED,
FCLK_RESET3_N => NLW_inst_FCLK_RESET3_N_UNCONNECTED,
FPGA_IDLE_N => '0',
FTMD_TRACEIN_ATID(3 downto 0) => B"0000",
FTMD_TRACEIN_CLK => '0',
FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000",
FTMD_TRACEIN_VALID => '0',
FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000",
FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED,
FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED,
FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED,
FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED,
FTMT_F2P_TRIG_0 => '0',
FTMT_F2P_TRIG_1 => '0',
FTMT_F2P_TRIG_2 => '0',
FTMT_F2P_TRIG_3 => '0',
FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0),
FTMT_P2F_TRIGACK_0 => '0',
FTMT_P2F_TRIGACK_1 => '0',
FTMT_P2F_TRIGACK_2 => '0',
FTMT_P2F_TRIGACK_3 => '0',
FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED,
FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED,
FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED,
FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED,
GPIO_I(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
GPIO_O(63 downto 0) => NLW_inst_GPIO_O_UNCONNECTED(63 downto 0),
GPIO_T(63 downto 0) => NLW_inst_GPIO_T_UNCONNECTED(63 downto 0),
I2C0_SCL_I => '0',
I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED,
I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED,
I2C0_SDA_I => '0',
I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED,
I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED,
I2C1_SCL_I => '0',
I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED,
I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED,
I2C1_SDA_I => '0',
I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED,
I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED,
IRQ_F2P(0) => '0',
IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED,
IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED,
IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED,
IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED,
IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED,
IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED,
IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED,
IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED,
IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED,
IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED,
IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED,
IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED,
IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED,
IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED,
IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED,
IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED,
IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED,
IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED,
IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED,
IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED,
IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED,
IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED,
IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED,
IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED,
IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED,
IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED,
IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED,
IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED,
IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED,
MIO(53 downto 0) => MIO(53 downto 0),
M_AXI_GP0_ACLK => M_AXI_GP0_ACLK,
M_AXI_GP0_ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
M_AXI_GP0_ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
M_AXI_GP0_ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0),
M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED,
M_AXI_GP0_ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
M_AXI_GP0_ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
M_AXI_GP0_ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
M_AXI_GP0_ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
M_AXI_GP0_ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
M_AXI_GP0_ARREADY => M_AXI_GP0_ARREADY,
M_AXI_GP0_ARSIZE(2 downto 0) => M_AXI_GP0_ARSIZE(2 downto 0),
M_AXI_GP0_ARVALID => M_AXI_GP0_ARVALID,
M_AXI_GP0_AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
M_AXI_GP0_AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
M_AXI_GP0_AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0),
M_AXI_GP0_AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
M_AXI_GP0_AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
M_AXI_GP0_AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
M_AXI_GP0_AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
M_AXI_GP0_AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
M_AXI_GP0_AWREADY => M_AXI_GP0_AWREADY,
M_AXI_GP0_AWSIZE(2 downto 0) => M_AXI_GP0_AWSIZE(2 downto 0),
M_AXI_GP0_AWVALID => M_AXI_GP0_AWVALID,
M_AXI_GP0_BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
M_AXI_GP0_BREADY => M_AXI_GP0_BREADY,
M_AXI_GP0_BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
M_AXI_GP0_BVALID => M_AXI_GP0_BVALID,
M_AXI_GP0_RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
M_AXI_GP0_RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
M_AXI_GP0_RLAST => M_AXI_GP0_RLAST,
M_AXI_GP0_RREADY => M_AXI_GP0_RREADY,
M_AXI_GP0_RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
M_AXI_GP0_RVALID => M_AXI_GP0_RVALID,
M_AXI_GP0_WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
M_AXI_GP0_WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
M_AXI_GP0_WLAST => M_AXI_GP0_WLAST,
M_AXI_GP0_WREADY => M_AXI_GP0_WREADY,
M_AXI_GP0_WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
M_AXI_GP0_WVALID => M_AXI_GP0_WVALID,
M_AXI_GP1_ACLK => '0',
M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED,
M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0),
M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARREADY => '0',
M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED,
M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0),
M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWREADY => '0',
M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED,
M_AXI_GP1_BID(11 downto 0) => B"000000000000",
M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED,
M_AXI_GP1_BRESP(1 downto 0) => B"00",
M_AXI_GP1_BVALID => '0',
M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000",
M_AXI_GP1_RID(11 downto 0) => B"000000000000",
M_AXI_GP1_RLAST => '0',
M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED,
M_AXI_GP1_RRESP(1 downto 0) => B"00",
M_AXI_GP1_RVALID => '0',
M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0),
M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0),
M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED,
M_AXI_GP1_WREADY => '0',
M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0),
M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED,
PJTAG_TCK => '0',
PJTAG_TDI => '0',
PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED,
PJTAG_TMS => '0',
PS_CLK => PS_CLK,
PS_PORB => PS_PORB,
PS_SRSTB => PS_SRSTB,
SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED,
SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO0_CDN => '0',
SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED,
SDIO0_CLK_FB => '0',
SDIO0_CMD_I => '0',
SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED,
SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED,
SDIO0_DATA_I(3 downto 0) => B"0000",
SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0),
SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0),
SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED,
SDIO0_WP => '0',
SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED,
SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO1_CDN => '0',
SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED,
SDIO1_CLK_FB => '0',
SDIO1_CMD_I => '0',
SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED,
SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED,
SDIO1_DATA_I(3 downto 0) => B"0000",
SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0),
SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0),
SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED,
SDIO1_WP => '0',
SPI0_MISO_I => '0',
SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED,
SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED,
SPI0_MOSI_I => '0',
SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED,
SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED,
SPI0_SCLK_I => '0',
SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED,
SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED,
SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED,
SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED,
SPI0_SS_I => '0',
SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED,
SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED,
SPI1_MISO_I => '0',
SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED,
SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED,
SPI1_MOSI_I => '0',
SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED,
SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED,
SPI1_SCLK_I => '0',
SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED,
SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED,
SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED,
SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED,
SPI1_SS_I => '0',
SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED,
SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED,
SRAM_INTIN => '0',
S_AXI_ACP_ACLK => '0',
S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_ARBURST(1 downto 0) => B"00",
S_AXI_ACP_ARCACHE(3 downto 0) => B"0000",
S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED,
S_AXI_ACP_ARID(2 downto 0) => B"000",
S_AXI_ACP_ARLEN(3 downto 0) => B"0000",
S_AXI_ACP_ARLOCK(1 downto 0) => B"00",
S_AXI_ACP_ARPROT(2 downto 0) => B"000",
S_AXI_ACP_ARQOS(3 downto 0) => B"0000",
S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED,
S_AXI_ACP_ARSIZE(2 downto 0) => B"000",
S_AXI_ACP_ARUSER(4 downto 0) => B"00000",
S_AXI_ACP_ARVALID => '0',
S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_AWBURST(1 downto 0) => B"00",
S_AXI_ACP_AWCACHE(3 downto 0) => B"0000",
S_AXI_ACP_AWID(2 downto 0) => B"000",
S_AXI_ACP_AWLEN(3 downto 0) => B"0000",
S_AXI_ACP_AWLOCK(1 downto 0) => B"00",
S_AXI_ACP_AWPROT(2 downto 0) => B"000",
S_AXI_ACP_AWQOS(3 downto 0) => B"0000",
S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED,
S_AXI_ACP_AWSIZE(2 downto 0) => B"000",
S_AXI_ACP_AWUSER(4 downto 0) => B"00000",
S_AXI_ACP_AWVALID => '0',
S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0),
S_AXI_ACP_BREADY => '0',
S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED,
S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0),
S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0),
S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED,
S_AXI_ACP_RREADY => '0',
S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED,
S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_ACP_WID(2 downto 0) => B"000",
S_AXI_ACP_WLAST => '0',
S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED,
S_AXI_ACP_WSTRB(7 downto 0) => B"00000000",
S_AXI_ACP_WVALID => '0',
S_AXI_GP0_ACLK => '0',
S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_ARBURST(1 downto 0) => B"00",
S_AXI_GP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED,
S_AXI_GP0_ARID(5 downto 0) => B"000000",
S_AXI_GP0_ARLEN(3 downto 0) => B"0000",
S_AXI_GP0_ARLOCK(1 downto 0) => B"00",
S_AXI_GP0_ARPROT(2 downto 0) => B"000",
S_AXI_GP0_ARQOS(3 downto 0) => B"0000",
S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED,
S_AXI_GP0_ARSIZE(2 downto 0) => B"000",
S_AXI_GP0_ARVALID => '0',
S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_AWBURST(1 downto 0) => B"00",
S_AXI_GP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP0_AWID(5 downto 0) => B"000000",
S_AXI_GP0_AWLEN(3 downto 0) => B"0000",
S_AXI_GP0_AWLOCK(1 downto 0) => B"00",
S_AXI_GP0_AWPROT(2 downto 0) => B"000",
S_AXI_GP0_AWQOS(3 downto 0) => B"0000",
S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED,
S_AXI_GP0_AWSIZE(2 downto 0) => B"000",
S_AXI_GP0_AWVALID => '0',
S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0),
S_AXI_GP0_BREADY => '0',
S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED,
S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0),
S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED,
S_AXI_GP0_RREADY => '0',
S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED,
S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_WID(5 downto 0) => B"000000",
S_AXI_GP0_WLAST => '0',
S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED,
S_AXI_GP0_WSTRB(3 downto 0) => B"0000",
S_AXI_GP0_WVALID => '0',
S_AXI_GP1_ACLK => '0',
S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_ARBURST(1 downto 0) => B"00",
S_AXI_GP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED,
S_AXI_GP1_ARID(5 downto 0) => B"000000",
S_AXI_GP1_ARLEN(3 downto 0) => B"0000",
S_AXI_GP1_ARLOCK(1 downto 0) => B"00",
S_AXI_GP1_ARPROT(2 downto 0) => B"000",
S_AXI_GP1_ARQOS(3 downto 0) => B"0000",
S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED,
S_AXI_GP1_ARSIZE(2 downto 0) => B"000",
S_AXI_GP1_ARVALID => '0',
S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_AWBURST(1 downto 0) => B"00",
S_AXI_GP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP1_AWID(5 downto 0) => B"000000",
S_AXI_GP1_AWLEN(3 downto 0) => B"0000",
S_AXI_GP1_AWLOCK(1 downto 0) => B"00",
S_AXI_GP1_AWPROT(2 downto 0) => B"000",
S_AXI_GP1_AWQOS(3 downto 0) => B"0000",
S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED,
S_AXI_GP1_AWSIZE(2 downto 0) => B"000",
S_AXI_GP1_AWVALID => '0',
S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0),
S_AXI_GP1_BREADY => '0',
S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED,
S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0),
S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED,
S_AXI_GP1_RREADY => '0',
S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED,
S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_WID(5 downto 0) => B"000000",
S_AXI_GP1_WLAST => '0',
S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED,
S_AXI_GP1_WSTRB(3 downto 0) => B"0000",
S_AXI_GP1_WVALID => '0',
S_AXI_HP0_ACLK => '0',
S_AXI_HP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_ARBURST(1 downto 0) => B"00",
S_AXI_HP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED,
S_AXI_HP0_ARID(5 downto 0) => B"000000",
S_AXI_HP0_ARLEN(3 downto 0) => B"0000",
S_AXI_HP0_ARLOCK(1 downto 0) => B"00",
S_AXI_HP0_ARPROT(2 downto 0) => B"000",
S_AXI_HP0_ARQOS(3 downto 0) => B"0000",
S_AXI_HP0_ARREADY => NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED,
S_AXI_HP0_ARSIZE(2 downto 0) => B"000",
S_AXI_HP0_ARVALID => '0',
S_AXI_HP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_AWBURST(1 downto 0) => B"00",
S_AXI_HP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP0_AWID(5 downto 0) => B"000000",
S_AXI_HP0_AWLEN(3 downto 0) => B"0000",
S_AXI_HP0_AWLOCK(1 downto 0) => B"00",
S_AXI_HP0_AWPROT(2 downto 0) => B"000",
S_AXI_HP0_AWQOS(3 downto 0) => B"0000",
S_AXI_HP0_AWREADY => NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED,
S_AXI_HP0_AWSIZE(2 downto 0) => B"000",
S_AXI_HP0_AWVALID => '0',
S_AXI_HP0_BID(5 downto 0) => NLW_inst_S_AXI_HP0_BID_UNCONNECTED(5 downto 0),
S_AXI_HP0_BREADY => '0',
S_AXI_HP0_BRESP(1 downto 0) => NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_BVALID => NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED,
S_AXI_HP0_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP0_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_RDATA(63 downto 0) => NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP0_RDISSUECAP1_EN => '0',
S_AXI_HP0_RID(5 downto 0) => NLW_inst_S_AXI_HP0_RID_UNCONNECTED(5 downto 0),
S_AXI_HP0_RLAST => NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED,
S_AXI_HP0_RREADY => '0',
S_AXI_HP0_RRESP(1 downto 0) => NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_RVALID => NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED,
S_AXI_HP0_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP0_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP0_WID(5 downto 0) => B"000000",
S_AXI_HP0_WLAST => '0',
S_AXI_HP0_WREADY => NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED,
S_AXI_HP0_WRISSUECAP1_EN => '0',
S_AXI_HP0_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP0_WVALID => '0',
S_AXI_HP1_ACLK => '0',
S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_ARBURST(1 downto 0) => B"00",
S_AXI_HP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED,
S_AXI_HP1_ARID(5 downto 0) => B"000000",
S_AXI_HP1_ARLEN(3 downto 0) => B"0000",
S_AXI_HP1_ARLOCK(1 downto 0) => B"00",
S_AXI_HP1_ARPROT(2 downto 0) => B"000",
S_AXI_HP1_ARQOS(3 downto 0) => B"0000",
S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED,
S_AXI_HP1_ARSIZE(2 downto 0) => B"000",
S_AXI_HP1_ARVALID => '0',
S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_AWBURST(1 downto 0) => B"00",
S_AXI_HP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP1_AWID(5 downto 0) => B"000000",
S_AXI_HP1_AWLEN(3 downto 0) => B"0000",
S_AXI_HP1_AWLOCK(1 downto 0) => B"00",
S_AXI_HP1_AWPROT(2 downto 0) => B"000",
S_AXI_HP1_AWQOS(3 downto 0) => B"0000",
S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED,
S_AXI_HP1_AWSIZE(2 downto 0) => B"000",
S_AXI_HP1_AWVALID => '0',
S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0),
S_AXI_HP1_BREADY => '0',
S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED,
S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP1_RDISSUECAP1_EN => '0',
S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0),
S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED,
S_AXI_HP1_RREADY => '0',
S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED,
S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP1_WID(5 downto 0) => B"000000",
S_AXI_HP1_WLAST => '0',
S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED,
S_AXI_HP1_WRISSUECAP1_EN => '0',
S_AXI_HP1_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP1_WVALID => '0',
S_AXI_HP2_ACLK => '0',
S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_ARBURST(1 downto 0) => B"00",
S_AXI_HP2_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED,
S_AXI_HP2_ARID(5 downto 0) => B"000000",
S_AXI_HP2_ARLEN(3 downto 0) => B"0000",
S_AXI_HP2_ARLOCK(1 downto 0) => B"00",
S_AXI_HP2_ARPROT(2 downto 0) => B"000",
S_AXI_HP2_ARQOS(3 downto 0) => B"0000",
S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED,
S_AXI_HP2_ARSIZE(2 downto 0) => B"000",
S_AXI_HP2_ARVALID => '0',
S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_AWBURST(1 downto 0) => B"00",
S_AXI_HP2_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP2_AWID(5 downto 0) => B"000000",
S_AXI_HP2_AWLEN(3 downto 0) => B"0000",
S_AXI_HP2_AWLOCK(1 downto 0) => B"00",
S_AXI_HP2_AWPROT(2 downto 0) => B"000",
S_AXI_HP2_AWQOS(3 downto 0) => B"0000",
S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED,
S_AXI_HP2_AWSIZE(2 downto 0) => B"000",
S_AXI_HP2_AWVALID => '0',
S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0),
S_AXI_HP2_BREADY => '0',
S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED,
S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP2_RDISSUECAP1_EN => '0',
S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0),
S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED,
S_AXI_HP2_RREADY => '0',
S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED,
S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP2_WID(5 downto 0) => B"000000",
S_AXI_HP2_WLAST => '0',
S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED,
S_AXI_HP2_WRISSUECAP1_EN => '0',
S_AXI_HP2_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP2_WVALID => '0',
S_AXI_HP3_ACLK => '0',
S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_ARBURST(1 downto 0) => B"00",
S_AXI_HP3_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED,
S_AXI_HP3_ARID(5 downto 0) => B"000000",
S_AXI_HP3_ARLEN(3 downto 0) => B"0000",
S_AXI_HP3_ARLOCK(1 downto 0) => B"00",
S_AXI_HP3_ARPROT(2 downto 0) => B"000",
S_AXI_HP3_ARQOS(3 downto 0) => B"0000",
S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED,
S_AXI_HP3_ARSIZE(2 downto 0) => B"000",
S_AXI_HP3_ARVALID => '0',
S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_AWBURST(1 downto 0) => B"00",
S_AXI_HP3_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP3_AWID(5 downto 0) => B"000000",
S_AXI_HP3_AWLEN(3 downto 0) => B"0000",
S_AXI_HP3_AWLOCK(1 downto 0) => B"00",
S_AXI_HP3_AWPROT(2 downto 0) => B"000",
S_AXI_HP3_AWQOS(3 downto 0) => B"0000",
S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED,
S_AXI_HP3_AWSIZE(2 downto 0) => B"000",
S_AXI_HP3_AWVALID => '0',
S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0),
S_AXI_HP3_BREADY => '0',
S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED,
S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP3_RDISSUECAP1_EN => '0',
S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0),
S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED,
S_AXI_HP3_RREADY => '0',
S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED,
S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP3_WID(5 downto 0) => B"000000",
S_AXI_HP3_WLAST => '0',
S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED,
S_AXI_HP3_WRISSUECAP1_EN => '0',
S_AXI_HP3_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP3_WVALID => '0',
TRACE_CLK => '0',
TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED,
TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED,
TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0),
TTC0_CLK0_IN => '0',
TTC0_CLK1_IN => '0',
TTC0_CLK2_IN => '0',
TTC0_WAVE0_OUT => NLW_inst_TTC0_WAVE0_OUT_UNCONNECTED,
TTC0_WAVE1_OUT => NLW_inst_TTC0_WAVE1_OUT_UNCONNECTED,
TTC0_WAVE2_OUT => NLW_inst_TTC0_WAVE2_OUT_UNCONNECTED,
TTC1_CLK0_IN => '0',
TTC1_CLK1_IN => '0',
TTC1_CLK2_IN => '0',
TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED,
TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED,
TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED,
UART0_CTSN => '0',
UART0_DCDN => '0',
UART0_DSRN => '0',
UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED,
UART0_RIN => '0',
UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED,
UART0_RX => '1',
UART0_TX => NLW_inst_UART0_TX_UNCONNECTED,
UART1_CTSN => '0',
UART1_DCDN => '0',
UART1_DSRN => '0',
UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED,
UART1_RIN => '0',
UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED,
UART1_RX => '1',
UART1_TX => NLW_inst_UART1_TX_UNCONNECTED,
USB0_PORT_INDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0),
USB0_VBUS_PWRFAULT => USB0_VBUS_PWRFAULT,
USB0_VBUS_PWRSELECT => USB0_VBUS_PWRSELECT,
USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB1_VBUS_PWRFAULT => '0',
USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED,
WDT_CLK_IN => '0',
WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED
);
end STRUCTURE;
| mit | a734b11d989ab93a8599c90006b6519a | 0.639567 | 2.76643 | false | false | false | false |
MartinCura/SistDig-TP4 | src/ext_ram/MemoryController.vhd | 1 | 5,540 | library ieee;
use ieee.std_logic_1164.all;
entity MemoryController is
generic (
clock_frec : integer := 50 -- MHz
);
port (
clock : in std_logic; -- 100MHz/50MHz
reset : in std_logic;
address_in : in std_logic_vector(22 downto 0); -- dirección RAM
go_in : in std_logic; -- if='1' starts the operation
write_in : in std_logic; -- if='0' => read; if='1' => write
data_in : in std_logic_vector(15 downto 0); -- datos a escribir
data_out : out std_logic_vector(15 downto 0); -- datos leídos
read_ready_out : out std_logic; -- if='1' valid data_out
busy : out std_logic; -- if='1' RAM is busy (go_in won't take effect)
-- Controladores de la memoria (externa):
clock_out : out std_logic;
ADDRESS : out std_logic_vector(22 downto 0);
ADV : out std_logic;
CRE : out std_logic;
CE : out std_logic;
OE : out std_logic;
WE : out std_logic;
LB : out std_logic;
UB : out std_logic;
DATA : inout std_logic_vector(15 downto 0)
);
end;
architecture Behavioral of MemoryController is
type state_t is (INIT, IDLE, WRITING, READING);
signal state : state_t := INIT;
constant clock_period_ns : integer := (1000/clock_frec); -- nanoseconds (50MHz => 20ns, 100MHz => 10ns)
constant init_period_us : integer := 151; -- microseconds (151 us)
constant init_counter : integer := (init_period_us * 1000 / clock_period_ns); -- 151 microseconds
constant timing_counter : integer := (80 / clock_period_ns); -- 80 nanoseconds (70ns)
signal counter : integer range 0 to init_counter := 0;
-- Controls the input data to write to the RAM
signal writing_out : STD_LOGIC := '0';
-- signal current_data_out, next_data_out : std_logic_vector(15 downto 0):= (others => '0');
-- Agregado:
signal address_aux : std_logic_vector(22 downto 0) := (others => '0');
signal data_in_aux : std_logic_vector(15 downto 0) := (others => '0');
begin
ADDRESS <= address_aux;
address_process: process (clock, reset)
begin
if reset = '1' then
address_aux <= (others => '0');
data_in_aux <= (others => '0');
elsif rising_edge(clock) then
if go_in = '1' then
address_aux <= address_in;
data_in_aux <= data_in;
end if;
end if;
end process;
clock_out <= '0'; -- always '0' since this controller operates in asynchronous mode
CRE <= '0'; -- always '0' because this controller uses the default configuration
-- DATA <= data_in when writing_out='1' else (others => 'Z');
DATA <= data_in_aux when writing_out='1' else (others => 'Z');
-- Señales de control
busy <= '1' when (state = WRITING OR state = READING OR state = INIT) else '0';
ADV <= '1' when state = INIT else '0';
CE <= '0' when (state = WRITING OR state = READING) else '1';
LB <= '0' when (state = WRITING OR state = READING) else '1';
UB <= '0' when (state = WRITING OR state = READING) else '1';
WE <= '0' when state = WRITING else '1';
OE <= '0' when state = READING else '1';
writing_out <= '1' when state = WRITING else '0';
-- FSM process
FSM: process (clock, reset)
begin
-- RESET
if reset = '1' then
state <= INIT;
elsif rising_edge(clock) then
case state is
when INIT =>
read_ready_out <= '0';
data_out <= (others => '0');
if (counter >= init_counter) then
counter <= 0;
state <= IDLE;
else
counter <= counter + 1;
end if;
when IDLE =>
read_ready_out <= '0';
data_out <= (others => '0');
if go_in = '1' then
if write_in = '1' then
state <= WRITING;
else
state <= READING;
end if;
end if;
when WRITING =>
if (counter >= timing_counter - 1) then
counter <= 0;
state <= IDLE;
else
counter <= counter + 1;
end if;
when READING =>
-- En el último ciclo de la cuenta
if (counter = timing_counter - 2) then
data_out <= DATA;
counter <= counter + 1;
-- Adelanto el read_ready_out para leer en el 5to flanco
read_ready_out <= '1';
-- Cuando termina de contar
elsif (counter >= timing_counter - 1) then
counter <= 0;
state <= IDLE;
data_out <= DATA;
--read_ready_out <= '1';
read_ready_out <= '0';
else
counter <= counter + 1;
end if;
when others =>
state <= IDLE;
end case;
end if;
end process; -- FSM
end;
| gpl-3.0 | bb8aa0a09af8f77637dfa763c4ccce62 | 0.46875 | 4.229183 | false | false | false | false |
MartinCura/SistDig-TP4 | old/UART/data_adq/data_loader.vhd | 1 | 2,130 | --lee de la uart y combina LSB y MSB en un dato de 16bits con los datos de la UART.
--(Toma LSB y MSB de la uart)
--Version con maquinola de estados
-------------------------------------------------------------------------------
--UNIDAD ASINCRONICA
--Algo que podria generar problemas es inicializar la senial RxRdy_out en cero
--justo debajo del begin. Habria que revisar eso como prioridad en caso de que falle
--la recepcion de datos.
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-------------------------------------------------------------------------------
entity data_loader is
generic (
in_data_bits : natural := 8; --cantidad de bits del dato que entra
out_data_bits: natural:=16; -- cant de bits del dato que sale
data_midpoint: natural:=8 -- bits/2 del dato que sale
);
port(
--clock: in std_logic;
reset: in std_logic;
data_in: in std_logic_vector(in_data_bits-1 downto 0);
data_out: out std_logic_vector(out_data_bits-1 downto 0);
RxRdy_in: in std_logic;
RxRdy_out: out std_logic
);
end entity data_loader;
architecture data_loader_arch of data_loader is
type state_t is (LSB, MSB);
signal state : state_t := LSB;
begin
FSM: process(RxRdy_in, reset, state, data_in)
begin
RxRdy_out <= '0';
-- RESET
if reset = '1' then
data_out <= (others => '0');
state <= LSB;
RxRdy_out <= '0';
else
case state is
-- LSByte
when LSB =>
if RxRdy_in = '1' then
data_out(data_midpoint-1 downto 0) <= data_in;
RxRdy_out <= '0';
state <= MSB;
end if;
-- MSByte
when MSB =>
if RxRdy_in = '1' then
data_out(out_data_bits-1 downto data_midpoint) <= data_in;
RxRdy_out <= '1';
state <= LSB;
end if;
end case;
end if;
end process;
end data_loader_arch;
-------------------------------------------------------------------------------
| gpl-3.0 | 35b33c1eb22dbe8cd0665fce9736e252 | 0.488263 | 3.783304 | false | false | false | false |
natsutan/NPU | fpga_implement/npu8/npu8.srcs/sources_1/ip/mul8_8/sim/mul8_8.vhd | 1 | 4,784 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:mult_gen:12.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY mult_gen_v12_0_12;
USE mult_gen_v12_0_12.mult_gen_v12_0_12;
ENTITY mul8_8 IS
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END mul8_8;
ARCHITECTURE mul8_8_arch OF mul8_8 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF mul8_8_arch: ARCHITECTURE IS "yes";
COMPONENT mult_gen_v12_0_12 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_MODEL_TYPE : INTEGER;
C_OPTIMIZE_GOAL : INTEGER;
C_XDEVICEFAMILY : STRING;
C_HAS_CE : INTEGER;
C_HAS_SCLR : INTEGER;
C_LATENCY : INTEGER;
C_A_WIDTH : INTEGER;
C_A_TYPE : INTEGER;
C_B_WIDTH : INTEGER;
C_B_TYPE : INTEGER;
C_OUT_HIGH : INTEGER;
C_OUT_LOW : INTEGER;
C_MULT_TYPE : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_CCM_IMP : INTEGER;
C_B_VALUE : STRING;
C_HAS_ZERO_DETECT : INTEGER;
C_ROUND_OUTPUT : INTEGER;
C_ROUND_PT : INTEGER
);
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
CE : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
P : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT mult_gen_v12_0_12;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA";
BEGIN
U0 : mult_gen_v12_0_12
GENERIC MAP (
C_VERBOSITY => 0,
C_MODEL_TYPE => 0,
C_OPTIMIZE_GOAL => 1,
C_XDEVICEFAMILY => "kintexu",
C_HAS_CE => 0,
C_HAS_SCLR => 0,
C_LATENCY => 3,
C_A_WIDTH => 8,
C_A_TYPE => 1,
C_B_WIDTH => 8,
C_B_TYPE => 1,
C_OUT_HIGH => 15,
C_OUT_LOW => 0,
C_MULT_TYPE => 0,
C_CE_OVERRIDES_SCLR => 0,
C_CCM_IMP => 0,
C_B_VALUE => "10000001",
C_HAS_ZERO_DETECT => 0,
C_ROUND_OUTPUT => 0,
C_ROUND_PT => 0
)
PORT MAP (
CLK => CLK,
A => A,
B => B,
CE => '1',
SCLR => '0',
P => P
);
END mul8_8_arch;
| bsd-3-clause | 225194538bb9da00bb5b04aa652f3c0b | 0.664507 | 3.564829 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/aluseq/top_syn.vhdl | 1 | 27,888 |
library IEEE;
use IEEE.std_logic_1164.all;
package CONV_PACK_top_W4 is
-- define attributes
attribute ENUM_ENCODING : STRING;
end CONV_PACK_top_W4;
library IEEE;
use IEEE.std_logic_1164.all;
use work.CONV_PACK_top_W4.all;
entity alu_W4 is
port( i_data_a, i_data_b : in std_logic_vector (3 downto 0); i_data_carry :
in std_logic; i_ctrl : in std_logic_vector (3 downto 0); o_data_c :
out std_logic_vector (3 downto 0); o_data_carry : out std_logic;
o_data_comp : out std_logic_vector (1 downto 0));
end alu_W4;
architecture SYN_behavioral of alu_W4 is
component inv
port( inb : in std_logic; outb : out std_logic);
end component;
component nand3
port( a, b, c : in std_logic; outb : out std_logic);
end component;
component nand2
port( a, b : in std_logic; outb : out std_logic);
end component;
component oai12
port( b, c, a : in std_logic; outb : out std_logic);
end component;
component xor2
port( a, b : in std_logic; outb : out std_logic);
end component;
component aoi12
port( b, c, a : in std_logic; outb : out std_logic);
end component;
component nor2
port( a, b : in std_logic; outb : out std_logic);
end component;
component nor3
port( a, b, c : in std_logic; outb : out std_logic);
end component;
component oai22
port( a, b, c, d : in std_logic; outb : out std_logic);
end component;
component aoi22
port( a, b, c, d : in std_logic; outb : out std_logic);
end component;
component nand4
port( a, b, c, d : in std_logic; outb : out std_logic);
end component;
signal n9, n10, n11, n12, n14, n15, n16, n17, n18, n19, n20, n21, n22, n23,
n24, n25, n26, n27, n28, n29, n30, n31, n32, n33, n34, n35, n36, n37, n38
, n39, n40, n41, n42, n43, n44, n45, n46, n47, n48, n49, n50, n51, n52,
n53, n54, n55, n56, n57, n58, n59, n60, n61, n62, n63, n64, n65, n66, n67
, n68, n69, n70, n71, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81,
n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96
, n97, n98, n99, n100, n101, n102, n103, n104, n105, n106, n107, n108,
n109, n110, n111, n1 : std_logic;
begin
o_data_comp(1) <= '0';
U2 : inv port map( inb => n93, outb => n9);
U3 : inv port map( inb => n78, outb => n10);
U4 : inv port map( inb => n42, outb => n11);
U5 : inv port map( inb => n38, outb => n12);
U7 : inv port map( inb => n80, outb => n14);
U8 : inv port map( inb => n69, outb => n15);
U9 : inv port map( inb => n45, outb => n16);
U10 : inv port map( inb => i_data_a(2), outb => n17);
U11 : inv port map( inb => i_data_a(1), outb => n18);
U12 : inv port map( inb => n101, outb => n19);
U13 : inv port map( inb => n46, outb => n20);
U14 : inv port map( inb => n49, outb => n21);
U15 : inv port map( inb => n92, outb => n22);
U16 : inv port map( inb => i_data_a(0), outb => n23);
U17 : inv port map( inb => i_data_b(3), outb => n24);
U18 : inv port map( inb => i_data_b(1), outb => n25);
U19 : inv port map( inb => n73, outb => n26);
U20 : inv port map( inb => i_data_b(0), outb => n27);
U21 : inv port map( inb => i_data_carry, outb => n28);
U22 : inv port map( inb => n71, outb => n29);
U23 : inv port map( inb => n87, outb => n30);
U24 : inv port map( inb => n61, outb => n31);
U25 : inv port map( inb => n59, outb => n32);
U26 : inv port map( inb => i_ctrl(2), outb => n33);
U27 : inv port map( inb => i_ctrl(1), outb => n34);
U28 : inv port map( inb => i_ctrl(0), outb => n35);
U29 : aoi12 port map( b => n36, c => n37, a => i_ctrl(3), outb =>
o_data_comp(0));
U30 : nand4 port map( a => i_ctrl(1), b => i_ctrl(0), c => n12, d => n33,
outb => n37);
U31 : nand3 port map( a => n39, b => n34, c => i_ctrl(2), outb => n36);
U32 : oai12 port map( b => i_ctrl(0), c => n40, a => n41, outb => n39);
U33 : nand3 port map( a => n38, b => i_ctrl(0), c => n40, outb => n41);
U34 : aoi12 port map( b => n42, c => n43, a => n44, outb => n38);
U35 : oai22 port map( a => n45, b => n20, c => n17, d => i_data_b(2), outb
=> n43);
U36 : oai12 port map( b => n47, c => n48, a => n49, outb => n46);
U37 : nor2 port map( a => n50, b => n11, outb => n40);
U38 : aoi12 port map( b => n51, c => n52, a => n44, outb => n50);
U39 : oai12 port map( b => n47, c => n53, a => n16, outb => n51);
U40 : nor3 port map( a => n21, b => i_data_a(0), c => n27, outb => n53);
U41 : nor2 port map( a => i_ctrl(3), b => n54, outb => o_data_carry);
U42 : nor3 port map( a => n55, b => n56, c => n57, outb => n54);
U43 : aoi12 port map( b => n42, c => n58, a => n59, outb => n57);
U44 : nand2 port map( a => n60, b => n1, outb => n58);
U45 : nor3 port map( a => n61, b => n62, c => n1, outb => n56);
U46 : nor2 port map( a => n63, b => n24, outb => n55);
U47 : aoi22 port map( a => n31, b => n64, c => n32, d => n60, outb => n63);
U48 : nand2 port map( a => n62, b => n1, outb => n64);
U49 : nor2 port map( a => i_ctrl(3), b => n65, outb => o_data_c(3));
U50 : nor3 port map( a => n66, b => n67, c => n68, outb => n65);
U51 : aoi12 port map( b => n69, c => n70, a => n71, outb => n68);
U52 : nand2 port map( a => i_data_b(1), b => n72, outb => n70);
U53 : oai22 port map( a => i_data_b(0), b => n18, c => n23, d => n27, outb
=> n72);
U54 : nor3 port map( a => n26, b => i_data_b(1), c => n1, outb => n67);
U55 : oai22 port map( a => n10, b => n61, c => n74, d => n59, outb => n66);
U56 : xor2 port map( a => n60, b => n75, outb => n74);
U57 : oai12 port map( b => n76, c => n77, a => n52, outb => n60);
U58 : nor2 port map( a => i_data_b(2), b => n17, outb => n77);
U59 : xor2 port map( a => n62, b => n75, outb => n78);
U60 : nor2 port map( a => n11, b => n44, outb => n75);
U61 : nor2 port map( a => n1, b => i_data_b(3), outb => n44);
U62 : nand2 port map( a => i_data_b(3), b => n1, outb => n42);
U63 : aoi22 port map( a => n79, b => i_data_a(2), c => n14, d => i_data_b(2)
, outb => n62);
U64 : nor2 port map( a => i_data_a(2), b => n79, outb => n80);
U65 : nor2 port map( a => i_ctrl(3), b => n81, outb => o_data_c(2));
U66 : nor3 port map( a => n82, b => n83, c => n84, outb => n81);
U67 : nor2 port map( a => i_data_b(1), b => n85, outb => n84);
U68 : aoi22 port map( a => i_data_b(0), b => n86, c => n73, d => i_data_a(2)
, outb => n85);
U69 : oai22 port map( a => n1, b => n87, c => n18, d => n71, outb => n86);
U70 : nor3 port map( a => n71, b => n25, c => n48, outb => n83);
U71 : oai22 port map( a => n88, b => n61, c => n89, d => n59, outb => n82);
U72 : xor2 port map( a => n45, b => n76, outb => n89);
U73 : aoi12 port map( b => n49, c => n90, a => n47, outb => n76);
U74 : xor2 port map( a => n79, b => n16, outb => n88);
U75 : oai12 port map( b => i_data_b(2), c => n17, a => n52, outb => n45);
U76 : nand2 port map( a => i_data_b(2), b => n17, outb => n52);
U77 : oai22 port map( a => n22, b => n18, c => n91, d => n25, outb => n79);
U78 : nor2 port map( a => i_data_a(1), b => n92, outb => n91);
U79 : nor2 port map( a => n9, b => i_ctrl(3), outb => o_data_c(1));
U80 : nand4 port map( a => n94, b => n95, c => n96, d => n97, outb => n93);
U81 : aoi22 port map( a => n32, b => n19, c => n31, d => n98, outb => n97);
U82 : xor2 port map( a => n22, b => n99, outb => n98);
U83 : oai22 port map( a => n27, b => n28, c => n100, d => n23, outb => n92);
U84 : xor2 port map( a => n90, b => n99, outb => n101);
U85 : nor2 port map( a => n47, b => n21, outb => n99);
U86 : nor2 port map( a => n25, b => i_data_a(1), outb => n47);
U87 : oai22 port map( a => n27, b => n28, c => i_data_a(0), d => n100, outb
=> n90);
U88 : nor2 port map( a => i_data_carry, b => i_data_b(0), outb => n100);
U89 : nand2 port map( a => n21, b => n73, outb => n96);
U90 : nor2 port map( a => n102, b => i_data_b(0), outb => n73);
U91 : nand4 port map( a => n29, b => i_data_b(0), c => i_data_a(0), d => n25
, outb => n95);
U92 : oai12 port map( b => n103, c => n15, a => n30, outb => n94);
U93 : nand3 port map( a => i_data_a(2), b => n25, c => i_data_b(0), outb =>
n69);
U94 : nor3 port map( a => n1, b => i_data_b(0), c => n25, outb => n103);
U95 : nor2 port map( a => i_ctrl(3), b => n104, outb => o_data_c(0));
U96 : nor3 port map( a => n105, b => n106, c => n107, outb => n104);
U97 : nor2 port map( a => n108, b => n87, outb => n107);
U98 : aoi22 port map( a => i_data_b(1), b => n109, c => n21, d =>
i_data_b(0), outb => n108);
U99 : nand2 port map( a => i_data_a(1), b => n25, outb => n49);
U100 : oai22 port map( a => n1, b => n27, c => i_data_b(0), d => n17, outb
=> n109);
U101 : nor3 port map( a => n48, b => i_data_b(1), c => n102, outb => n106);
U102 : nor2 port map( a => n30, b => n29, outb => n102);
U103 : nand3 port map( a => i_ctrl(1), b => i_ctrl(0), c => i_ctrl(2), outb
=> n71);
U104 : nand3 port map( a => i_ctrl(1), b => n35, c => i_ctrl(2), outb => n87
);
U105 : aoi12 port map( b => n61, c => n59, a => n110, outb => n105);
U106 : xor2 port map( a => n28, b => n111, outb => n110);
U107 : oai12 port map( b => n27, c => i_data_a(0), a => n48, outb => n111);
U108 : nand2 port map( a => i_data_a(0), b => n27, outb => n48);
U109 : nand3 port map( a => n35, b => n33, c => i_ctrl(1), outb => n59);
U110 : nand3 port map( a => n34, b => n33, c => i_ctrl(0), outb => n61);
U6 : inv port map( inb => i_data_a(3), outb => n1);
end SYN_behavioral;
library IEEE;
use IEEE.std_logic_1164.all;
use work.CONV_PACK_top_W4.all;
entity top_W4 is
port( clk, rst : in std_logic; i_data_a, i_data_b : in std_logic_vector (3
downto 0); i_data_carry : in std_logic; i_ctrl : in std_logic_vector
(3 downto 0); o_data_c : out std_logic_vector (3 downto 0);
o_data_carry : out std_logic; o_data_comp : out std_logic_vector (1
downto 0); o_valid : out std_logic);
end top_W4;
architecture SYN_rtl of top_W4 is
component inv
port( inb : in std_logic; outb : out std_logic);
end component;
component nand2
port( a, b : in std_logic; outb : out std_logic);
end component;
component nor2
port( a, b : in std_logic; outb : out std_logic);
end component;
component nor3
port( a, b, c : in std_logic; outb : out std_logic);
end component;
component dff
port( d, gclk, rnot : in std_logic; q : out std_logic);
end component;
component alu_W4
port( i_data_a, i_data_b : in std_logic_vector (3 downto 0);
i_data_carry : in std_logic; i_ctrl : in std_logic_vector (3
downto 0); o_data_c : out std_logic_vector (3 downto 0);
o_data_carry : out std_logic; o_data_comp : out std_logic_vector
(1 downto 0));
end component;
signal o_valid_port, reg_i_instr_1_3_port, reg_i_instr_1_2_port,
reg_i_instr_1_1_port, reg_i_instr_1_0_port, reg_i_instr_2_3_port,
reg_i_instr_2_2_port, reg_i_instr_2_1_port, reg_i_instr_2_0_port,
reg_i_instr_3_3_port, reg_i_instr_3_2_port, reg_i_instr_3_1_port,
reg_i_instr_3_0_port, N13, N14, N15, reg_i_data_a_1_3_port,
reg_i_data_a_1_2_port, reg_i_data_a_1_1_port, reg_i_data_a_1_0_port,
reg_i_data_b_1_3_port, reg_i_data_b_1_2_port, reg_i_data_b_1_1_port,
reg_i_data_b_1_0_port, reg_i_data_carry_1, reg_i_data_a_2_3_port,
reg_i_data_a_2_2_port, reg_i_data_a_2_1_port, reg_i_data_a_2_0_port,
reg_i_data_b_2_3_port, reg_i_data_b_2_2_port, reg_i_data_b_2_1_port,
reg_i_data_b_2_0_port, reg_i_data_carry_2, reg_i_data_a_3_3_port,
reg_i_data_a_3_2_port, reg_i_data_a_3_1_port, reg_i_data_a_3_0_port,
reg_i_data_b_3_3_port, reg_i_data_b_3_2_port, reg_i_data_b_3_1_port,
reg_i_data_b_3_0_port, reg_i_data_carry_3, N45, sig_data_c_3_port,
sig_data_c_2_port, sig_data_c_1_port, sig_data_c_0_port, sig_data_carry,
sig_data_comp_1_port, sig_data_comp_0_port, N54, N55, N56, N57, N58, N59,
n1, n2, n3, n4, n5, n6, n8, n9, n10, n11, n12, n13_port, n14_port,
n15_port, n16, n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28
, n29, n30, n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41, n42,
n43, n44, n45_port, n46, n47, n48, n49, n50, n51, n52, n53, n54_port,
n55_port, n56_port, n57_port, n58_port, n59_port, n60, n61, n62, n63, n64
, n65, n66, n67, n68, n69, n70, n71, n72, n73, n74, n76, n77, n78, n79,
n80, n81, n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94
, n95, n96, n97, n98, n99, n100, n101, n102, n103, n104, n105, n106, n107
, n108, n109, n110, n111, n112, n113, n114, n115, n116, n117, n118, n119,
n120, n121, n122, n123, n124, n125, n126, n127, n128, n129, n130, n131,
n132, n133, n134, n135, n136, n137, n138 : std_logic;
begin
o_valid <= o_valid_port;
alu_inst : alu_W4 port map( i_data_a(3) => reg_i_data_a_3_3_port,
i_data_a(2) => reg_i_data_a_3_2_port, i_data_a(1) =>
reg_i_data_a_3_1_port, i_data_a(0) =>
reg_i_data_a_3_0_port, i_data_b(3) =>
reg_i_data_b_3_3_port, i_data_b(2) =>
reg_i_data_b_3_2_port, i_data_b(1) =>
reg_i_data_b_3_1_port, i_data_b(0) =>
reg_i_data_b_3_0_port, i_data_carry =>
reg_i_data_carry_3, i_ctrl(3) =>
reg_i_instr_3_3_port, i_ctrl(2) =>
reg_i_instr_3_2_port, i_ctrl(1) =>
reg_i_instr_3_1_port, i_ctrl(0) =>
reg_i_instr_3_0_port, o_data_c(3) =>
sig_data_c_3_port, o_data_c(2) => sig_data_c_2_port,
o_data_c(1) => sig_data_c_1_port, o_data_c(0) =>
sig_data_c_0_port, o_data_carry => sig_data_carry,
o_data_comp(1) => sig_data_comp_1_port,
o_data_comp(0) => sig_data_comp_0_port);
reg_i_instr_1_reg_3_inst : dff port map( d => n93, gclk => clk, rnot => n47,
q => reg_i_instr_1_3_port);
reg_i_instr_1_reg_2_inst : dff port map( d => n92, gclk => clk, rnot => n46,
q => reg_i_instr_1_2_port);
reg_i_instr_1_reg_1_inst : dff port map( d => n91, gclk => clk, rnot =>
n45_port, q => reg_i_instr_1_1_port);
reg_i_instr_1_reg_0_inst : dff port map( d => n90, gclk => clk, rnot => n44,
q => reg_i_instr_1_0_port);
reg_i_instr_2_reg_3_inst : dff port map( d => n48, gclk => clk, rnot => n43,
q => reg_i_instr_2_3_port);
reg_i_instr_3_reg_3_inst : dff port map( d => n52, gclk => clk, rnot => n42,
q => reg_i_instr_3_3_port);
reg_i_instr_2_reg_2_inst : dff port map( d => n49, gclk => clk, rnot => n41,
q => reg_i_instr_2_2_port);
reg_i_instr_3_reg_2_inst : dff port map( d => N15, gclk => clk, rnot => n40,
q => reg_i_instr_3_2_port);
reg_i_instr_2_reg_1_inst : dff port map( d => n50, gclk => clk, rnot => n39,
q => reg_i_instr_2_1_port);
reg_i_instr_3_reg_1_inst : dff port map( d => N14, gclk => clk, rnot => n38,
q => reg_i_instr_3_1_port);
reg_i_instr_2_reg_0_inst : dff port map( d => n51, gclk => clk, rnot => n37,
q => reg_i_instr_2_0_port);
reg_i_instr_3_reg_0_inst : dff port map( d => N13, gclk => clk, rnot => n36,
q => reg_i_instr_3_0_port);
reg_i_data_a_1_reg_3_inst : dff port map( d => n84, gclk => clk, rnot => n35
, q => reg_i_data_a_1_3_port);
reg_i_data_a_1_reg_2_inst : dff port map( d => n83, gclk => clk, rnot => n34
, q => reg_i_data_a_1_2_port);
reg_i_data_a_1_reg_1_inst : dff port map( d => n82, gclk => clk, rnot => n33
, q => reg_i_data_a_1_1_port);
reg_i_data_a_1_reg_0_inst : dff port map( d => n81, gclk => clk, rnot => n32
, q => reg_i_data_a_1_0_port);
reg_i_data_b_1_reg_3_inst : dff port map( d => n88, gclk => clk, rnot => n31
, q => reg_i_data_b_1_3_port);
reg_i_data_b_1_reg_2_inst : dff port map( d => n87, gclk => clk, rnot => n30
, q => reg_i_data_b_1_2_port);
reg_i_data_b_1_reg_1_inst : dff port map( d => n86, gclk => clk, rnot => n29
, q => reg_i_data_b_1_1_port);
reg_i_data_b_1_reg_0_inst : dff port map( d => n85, gclk => clk, rnot => n28
, q => reg_i_data_b_1_0_port);
reg_i_data_carry_1_reg : dff port map( d => n89, gclk => clk, rnot => n27, q
=> reg_i_data_carry_1);
reg_i_data_a_2_reg_3_inst : dff port map( d => n56_port, gclk => clk, rnot
=> n26, q => reg_i_data_a_2_3_port);
reg_i_data_a_2_reg_2_inst : dff port map( d => n57_port, gclk => clk, rnot
=> n25, q => reg_i_data_a_2_2_port);
reg_i_data_a_2_reg_1_inst : dff port map( d => n58_port, gclk => clk, rnot
=> n24, q => reg_i_data_a_2_1_port);
reg_i_data_a_2_reg_0_inst : dff port map( d => n59_port, gclk => clk, rnot
=> n23, q => reg_i_data_a_2_0_port);
reg_i_data_b_2_reg_3_inst : dff port map( d => n60, gclk => clk, rnot => n22
, q => reg_i_data_b_2_3_port);
reg_i_data_b_2_reg_2_inst : dff port map( d => n61, gclk => clk, rnot => n21
, q => reg_i_data_b_2_2_port);
reg_i_data_b_2_reg_1_inst : dff port map( d => n62, gclk => clk, rnot => n20
, q => reg_i_data_b_2_1_port);
reg_i_data_b_2_reg_0_inst : dff port map( d => n63, gclk => clk, rnot => n19
, q => reg_i_data_b_2_0_port);
reg_i_data_carry_2_reg : dff port map( d => n64, gclk => clk, rnot => n18, q
=> reg_i_data_carry_2);
reg_i_data_carry_3_reg : dff port map( d => n73, gclk => clk, rnot => n17, q
=> reg_i_data_carry_3);
reg_i_data_a_3_reg_3_inst : dff port map( d => n65, gclk => clk, rnot => n16
, q => reg_i_data_a_3_3_port);
reg_i_data_a_3_reg_2_inst : dff port map( d => n66, gclk => clk, rnot =>
n15_port, q => reg_i_data_a_3_2_port);
reg_i_data_a_3_reg_1_inst : dff port map( d => n67, gclk => clk, rnot =>
n14_port, q => reg_i_data_a_3_1_port);
reg_i_data_a_3_reg_0_inst : dff port map( d => n68, gclk => clk, rnot =>
n13_port, q => reg_i_data_a_3_0_port);
reg_i_data_b_3_reg_3_inst : dff port map( d => n69, gclk => clk, rnot => n12
, q => reg_i_data_b_3_3_port);
reg_i_data_b_3_reg_2_inst : dff port map( d => n70, gclk => clk, rnot => n11
, q => reg_i_data_b_3_2_port);
reg_i_data_b_3_reg_1_inst : dff port map( d => n71, gclk => clk, rnot => n10
, q => reg_i_data_b_3_1_port);
reg_i_data_b_3_reg_0_inst : dff port map( d => n72, gclk => clk, rnot => n9,
q => reg_i_data_b_3_0_port);
reg_valid_reg : dff port map( d => N45, gclk => clk, rnot => n8, q =>
o_valid_port);
o_data_comp_reg_0_inst : dff port map( d => N59, gclk => clk, rnot => n6, q
=> o_data_comp(0));
o_data_c_reg_3_inst : dff port map( d => N57, gclk => clk, rnot => n5, q =>
o_data_c(3));
o_data_c_reg_2_inst : dff port map( d => N56, gclk => clk, rnot => n4, q =>
o_data_c(2));
o_data_c_reg_1_inst : dff port map( d => N55, gclk => clk, rnot => n3, q =>
o_data_c(1));
o_data_c_reg_0_inst : dff port map( d => N54, gclk => clk, rnot => n2, q =>
o_data_c(0));
o_data_carry_reg : dff port map( d => N58, gclk => clk, rnot => n1, q =>
o_data_carry);
n1 <= '1';
n2 <= '1';
n3 <= '1';
n4 <= '1';
n5 <= '1';
n6 <= '1';
n8 <= '1';
n9 <= '1';
n10 <= '1';
n11 <= '1';
n12 <= '1';
n13_port <= '1';
n14_port <= '1';
n15_port <= '1';
n16 <= '1';
n17 <= '1';
n18 <= '1';
n19 <= '1';
n20 <= '1';
n21 <= '1';
n22 <= '1';
n23 <= '1';
n24 <= '1';
n25 <= '1';
n26 <= '1';
n27 <= '1';
n28 <= '1';
n29 <= '1';
n30 <= '1';
n31 <= '1';
n32 <= '1';
n33 <= '1';
n34 <= '1';
n35 <= '1';
n36 <= '1';
n37 <= '1';
n38 <= '1';
n39 <= '1';
n40 <= '1';
n41 <= '1';
n42 <= '1';
n43 <= '1';
n44 <= '1';
n45_port <= '1';
n46 <= '1';
n47 <= '1';
U50 : inv port map( inb => n130, outb => n48);
U51 : inv port map( inb => n131, outb => n49);
U52 : inv port map( inb => n132, outb => n50);
U53 : inv port map( inb => n95, outb => n51);
U54 : inv port map( inb => n129, outb => n52);
U55 : inv port map( inb => reg_i_instr_2_2_port, outb => n53);
U56 : inv port map( inb => reg_i_instr_2_1_port, outb => n54_port);
U57 : inv port map( inb => reg_i_instr_2_0_port, outb => n55_port);
U58 : inv port map( inb => n116, outb => n56_port);
U59 : inv port map( inb => n117, outb => n57_port);
U60 : inv port map( inb => n118, outb => n58_port);
U61 : inv port map( inb => n119, outb => n59_port);
U62 : inv port map( inb => n112, outb => n60);
U63 : inv port map( inb => n113, outb => n61);
U64 : inv port map( inb => n114, outb => n62);
U65 : inv port map( inb => n115, outb => n63);
U66 : inv port map( inb => n111, outb => n64);
U67 : inv port map( inb => n107, outb => n65);
U68 : inv port map( inb => n108, outb => n66);
U69 : inv port map( inb => n109, outb => n67);
U70 : inv port map( inb => n110, outb => n68);
U71 : inv port map( inb => n103, outb => n69);
U72 : inv port map( inb => n104, outb => n70);
U73 : inv port map( inb => n105, outb => n71);
U74 : inv port map( inb => n106, outb => n72);
U75 : inv port map( inb => n102, outb => n73);
U76 : inv port map( inb => sig_data_comp_0_port, outb => n74);
U78 : inv port map( inb => sig_data_carry, outb => n76);
U79 : inv port map( inb => sig_data_c_0_port, outb => n77);
U80 : inv port map( inb => sig_data_c_1_port, outb => n78);
U81 : inv port map( inb => sig_data_c_2_port, outb => n79);
U82 : inv port map( inb => sig_data_c_3_port, outb => n80);
U83 : inv port map( inb => n128, outb => n81);
U84 : inv port map( inb => n127, outb => n82);
U85 : inv port map( inb => n126, outb => n83);
U86 : inv port map( inb => n125, outb => n84);
U87 : inv port map( inb => n124, outb => n85);
U88 : inv port map( inb => n123, outb => n86);
U89 : inv port map( inb => n122, outb => n87);
U90 : inv port map( inb => n121, outb => n88);
U91 : inv port map( inb => n120, outb => n89);
U92 : inv port map( inb => n100, outb => n90);
U93 : inv port map( inb => n99, outb => n91);
U94 : inv port map( inb => n97, outb => n92);
U95 : inv port map( inb => n96, outb => n93);
U96 : inv port map( inb => rst, outb => n94);
U97 : nand2 port map( a => n138, b => reg_i_instr_1_0_port, outb => n95);
U98 : nand2 port map( a => n138, b => i_ctrl(3), outb => n96);
U99 : nand2 port map( a => n138, b => i_ctrl(2), outb => n97);
U101 : nand2 port map( a => n138, b => i_ctrl(1), outb => n99);
U102 : nor2 port map( a => n74, b => n98, outb => N59);
U103 : nor2 port map( a => n76, b => n98, outb => N58);
U104 : nor2 port map( a => n80, b => n98, outb => N57);
U105 : nor2 port map( a => n79, b => n98, outb => N56);
U106 : nor2 port map( a => n78, b => n98, outb => N55);
U107 : nor2 port map( a => n77, b => n98, outb => N54);
U108 : nand2 port map( a => o_valid_port, b => n138, outb => n98);
U109 : nand2 port map( a => n138, b => i_ctrl(0), outb => n100);
U110 : nor2 port map( a => reg_i_instr_2_3_port, b => n101, outb => N45);
U111 : nor3 port map( a => N13, b => N15, c => N14, outb => n101);
U112 : nand2 port map( a => n138, b => reg_i_data_carry_2, outb => n102);
U113 : nand2 port map( a => n138, b => reg_i_data_b_2_3_port, outb => n103);
U114 : nand2 port map( a => n138, b => reg_i_data_b_2_2_port, outb => n104);
U115 : nand2 port map( a => n135, b => reg_i_data_b_2_1_port, outb => n105);
U116 : nand2 port map( a => n136, b => reg_i_data_b_2_0_port, outb => n106);
U117 : nand2 port map( a => n94, b => reg_i_data_a_2_3_port, outb => n107);
U118 : nand2 port map( a => n135, b => reg_i_data_a_2_2_port, outb => n108);
U119 : nand2 port map( a => n136, b => reg_i_data_a_2_1_port, outb => n109);
U120 : nand2 port map( a => n94, b => reg_i_data_a_2_0_port, outb => n110);
U121 : nand2 port map( a => n135, b => reg_i_data_carry_1, outb => n111);
U122 : nand2 port map( a => n136, b => reg_i_data_b_1_3_port, outb => n112);
U123 : nand2 port map( a => n94, b => reg_i_data_b_1_2_port, outb => n113);
U124 : nand2 port map( a => n135, b => reg_i_data_b_1_1_port, outb => n114);
U125 : nand2 port map( a => n136, b => reg_i_data_b_1_0_port, outb => n115);
U126 : nand2 port map( a => n94, b => reg_i_data_a_1_3_port, outb => n116);
U127 : nand2 port map( a => n135, b => reg_i_data_a_1_2_port, outb => n117);
U128 : nand2 port map( a => n136, b => reg_i_data_a_1_1_port, outb => n118);
U129 : nand2 port map( a => n133, b => reg_i_data_a_1_0_port, outb => n119);
U130 : nand2 port map( a => n134, b => i_data_carry, outb => n120);
U131 : nand2 port map( a => n137, b => i_data_b(3), outb => n121);
U132 : nand2 port map( a => n133, b => i_data_b(2), outb => n122);
U133 : nand2 port map( a => n134, b => i_data_b(1), outb => n123);
U134 : nand2 port map( a => n137, b => i_data_b(0), outb => n124);
U135 : nand2 port map( a => n133, b => i_data_a(3), outb => n125);
U136 : nand2 port map( a => n134, b => i_data_a(2), outb => n126);
U137 : nand2 port map( a => n137, b => i_data_a(1), outb => n127);
U138 : nand2 port map( a => n133, b => i_data_a(0), outb => n128);
U139 : nand2 port map( a => n134, b => reg_i_instr_2_3_port, outb => n129);
U140 : nor2 port map( a => n53, b => rst, outb => N15);
U141 : nor2 port map( a => n54_port, b => rst, outb => N14);
U142 : nor2 port map( a => n55_port, b => rst, outb => N13);
U143 : nand2 port map( a => n137, b => reg_i_instr_1_3_port, outb => n130);
U144 : nand2 port map( a => n133, b => reg_i_instr_1_2_port, outb => n131);
U145 : nand2 port map( a => n134, b => reg_i_instr_1_1_port, outb => n132);
o_data_comp(1) <= '0';
U147 : inv port map( inb => rst, outb => n133);
U148 : inv port map( inb => rst, outb => n134);
U149 : inv port map( inb => rst, outb => n135);
U150 : inv port map( inb => rst, outb => n136);
U151 : inv port map( inb => rst, outb => n137);
U152 : inv port map( inb => rst, outb => n138);
end SYN_rtl;
| mit | 6301e27d814d3e9296eda934d386217b | 0.501147 | 2.448034 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd/gcd.srcs/sources_1/bd/gcd_block_design/ip/gcd_block_design_auto_pc_1/gcd_block_design_auto_pc_1_sim_netlist.vhdl | 2 | 518,765 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Sun Sep 22 03:34:20 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim
-- d:/github/Digital-Hardware-Modelling/xilinx-vivado/gcd/gcd.srcs/sources_1/bd/gcd_block_design/ip/gcd_block_design_auto_pc_1/gcd_block_design_auto_pc_1_sim_netlist.vhdl
-- Design : gcd_block_design_auto_pc_1
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
port (
next_pending_r_reg_0 : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 10 downto 0 );
\m_axi_awaddr[11]\ : out STD_LOGIC;
\m_axi_awaddr[5]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd : entity is "axi_protocol_converter_v2_1_17_b2s_incr_cmd";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \axaddr_incr[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 10 downto 0 );
signal \axaddr_incr_reg[11]_i_4_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[2]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal next_pending_r_i_5_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \m_axi_awaddr[11]_INST_0_i_1\ : label is "soft_lutpair113";
attribute SOFT_HLUTNM of \m_axi_awaddr[5]_INST_0_i_1\ : label is "soft_lutpair113";
begin
Q(0) <= \^q\(0);
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(10 downto 0) <= \^axaddr_incr_reg[11]_0\(10 downto 0);
\axlen_cnt_reg[2]_0\ <= \^axlen_cnt_reg[2]_0\;
\axaddr_incr[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_7\,
O => \axaddr_incr[0]_i_1_n_0\
);
\axaddr_incr[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(10),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_5\,
O => \axaddr_incr[10]_i_1_n_0\
);
\axaddr_incr[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \next\,
O => \axaddr_incr[11]_i_1_n_0\
);
\axaddr_incr[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(11),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_4\,
O => \axaddr_incr[11]_i_2_n_0\
);
\axaddr_incr[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_6\,
O => \axaddr_incr[1]_i_1_n_0\
);
\axaddr_incr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_5\,
O => \axaddr_incr[2]_i_1_n_0\
);
\axaddr_incr[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_4\,
O => \axaddr_incr[3]_i_1_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"0102"
)
port map (
I0 => \m_payload_i_reg[46]\(0),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(3),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(2),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(1),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"262A"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"060A"
)
port map (
I0 => \m_payload_i_reg[46]\(1),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
I3 => \next\,
O => S(1)
);
\axaddr_incr[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(4),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_7\,
O => \axaddr_incr[4]_i_1_n_0\
);
\axaddr_incr[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(5),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_6\,
O => \axaddr_incr[5]_i_1_n_0\
);
\axaddr_incr[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(6),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_5\,
O => \axaddr_incr[6]_i_1_n_0\
);
\axaddr_incr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(7),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_4\,
O => \axaddr_incr[7]_i_1_n_0\
);
\axaddr_incr[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(8),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_7\,
O => \axaddr_incr[8]_i_1_n_0\
);
\axaddr_incr[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(9),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_6\,
O => \axaddr_incr[9]_i_1_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[0]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[10]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(9),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[11]_i_2_n_0\,
Q => \^axaddr_incr_reg[11]_0\(10),
R => '0'
);
\axaddr_incr_reg[11]_i_4\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(10 downto 7)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[1]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[2]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[3]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[3]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => \^axaddr_incr_reg[11]_0\(3 downto 0),
O(3) => \axaddr_incr_reg[3]_i_3_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[4]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[5]_i_1_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[6]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[7]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[7]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(6 downto 5),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(4)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[8]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[9]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(8),
R => '0'
);
\axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(8),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[1]_i_1__0_n_0\
);
\axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \^q\(0),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[2]_0\,
I4 => E(0),
I5 => \m_payload_i_reg[46]\(9),
O => \axlen_cnt[2]_i_1_n_0\
);
\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
I5 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[3]_i_2_n_0\
);
\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
O => \axlen_cnt[4]_i_1_n_0\
);
\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \^q\(0),
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[5]_i_1_n_0\
);
\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[6]_i_1_n_0\
);
\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[7]_i_2_n_0\
);
\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[7]_i_3_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \state_reg[1]\(0),
Q => \^q\(0),
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[4]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[5]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[6]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[7]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[0]_rep\
);
\m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \m_payload_i_reg[46]\(7),
O => \m_axi_awaddr[11]\
);
\m_axi_awaddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => \m_payload_i_reg[46]\(7),
I3 => \m_payload_i_reg[46]\(4),
O => \m_axi_awaddr[5]\
);
\next_pending_r_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => next_pending_r_i_5_n_0,
O => \^axlen_cnt_reg[2]_0\
);
next_pending_r_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[1]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
O => next_pending_r_i_5_n_0
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => incr_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
port (
incr_next_pending : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
\axlen_cnt_reg[0]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\m_axi_araddr[11]\ : out STD_LOGIC;
\m_axi_araddr[5]\ : out STD_LOGIC;
\m_axi_araddr[3]\ : out STD_LOGIC;
\m_axi_araddr[2]\ : out STD_LOGIC;
\m_axi_araddr[1]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 10 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_17_b2s_incr_cmd";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
signal \axaddr_incr[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \axaddr_incr_reg[11]_i_4__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[0]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \next_pending_r_i_2__0_n_0\ : STD_LOGIC;
signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_4\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \m_axi_araddr[11]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \m_axi_araddr[1]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \next_pending_r_i_2__0\ : label is "soft_lutpair7";
begin
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(7 downto 0) <= \^axaddr_incr_reg[11]_0\(7 downto 0);
\axlen_cnt_reg[0]_0\ <= \^axlen_cnt_reg[0]_0\;
incr_next_pending <= \^incr_next_pending\;
\axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_7\,
O => \axaddr_incr[0]_i_1__0_n_0\
);
\axaddr_incr[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_5\,
O => \axaddr_incr[10]_i_1__0_n_0\
);
\axaddr_incr[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_4\,
O => \axaddr_incr[11]_i_2__0_n_0\
);
\axaddr_incr[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_6\,
O => \axaddr_incr[1]_i_1__0_n_0\
);
\axaddr_incr[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_5\,
O => \axaddr_incr[2]_i_1__0_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"0201020202020202"
)
port map (
I0 => Q(0),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[3]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[2]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[1]\,
I1 => Q(6),
I2 => Q(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_4\,
O => \axaddr_incr[3]_i_1__0_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA6AAAAAAAAAAAAA"
)
port map (
I0 => Q(3),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"2A262A2A2A2A2A2A"
)
port map (
I0 => Q(2),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"0A060A0A0A0A0A0A"
)
port map (
I0 => Q(1),
I1 => Q(5),
I2 => Q(6),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(1)
);
\axaddr_incr[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_7\,
O => \axaddr_incr[4]_i_1__0_n_0\
);
\axaddr_incr[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_6\,
O => \axaddr_incr[5]_i_1__0_n_0\
);
\axaddr_incr[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_5\,
O => \axaddr_incr[6]_i_1__0_n_0\
);
\axaddr_incr[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_4\,
O => \axaddr_incr[7]_i_1__0_n_0\
);
\axaddr_incr[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_7\,
O => \axaddr_incr[8]_i_1__0_n_0\
);
\axaddr_incr[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_6\,
O => \axaddr_incr[9]_i_1__0_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[0]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[10]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[11]_i_2__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[11]_i_4__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4__0_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4__0_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4__0_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4__0_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(7 downto 4)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[1]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[1]\,
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[2]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[2]\,
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[3]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[3]\,
R => '0'
);
\axaddr_incr_reg[3]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_incr_reg_n_0_[3]\,
DI(2) => \axaddr_incr_reg_n_0_[2]\,
DI(1) => \axaddr_incr_reg_n_0_[1]\,
DI(0) => \^axaddr_incr_reg[11]_0\(0),
O(3) => \axaddr_incr_reg[3]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3__0_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[4]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[5]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[6]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[7]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[7]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3__0_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(3 downto 2),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(1)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[8]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[9]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"20FF2020"
)
port map (
I0 => si_rs_arvalid,
I1 => \state_reg[0]_rep\,
I2 => Q(8),
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[0]_i_1__2_n_0\
);
\axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => Q(9),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[1]_i_1__1_n_0\
);
\axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[0]_0\,
I4 => E(0),
I5 => Q(10),
O => \axlen_cnt[2]_i_1__1_n_0\
);
\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => \next_pending_r_i_4__0_n_0\,
O => \^axlen_cnt_reg[0]_0\
);
\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[4]_i_1__0_n_0\
);
\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[5]_i_1__0_n_0\
);
\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[6]_i_1__0_n_0\
);
\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[7]_i_2__0_n_0\
);
\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
O => \axlen_cnt[7]_i_3__0_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[4]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[5]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[6]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[7]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[1]\
);
\m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => Q(7),
O => \m_axi_araddr[11]\
);
\m_axi_araddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[1]\,
I2 => Q(7),
I3 => Q(1),
O => \m_axi_araddr[1]\
);
\m_axi_araddr[2]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[2]\,
I2 => Q(7),
I3 => Q(2),
O => \m_axi_araddr[2]\
);
\m_axi_araddr[3]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[3]\,
I2 => Q(7),
I3 => Q(3),
O => \m_axi_araddr[3]\
);
\m_axi_araddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => Q(7),
I3 => Q(4),
O => \m_axi_araddr[5]\
);
\next_pending_r_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF505C"
)
port map (
I0 => \next_pending_r_i_2__0_n_0\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => E(0),
I4 => \m_payload_i_reg[47]_0\,
O => \^incr_next_pending\
);
\next_pending_r_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0002"
)
port map (
I0 => \next_pending_r_i_4__0_n_0\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[7]\,
O => \next_pending_r_i_2__0_n_0\
);
\next_pending_r_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[4]\,
O => \next_pending_r_i_4__0_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^incr_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_incr_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
\m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_0\ : in STD_LOGIC;
s_axburst_eq1_reg : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg_1 : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm : entity is "axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_payload_i_reg[0]\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \next_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_1__0\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__2\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of m_axi_arvalid_INST_0 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \s_ready_i_i_1__0\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \state[1]_i_1\ : label is "soft_lutpair2";
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \state_reg[0]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[0]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[1]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute FSM_ENCODED_STATES of \state_reg[1]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6__0\ : label is "soft_lutpair4";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\;
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
\axaddr_incr[11]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AAEA"
)
port map (
I0 => sel_first,
I1 => m_axi_arready,
I2 => \^m_payload_i_reg[0]_0\,
I3 => \^m_payload_i_reg[0]\,
O => \axaddr_incr_reg[0]\(0)
);
\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(0),
I2 => \^m_payload_i_reg[0]_0\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"00CA"
)
port map (
I0 => si_rs_arvalid,
I1 => m_axi_arready,
I2 => \^q\(0),
I3 => \^q\(1),
O => E(0)
);
\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00005140"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => m_axi_arready,
I3 => si_rs_arvalid,
I4 => \axlen_cnt_reg[7]_0\,
O => \axlen_cnt_reg[7]\
);
m_axi_arvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
O => m_axi_arvalid
);
\m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"D5"
)
port map (
I0 => si_rs_arvalid,
I1 => \^m_payload_i_reg[0]\,
I2 => \^m_payload_i_reg[0]_0\,
O => \m_payload_i_reg[0]_1\(0)
);
\m_valid_i_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF70FFFF"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => m_valid_i0
);
r_push_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => \^m_payload_i_reg[0]_0\,
I2 => m_axi_arready,
O => r_push_r_reg
);
\s_ready_i_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8FFF8F8F"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => s_ready_i0
);
\sel_first_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first_reg_1,
I2 => \^q\(1),
I3 => si_rs_arvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first,
I2 => \^m_payload_i_reg[0]\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]_0\,
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FCFFFFFFCCCECCCE"
)
port map (
I0 => si_rs_arvalid,
I1 => areset_d1,
I2 => \^m_payload_i_reg[0]\,
I3 => \^m_payload_i_reg[0]_0\,
I4 => m_axi_arready,
I5 => sel_first_reg_2,
O => sel_first_i
);
\state[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"003030303E3E3E3E"
)
port map (
I0 => si_rs_arvalid,
I1 => \^q\(1),
I2 => \^q\(0),
I3 => m_axi_arready,
I4 => s_axburst_eq1_reg,
I5 => \cnt_read_reg[2]_rep__0\,
O => \next_state__0\(0)
);
\state[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00AAB000"
)
port map (
I0 => \cnt_read_reg[2]_rep__0\,
I1 => s_axburst_eq1_reg,
I2 => m_axi_arready,
I3 => \^m_payload_i_reg[0]_0\,
I4 => \^m_payload_i_reg[0]\,
O => \next_state__0\(1)
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^m_payload_i_reg[0]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^m_payload_i_reg[0]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => si_rs_arvalid,
I2 => \^m_payload_i_reg[0]_0\,
O => \wrap_boundary_axaddr_r_reg[11]\(0)
);
\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
port (
\cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : out STD_LOGIC;
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
bresp_push : out STD_LOGIC;
bvalid_i_reg : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
b_push : in STD_LOGIC;
shandshake_r : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
\bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
mhandshake_r : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
bvalid_i_reg_0 : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_4_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_5_n_0\ : STD_LOGIC;
signal \^bresp_push\ : STD_LOGIC;
signal bvalid_i_i_2_n_0 : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[1]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair121";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair121";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 ";
attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 ";
attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 ";
attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 ";
attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 ";
attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 ";
attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 ";
attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 ";
attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 ";
attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 ";
attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 ";
attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 ";
attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 ";
attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 ";
begin
bresp_push <= \^bresp_push\;
\cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\;
\cnt_read_reg[1]_rep__0_0\ <= \^cnt_read_reg[1]_rep__0_0\;
\bresp_cnt[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABAA"
)
port map (
I0 => areset_d1,
I1 => \bresp_cnt[7]_i_3_n_0\,
I2 => \bresp_cnt[7]_i_4_n_0\,
I3 => \bresp_cnt[7]_i_5_n_0\,
O => SR(0)
);
\bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEFEFFFFFFFFEEFE"
)
port map (
I0 => \bresp_cnt_reg[7]\(7),
I1 => \bresp_cnt_reg[7]\(6),
I2 => \bresp_cnt_reg[7]\(0),
I3 => \memory_reg[3][0]_srl4_n_0\,
I4 => \bresp_cnt_reg[7]\(3),
I5 => \memory_reg[3][3]_srl4_n_0\,
O => \bresp_cnt[7]_i_3_n_0\
);
\bresp_cnt[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFF6FFFF"
)
port map (
I0 => \bresp_cnt_reg[7]\(1),
I1 => \memory_reg[3][1]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(4),
I3 => \bresp_cnt_reg[7]\(5),
I4 => mhandshake_r,
O => \bresp_cnt[7]_i_4_n_0\
);
\bresp_cnt[7]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000D00DD00DD00D"
)
port map (
I0 => \memory_reg[3][0]_srl4_n_0\,
I1 => \bresp_cnt_reg[7]\(0),
I2 => \bresp_cnt_reg[7]\(2),
I3 => \memory_reg[3][2]_srl4_n_0\,
I4 => \^cnt_read_reg[1]_rep__0_0\,
I5 => \^cnt_read_reg[0]_rep__0_0\,
O => \bresp_cnt[7]_i_5_n_0\
);
bvalid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"0444"
)
port map (
I0 => areset_d1,
I1 => bvalid_i_i_2_n_0,
I2 => si_rs_bready,
I3 => bvalid_i_reg_0,
O => bvalid_i_reg
);
bvalid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00070707"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
I2 => shandshake_r,
I3 => Q(1),
I4 => Q(0),
I5 => bvalid_i_reg_0,
O => bvalid_i_i_2_n_0
);
\cnt_read[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^bresp_push\,
I1 => shandshake_r,
I2 => Q(0),
O => D(0)
);
\cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
O => \cnt_read[0]_i_1__2_n_0\
);
\cnt_read[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
I3 => \^cnt_read_reg[1]_rep__0_0\,
O => \cnt_read[1]_i_1_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \^cnt_read_reg[0]_rep__0_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \^cnt_read_reg[1]_rep__0_0\,
S => areset_d1
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(0),
Q => \memory_reg[3][0]_srl4_n_0\
);
\memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000041004141"
)
port map (
I0 => \memory_reg[3][0]_srl4_i_2__0_n_0\,
I1 => \memory_reg[3][2]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(2),
I3 => \bresp_cnt_reg[7]\(0),
I4 => \memory_reg[3][0]_srl4_n_0\,
I5 => \memory_reg[3][0]_srl4_i_3_n_0\,
O => \^bresp_push\
);
\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
O => \memory_reg[3][0]_srl4_i_2__0_n_0\
);
\memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFBFFFFFFFFFFFB"
)
port map (
I0 => \bresp_cnt[7]_i_3_n_0\,
I1 => mhandshake_r,
I2 => \bresp_cnt_reg[7]\(5),
I3 => \bresp_cnt_reg[7]\(4),
I4 => \memory_reg[3][1]_srl4_n_0\,
I5 => \bresp_cnt_reg[7]\(1),
O => \memory_reg[3][0]_srl4_i_3_n_0\
);
\memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(6),
Q => \out\(2)
);
\memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(7),
Q => \out\(3)
);
\memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(8),
Q => \out\(4)
);
\memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(9),
Q => \out\(5)
);
\memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(10),
Q => \out\(6)
);
\memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(11),
Q => \out\(7)
);
\memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(12),
Q => \out\(8)
);
\memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(13),
Q => \out\(9)
);
\memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(14),
Q => \out\(10)
);
\memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(15),
Q => \out\(11)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(1),
Q => \memory_reg[3][1]_srl4_n_0\
);
\memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(2),
Q => \memory_reg[3][2]_srl4_n_0\
);
\memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(3),
Q => \memory_reg[3][3]_srl4_n_0\
);
\memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(4),
Q => \out\(0)
);
\memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(5),
Q => \out\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
port (
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
mhandshake : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
shandshake_r : in STD_LOGIC;
sel : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
mhandshake_r : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\;
architecture STRUCTURE of \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair122";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair122";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 ";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A69A"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => shandshake_r,
I3 => sel,
O => \cnt_read[1]_i_1__0_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \^q\(0),
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__0_n_0\,
Q => \^q\(1),
S => areset_d1
);
m_axi_bready_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => mhandshake_r,
O => m_axi_bready
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[1]\(0)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[1]\(1)
);
mhandshake_r_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"2000"
)
port map (
I0 => m_axi_bvalid,
I1 => mhandshake_r,
I2 => \^q\(0),
I3 => \^q\(1),
O => mhandshake
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
port (
\cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_2\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
s_ready_i_reg : in STD_LOGIC;
\cnt_read_reg[4]_rep__0_0\ : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\;
architecture STRUCTURE of \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_5_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_2\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal wr_en0 : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair18";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__3\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__3\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__3\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]";
attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair19";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 ";
attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 ";
attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 ";
attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 ";
attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 ";
attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 ";
attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 ";
attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 ";
attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 ";
attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 ";
attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 ";
attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 ";
attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 ";
attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 ";
attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 ";
attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 ";
attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 ";
attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 ";
attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 ";
attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair19";
begin
\cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\;
\cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\;
\cnt_read_reg[4]_rep__2_2\ <= \^cnt_read_reg[4]_rep__2_2\;
\cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => s_ready_i_reg,
I2 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[0]_i_1__1_n_0\
);
\cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9AA6"
)
port map (
I0 => \cnt_read_reg[1]_rep__2_n_0\,
I1 => \cnt_read_reg[0]_rep__2_n_0\,
I2 => s_ready_i_reg,
I3 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[1]_i_1__2_n_0\
);
\cnt_read[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"A9AAAA6A"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[0]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
O => \cnt_read[2]_i_1_n_0\
);
\cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAA6AA9AAAAAA"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_0\,
I1 => \cnt_read_reg[2]_rep__2_n_0\,
I2 => \cnt_read_reg[1]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[3]_i_1__0_n_0\
);
\cnt_read[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"99AA99AA99AA55A6"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_1\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_2\,
I3 => \cnt_read[4]_i_3__0_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[4]_i_1_n_0\
);
\cnt_read[4]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[2]_rep__2_n_0\,
O => \^cnt_read_reg[4]_rep__2_2\
);
\cnt_read[4]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000100000"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read[4]_i_5_n_0\,
I3 => \cnt_read_reg[4]_rep__0_0\,
I4 => si_rs_rready,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[4]_i_3__0_n_0\
);
\cnt_read[4]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6000E000FFFFFFFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
I5 => m_axi_rvalid,
O => \cnt_read[4]_i_5_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__3\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__3_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \^cnt_read_reg[4]_rep__2_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \^cnt_read_reg[4]_rep__2_1\,
S => areset_d1
);
m_axi_rready_INST_0: unisim.vcomponents.LUT5
generic map(
INIT => X"9FFF1FFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
O => m_axi_rready
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(0),
Q => \out\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8AAA0AAA0AAAAAAA"
)
port map (
I0 => m_axi_rvalid,
I1 => \cnt_read_reg[0]_rep__3_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_0\,
I3 => \^cnt_read_reg[4]_rep__2_1\,
I4 => \cnt_read_reg[1]_rep__2_n_0\,
I5 => \cnt_read_reg[2]_rep__2_n_0\,
O => wr_en0
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(10),
Q => \out\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(11),
Q => \out\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(12),
Q => \out\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(13),
Q => \out\(13),
Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(14),
Q => \out\(14),
Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(15),
Q => \out\(15),
Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(16),
Q => \out\(16),
Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(17),
Q => \out\(17),
Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(18),
Q => \out\(18),
Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(19),
Q => \out\(19),
Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(1),
Q => \out\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(20),
Q => \out\(20),
Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(21),
Q => \out\(21),
Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(22),
Q => \out\(22),
Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(23),
Q => \out\(23),
Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(24),
Q => \out\(24),
Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(25),
Q => \out\(25),
Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(26),
Q => \out\(26),
Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(27),
Q => \out\(27),
Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(28),
Q => \out\(28),
Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(29),
Q => \out\(29),
Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(2),
Q => \out\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(30),
Q => \out\(30),
Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(31),
Q => \out\(31),
Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(32),
Q => \out\(32),
Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(33),
Q => \out\(33),
Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(3),
Q => \out\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(4),
Q => \out\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(5),
Q => \out\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(6),
Q => \out\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(7),
Q => \out\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(8),
Q => \out\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(9),
Q => \out\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"40C0C000"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \cnt_read_reg[1]_rep__2_n_0\,
I4 => \cnt_read_reg[2]_rep__2_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
s_ready_i_reg : in STD_LOGIC;
r_push_r : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
\cnt_read_reg[3]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3_0\ : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 12 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\;
architecture STRUCTURE of \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal m_valid_i_i_3_n_0 : STD_LOGIC;
signal \^m_valid_i_reg\ : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair20";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 ";
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => r_push_r,
I2 => s_ready_i_reg,
O => \cnt_read[0]_i_1__0_n_0\
);
\cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"DB24"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[1]_i_1__1_n_0\
);
\cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9AAAAAA6"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[0]_rep__0_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[2]_i_1__0_n_0\
);
\cnt_read[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF7F0080FEFF0100"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__0_n_0\,
I2 => r_push_r,
I3 => s_ready_i_reg,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[2]_rep__0_n_0\,
O => \cnt_read[3]_i_1_n_0\
);
\cnt_read[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9A999AAA"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read[4]_i_2_n_0\,
I2 => \cnt_read_reg[2]_rep__0_n_0\,
I3 => \cnt_read_reg[3]_rep__0_n_0\,
I4 => \cnt_read[4]_i_3_n_0\,
O => \cnt_read[4]_i_1__0_n_0\
);
\cnt_read[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"2AAAAAAA2AAA2AAA"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[1]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => r_push_r,
I4 => \^m_valid_i_reg\,
I5 => si_rs_rready,
O => \cnt_read[4]_i_2_n_0\
);
\cnt_read[4]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000004"
)
port map (
I0 => r_push_r,
I1 => si_rs_rready,
I2 => \^m_valid_i_reg\,
I3 => \cnt_read_reg[0]_rep__1_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[4]_i_3_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
m_valid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"80808080FF808080"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read_reg[3]_rep__0_n_0\,
I2 => m_valid_i_i_3_n_0,
I3 => \cnt_read_reg[3]_rep__2\,
I4 => \cnt_read_reg[4]_rep__2\,
I5 => \cnt_read_reg[0]_rep__3\,
O => \^m_valid_i_reg\
);
m_valid_i_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__1_n_0\,
I2 => \cnt_read_reg[1]_rep__0_n_0\,
O => m_valid_i_i_3_n_0
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[46]\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(10),
Q => \skid_buffer_reg[46]\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(11),
Q => \skid_buffer_reg[46]\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(12),
Q => \skid_buffer_reg[46]\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[46]\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(2),
Q => \skid_buffer_reg[46]\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(3),
Q => \skid_buffer_reg[46]\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(4),
Q => \skid_buffer_reg[46]\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(5),
Q => \skid_buffer_reg[46]\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(6),
Q => \skid_buffer_reg[46]\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(7),
Q => \skid_buffer_reg[46]\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(8),
Q => \skid_buffer_reg[46]\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(9),
Q => \skid_buffer_reg[46]\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"BFEEAAAAAAAAAAAA"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_0\,
I1 => \cnt_read_reg[2]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[4]_rep__0_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\axlen_cnt_reg[7]_1\ : out STD_LOGIC;
\next\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axburst_eq0_reg : out STD_LOGIC;
incr_next_pending : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
s_axburst_eq1_reg_0 : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
si_rs_awvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
wrap_next_pending : in STD_LOGIC;
next_pending_r_reg : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
sel_first : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first_0 : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm : entity is "axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^axlen_cnt_reg[7]\ : STD_LOGIC;
signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC;
signal \^b_push\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \^next\ : STD_LOGIC;
signal \^sel_first_i\ : STD_LOGIC;
signal \state[0]_i_1_n_0\ : STD_LOGIC;
signal \state[0]_i_2_n_0\ : STD_LOGIC;
signal \state[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__0\ : label is "soft_lutpair109";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair109";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4\ : label is "soft_lutpair111";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6\ : label is "soft_lutpair111";
begin
E(0) <= \^e\(0);
Q(1 downto 0) <= \^q\(1 downto 0);
\axlen_cnt_reg[7]\ <= \^axlen_cnt_reg[7]\;
\axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\;
b_push <= \^b_push\;
incr_next_pending <= \^incr_next_pending\;
\next\ <= \^next\;
sel_first_i <= \^sel_first_i\;
\axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(2),
I2 => \^axlen_cnt_reg[7]_0\,
I3 => si_rs_awvalid,
I4 => \^axlen_cnt_reg[7]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0400FFFF04000400"
)
port map (
I0 => \^q\(1),
I1 => si_rs_awvalid,
I2 => \^q\(0),
I3 => \m_payload_i_reg[46]\(1),
I4 => \axlen_cnt_reg[0]_0\(0),
I5 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[0]\(0)
);
\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FF04"
)
port map (
I0 => \^q\(0),
I1 => si_rs_awvalid,
I2 => \^q\(1),
I3 => \^next\,
O => \axaddr_wrap_reg[11]\(0)
);
\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]\,
I3 => \^next\,
I4 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[7]_1\
);
m_axi_awvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
O => m_axi_awvalid
);
\m_payload_i[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^b_push\,
I1 => si_rs_awvalid,
O => \m_payload_i_reg[0]\(0)
);
\memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"88008888A800A8A8"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
I2 => m_axi_awready,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \cnt_read_reg[1]_rep__0\,
I5 => s_axburst_eq1_reg_0,
O => \^b_push\
);
next_pending_r_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFF404"
)
port map (
I0 => \^e\(0),
I1 => next_pending_r_reg,
I2 => \^next\,
I3 => \axlen_cnt_reg[7]_2\,
I4 => \m_payload_i_reg[47]\,
O => \^incr_next_pending\
);
next_pending_r_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"F3F3FFFF51000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \^next\
);
s_axburst_eq0_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => \^incr_next_pending\,
I1 => \^sel_first_i\,
I2 => \m_payload_i_reg[46]\(0),
I3 => wrap_next_pending,
O => s_axburst_eq0_reg
);
s_axburst_eq1_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FE02"
)
port map (
I0 => \^incr_next_pending\,
I1 => \m_payload_i_reg[46]\(0),
I2 => \^sel_first_i\,
I3 => wrap_next_pending,
O => s_axburst_eq1_reg
);
sel_first_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first_0,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF04FFFFFF04FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
I3 => areset_d1,
I4 => \^next\,
I5 => sel_first_reg_1,
O => \^sel_first_i\
);
\state[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"BBBA"
)
port map (
I0 => \state[0]_i_2_n_0\,
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
O => \state[0]_i_1_n_0\
);
\state[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00F000F055750000"
)
port map (
I0 => m_axi_awready,
I1 => s_axburst_eq1_reg_0,
I2 => \cnt_read_reg[1]_rep__0\,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \state[0]_i_2_n_0\
);
\state[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0CAE0000000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]\,
I5 => \^axlen_cnt_reg[7]_0\,
O => \state[1]_i_1__0_n_0\
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^axlen_cnt_reg[7]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^axlen_cnt_reg[7]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
O => \^e\(0)
);
\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
port (
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 10 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd : entity is "axi_protocol_converter_v2_1_17_b2s_wrap_cmd";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 );
signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__1_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 1 to 1 );
signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^wrap_next_pending\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
wrap_next_pending <= \^wrap_next_pending\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(0),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(0),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(0),
O => \axaddr_wrap[0]_i_1_n_0\
);
\axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(10),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(10),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(10),
O => \axaddr_wrap[10]_i_1_n_0\
);
\axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(11),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(11),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(11),
O => \axaddr_wrap[11]_i_1_n_0\
);
\axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4_n_0\,
I1 => wrap_cnt_r(3),
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2_n_0\
);
\axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => wrap_cnt_r(0),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => wrap_cnt_r(1),
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => wrap_cnt_r(2),
O => \axaddr_wrap[11]_i_4_n_0\
);
\axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(1),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(1),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(1),
O => \axaddr_wrap[1]_i_1_n_0\
);
\axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(2),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(2),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(2),
O => \axaddr_wrap[2]_i_1_n_0\
);
\axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(3),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(3),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(3),
O => \axaddr_wrap[3]_i_1_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => axaddr_wrap(3),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(2),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(1),
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => axaddr_wrap(0),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(4),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(4),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(4),
O => \axaddr_wrap[4]_i_1_n_0\
);
\axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(5),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(5),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(5),
O => \axaddr_wrap[5]_i_1_n_0\
);
\axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(6),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(6),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(6),
O => \axaddr_wrap[6]_i_1_n_0\
);
\axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(7),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(7),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(7),
O => \axaddr_wrap[7]_i_1_n_0\
);
\axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(8),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(8),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(8),
O => \axaddr_wrap[8]_i_1_n_0\
);
\axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(9),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(9),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(9),
O => \axaddr_wrap[9]_i_1_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[0]_i_1_n_0\,
Q => axaddr_wrap(0),
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[10]_i_1_n_0\,
Q => axaddr_wrap(10),
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[11]_i_1_n_0\,
Q => axaddr_wrap(11),
R => '0'
);
\axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(11 downto 8),
S(3 downto 0) => axaddr_wrap(11 downto 8)
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[1]_i_1_n_0\,
Q => axaddr_wrap(1),
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[2]_i_1_n_0\,
Q => axaddr_wrap(2),
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[3]_i_1_n_0\,
Q => axaddr_wrap(3),
R => '0'
);
\axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => axaddr_wrap(3 downto 0),
O(3 downto 0) => axaddr_wrap0(3 downto 0),
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[4]_i_1_n_0\,
Q => axaddr_wrap(4),
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[5]_i_1_n_0\,
Q => axaddr_wrap(5),
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[6]_i_1_n_0\,
Q => axaddr_wrap(6),
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[7]_i_1_n_0\,
Q => axaddr_wrap(7),
R => '0'
);
\axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(7 downto 4),
S(3 downto 0) => axaddr_wrap(7 downto 4)
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[8]_i_1_n_0\,
Q => axaddr_wrap(8),
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[9]_i_1_n_0\,
Q => axaddr_wrap(9),
R => '0'
);
\axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => \m_payload_i_reg[47]\(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1_n_0\
);
\axlen_cnt[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => \m_payload_i_reg[47]\(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1_n_0\
);
\axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => \m_payload_i_reg[47]\(17),
O => \axlen_cnt[2]_i_1__0_n_0\
);
\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => \m_payload_i_reg[47]\(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[0]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(0),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_awaddr(0)
);
\m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(10),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(9),
O => m_axi_awaddr(10)
);
\m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(11),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(10),
O => m_axi_awaddr(11)
);
\m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(1),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(1),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_awaddr(1)
);
\m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(2),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(2),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_awaddr(2)
);
\m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(3),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(3),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_awaddr(3)
);
\m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(4),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_awaddr(4)
);
\m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \m_payload_i_reg[47]\(5),
I1 => \^sel_first_reg_0\,
I2 => axaddr_wrap(5),
I3 => \m_payload_i_reg[47]\(14),
I4 => sel_first_reg_3,
O => m_axi_awaddr(5)
);
\m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(6),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_awaddr(6)
);
\m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(7),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_awaddr(7)
);
\m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(8),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_awaddr(8)
);
\m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(9),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(8),
O => m_axi_awaddr(9)
);
\next_pending_r_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]_0\,
I1 => next_pending_r_reg_n_0,
I2 => \next\,
I3 => \next_pending_r_i_2__1_n_0\,
I4 => E(0),
O => \^wrap_next_pending\
);
\next_pending_r_i_2__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_awvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__1_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^wrap_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => wrap_boundary_axaddr_r(0),
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(10),
Q => wrap_boundary_axaddr_r(10),
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(11),
Q => wrap_boundary_axaddr_r(11),
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => wrap_boundary_axaddr_r(1),
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => wrap_boundary_axaddr_r(2),
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => wrap_boundary_axaddr_r(3),
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => wrap_boundary_axaddr_r(4),
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => wrap_boundary_axaddr_r(5),
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => wrap_boundary_axaddr_r(6),
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(7),
Q => wrap_boundary_axaddr_r(7),
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(8),
Q => wrap_boundary_axaddr_r(8),
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(9),
Q => wrap_boundary_axaddr_r(9),
R => '0'
);
\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => wrap_cnt(1)
);
\wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => wrap_cnt_r(0),
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cnt(1),
Q => wrap_cnt_r(1),
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => wrap_cnt_r(2),
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => wrap_cnt_r(3),
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
port (
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axburst_eq0_reg : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first_i : in STD_LOGIC;
incr_next_pending : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
sel_first_reg_4 : in STD_LOGIC;
sel_first_reg_5 : in STD_LOGIC;
sel_first_reg_6 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_17_b2s_wrap_cmd";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__2_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_cnt_r[1]_i_1__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC;
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair16";
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(0),
O => \axaddr_wrap[0]_i_1__0_n_0\
);
\axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(10),
O => \axaddr_wrap[10]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(11),
O => \axaddr_wrap[11]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4__0_n_0\,
I1 => \wrap_cnt_r_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2__0_n_0\
);
\axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => \wrap_cnt_r_reg_n_0_[0]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \wrap_cnt_r_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \wrap_cnt_r_reg_n_0_[1]\,
O => \axaddr_wrap[11]_i_4__0_n_0\
);
\axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(1),
O => \axaddr_wrap[1]_i_1__0_n_0\
);
\axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(2),
O => \axaddr_wrap[2]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(3),
O => \axaddr_wrap[3]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[3]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[2]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[1]\,
I1 => Q(13),
I2 => Q(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[0]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(4),
O => \axaddr_wrap[4]_i_1__0_n_0\
);
\axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(5),
O => \axaddr_wrap[5]_i_1__0_n_0\
);
\axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(6),
O => \axaddr_wrap[6]_i_1__0_n_0\
);
\axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(7),
O => \axaddr_wrap[7]_i_1__0_n_0\
);
\axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(8),
O => \axaddr_wrap[8]_i_1__0_n_0\
);
\axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(9),
O => \axaddr_wrap[9]_i_1__0_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[0]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[0]\,
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[10]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[10]\,
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[11]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[11]\,
R => '0'
);
\axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\,
O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\,
O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\,
O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[11]\,
S(2) => \axaddr_wrap_reg_n_0_[10]\,
S(1) => \axaddr_wrap_reg_n_0_[9]\,
S(0) => \axaddr_wrap_reg_n_0_[8]\
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[1]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[1]\,
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[2]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[2]\,
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[3]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[3]\,
R => '0'
);
\axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_wrap_reg_n_0_[3]\,
DI(2) => \axaddr_wrap_reg_n_0_[2]\,
DI(1) => \axaddr_wrap_reg_n_0_[1]\,
DI(0) => \axaddr_wrap_reg_n_0_[0]\,
O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\,
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[4]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[4]\,
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[5]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[5]\,
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[6]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[6]\,
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[7]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[7]\,
R => '0'
);
\axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[7]\,
S(2) => \axaddr_wrap_reg_n_0_[6]\,
S(1) => \axaddr_wrap_reg_n_0_[5]\,
S(0) => \axaddr_wrap_reg_n_0_[4]\
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[8]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[8]\,
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[9]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[9]\,
R => '0'
);
\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => Q(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1__1_n_0\
);
\axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => Q(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1__2_n_0\
);
\axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => Q(17),
O => \axlen_cnt[2]_i_1__2_n_0\
);
\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => Q(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1__1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[0]\,
I2 => Q(14),
I3 => Q(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_araddr(0)
);
\m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[10]\,
I2 => Q(14),
I3 => Q(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_araddr(10)
);
\m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[11]\,
I2 => Q(14),
I3 => Q(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_araddr(11)
);
\m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(1),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[1]\,
I3 => Q(14),
I4 => sel_first_reg_6,
O => m_axi_araddr(1)
);
\m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(2),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[2]\,
I3 => Q(14),
I4 => sel_first_reg_5,
O => m_axi_araddr(2)
);
\m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(3),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[3]\,
I3 => Q(14),
I4 => sel_first_reg_4,
O => m_axi_araddr(3)
);
\m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[4]\,
I2 => Q(14),
I3 => Q(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_araddr(4)
);
\m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(5),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[5]\,
I3 => Q(14),
I4 => sel_first_reg_3,
O => m_axi_araddr(5)
);
\m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[6]\,
I2 => Q(14),
I3 => Q(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_araddr(6)
);
\m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[7]\,
I2 => Q(14),
I3 => Q(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_araddr(7)
);
\m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[8]\,
I2 => Q(14),
I3 => Q(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_araddr(8)
);
\m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[9]\,
I2 => Q(14),
I3 => Q(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_araddr(9)
);
\next_pending_r_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => \next_pending_r_i_2__2_n_0\,
I4 => E(0),
O => wrap_next_pending
);
\next_pending_r_i_2__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_arvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__2_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_next_pending,
Q => next_pending_r_reg_n_0,
R => '0'
);
\s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq0_reg
);
\s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABA8"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq1_reg
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(10),
Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(11),
Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(7),
Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(8),
Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(9),
Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
R => '0'
);
\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => \wrap_cnt_r[1]_i_1__0_n_0\
);
\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => \wrap_cnt_r_reg_n_0_[0]\,
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_cnt_r[1]_i_1__0_n_0\,
Q => \wrap_cnt_r_reg_n_0_[1]\,
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => \wrap_cnt_r_reg_n_0_[2]\,
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => \wrap_cnt_r_reg_n_0_[3]\,
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice is
port (
s_axi_arready : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[0]\ : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
aclk : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
\aresetn_d_reg[0]_0\ : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \axaddr_incr[3]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \^axaddr_offset_0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[52]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_arready\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5__0_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3__0_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair21";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset_0(1 downto 0) <= \^axaddr_offset_0\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axaddr_offset_r_reg[3]\ <= \^axaddr_offset_r_reg[3]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_arready <= \^s_axi_arready\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \aresetn_d_reg[0]_0\,
Q => \^m_valid_i_reg_0\,
R => '0'
);
\axaddr_incr[3]_i_4__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4__0_n_0\
);
\axaddr_incr[3]_i_5__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5__0_n_0\
);
\axaddr_incr[3]_i_6__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6__0_n_0\
);
\axaddr_incr_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => O(3 downto 0),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4__0_n_0\,
DI(1) => \axaddr_incr[3]_i_5__0_n_0\,
DI(0) => \axaddr_incr[3]_i_6__0_n_0\,
O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0)
);
\axaddr_incr_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2__0_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2__0_n_0\
);
\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2__0_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(1),
O => \^axaddr_offset_0\(0)
);
\axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2__0_n_0\
);
\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2__0_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^axaddr_offset_0\(1)
);
\axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_3__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__0_n_0\
);
\m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__0_n_0\
);
\m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__0_n_0\
);
\m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(12),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__0_n_0\
);
\m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(13),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__1_n_0\
);
\m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(14),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__0_n_0\
);
\m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(15),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__0_n_0\
);
\m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(16),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__0_n_0\
);
\m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(17),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__0_n_0\
);
\m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(18),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__0_n_0\
);
\m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(19),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__0_n_0\
);
\m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__0_n_0\
);
\m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(20),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__0_n_0\
);
\m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(21),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__0_n_0\
);
\m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(22),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__0_n_0\
);
\m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(23),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__0_n_0\
);
\m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(24),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__0_n_0\
);
\m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(25),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__0_n_0\
);
\m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(26),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__0_n_0\
);
\m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(27),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__0_n_0\
);
\m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(28),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__0_n_0\
);
\m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(29),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__0_n_0\
);
\m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__0_n_0\
);
\m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(30),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__0_n_0\
);
\m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(31),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_2__0_n_0\
);
\m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__0_n_0\
);
\m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__0_n_0\
);
\m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__0_n_0\
);
\m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__0_n_0\
);
\m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__0_n_0\
);
\m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__0_n_0\
);
\m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__0_n_0\
);
\m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__0_n_0\
);
\m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__0_n_0\
);
\m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__0_n_0\
);
\m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_1__1_n_0\
);
\m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => \m_payload_i[47]_i_1__0_n_0\
);
\m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__0_n_0\
);
\m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => \m_payload_i[50]_i_1__0_n_0\
);
\m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => \m_payload_i[51]_i_1__0_n_0\
);
\m_payload_i[52]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => \m_payload_i[52]_i_1__0_n_0\
);
\m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => \m_payload_i[53]_i_1__0_n_0\
);
\m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => \m_payload_i[54]_i_1__0_n_0\
);
\m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => \m_payload_i[55]_i_1__0_n_0\
);
\m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => \m_payload_i[56]_i_1__0_n_0\
);
\m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => \m_payload_i[57]_i_1__0_n_0\
);
\m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => \m_payload_i[58]_i_1__0_n_0\
);
\m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => \m_payload_i[59]_i_1__0_n_0\
);
\m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__0_n_0\
);
\m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => \m_payload_i[60]_i_1__0_n_0\
);
\m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => \m_payload_i[61]_i_1__0_n_0\
);
\m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__0_n_0\
);
\m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__0_n_0\
);
\m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__0_n_0\
);
\m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__0_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[0]_i_1__0_n_0\,
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[10]_i_1__0_n_0\,
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[11]_i_1__0_n_0\,
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[12]_i_1__0_n_0\,
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[13]_i_1__1_n_0\,
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[14]_i_1__0_n_0\,
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[15]_i_1__0_n_0\,
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[16]_i_1__0_n_0\,
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[17]_i_1__0_n_0\,
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[18]_i_1__0_n_0\,
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[19]_i_1__0_n_0\,
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[1]_i_1__0_n_0\,
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[20]_i_1__0_n_0\,
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[21]_i_1__0_n_0\,
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[22]_i_1__0_n_0\,
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[23]_i_1__0_n_0\,
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[24]_i_1__0_n_0\,
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[25]_i_1__0_n_0\,
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[26]_i_1__0_n_0\,
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[27]_i_1__0_n_0\,
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[28]_i_1__0_n_0\,
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[29]_i_1__0_n_0\,
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[2]_i_1__0_n_0\,
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[30]_i_1__0_n_0\,
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[31]_i_2__0_n_0\,
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[32]_i_1__0_n_0\,
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[33]_i_1__0_n_0\,
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[34]_i_1__0_n_0\,
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[35]_i_1__0_n_0\,
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[36]_i_1__0_n_0\,
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[38]_i_1__0_n_0\,
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[39]_i_1__0_n_0\,
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[3]_i_1__0_n_0\,
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[44]_i_1__0_n_0\,
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[45]_i_1__0_n_0\,
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[46]_i_1__1_n_0\,
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[47]_i_1__0_n_0\,
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[4]_i_1__0_n_0\,
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[50]_i_1__0_n_0\,
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[51]_i_1__0_n_0\,
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[52]_i_1__0_n_0\,
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[53]_i_1__0_n_0\,
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[54]_i_1__0_n_0\,
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[55]_i_1__0_n_0\,
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[56]_i_1__0_n_0\,
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[57]_i_1__0_n_0\,
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[58]_i_1__0_n_0\,
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[59]_i_1__0_n_0\,
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[5]_i_1__0_n_0\,
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[60]_i_1__0_n_0\,
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[61]_i_1__0_n_0\,
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[6]_i_1__0_n_0\,
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[7]_i_1__0_n_0\,
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[8]_i_1__0_n_0\,
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[9]_i_1__0_n_0\,
Q => \^q\(9),
R => '0'
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^axaddr_offset_r_reg[3]\,
R => \^m_valid_i_reg_0\
);
next_pending_r_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_arready\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => \wrap_cnt_r_reg[3]\(0)
);
\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => \wrap_cnt_r_reg[3]\(1)
);
\wrap_cnt_r[3]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_1\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2__0_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5__0_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r[3]_i_5__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5__0_n_0\
);
\wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset_0\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_0\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2__0_n_0\
);
\wrap_second_len_r[3]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3__0_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice_0 is
port (
s_axi_awready : out STD_LOGIC;
s_ready_i_reg_0 : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[1]_inv\ : out STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[1]_inv_0\ : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice_0 : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice_0;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice_0 is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_incr[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_awready\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^s_ready_i_reg_0\ : STD_LOGIC;
signal skid_buffer : STD_LOGIC_VECTOR ( 61 downto 0 );
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair49";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset(1 downto 0) <= \^axaddr_offset\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_awready <= \^s_axi_awready\;
s_ready_i_reg_0 <= \^s_ready_i_reg_0\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
I1 => aresetn,
O => \aresetn_d_reg[1]_inv\
);
\aresetn_d_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => aresetn,
Q => \aresetn_d_reg_n_0_[0]\,
R => '0'
);
\axaddr_incr[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4_n_0\
);
\axaddr_incr[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5_n_0\
);
\axaddr_incr[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6_n_0\
);
\axaddr_incr_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(11 downto 8),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4_n_0\,
DI(1) => \axaddr_incr[3]_i_5_n_0\,
DI(0) => \axaddr_incr[3]_i_6_n_0\,
O(3 downto 0) => axaddr_incr(3 downto 0),
S(3 downto 0) => S(3 downto 0)
);
\axaddr_incr_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(7 downto 4),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2_n_0\
);
\axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(1),
O => \^axaddr_offset\(0)
);
\axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2_n_0\
);
\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^axaddr_offset\(1)
);
\axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2_n_0\
);
\axlen_cnt[3]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => skid_buffer(0)
);
\m_payload_i[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => skid_buffer(10)
);
\m_payload_i[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => skid_buffer(11)
);
\m_payload_i[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(12),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => skid_buffer(12)
);
\m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(13),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => skid_buffer(13)
);
\m_payload_i[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(14),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => skid_buffer(14)
);
\m_payload_i[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(15),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => skid_buffer(15)
);
\m_payload_i[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(16),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => skid_buffer(16)
);
\m_payload_i[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(17),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => skid_buffer(17)
);
\m_payload_i[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(18),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => skid_buffer(18)
);
\m_payload_i[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(19),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => skid_buffer(19)
);
\m_payload_i[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => skid_buffer(1)
);
\m_payload_i[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(20),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => skid_buffer(20)
);
\m_payload_i[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(21),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => skid_buffer(21)
);
\m_payload_i[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(22),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => skid_buffer(22)
);
\m_payload_i[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(23),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => skid_buffer(23)
);
\m_payload_i[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(24),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => skid_buffer(24)
);
\m_payload_i[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(25),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => skid_buffer(25)
);
\m_payload_i[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(26),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => skid_buffer(26)
);
\m_payload_i[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(27),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => skid_buffer(27)
);
\m_payload_i[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(28),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => skid_buffer(28)
);
\m_payload_i[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(29),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => skid_buffer(29)
);
\m_payload_i[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => skid_buffer(2)
);
\m_payload_i[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(30),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => skid_buffer(30)
);
\m_payload_i[31]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(31),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => skid_buffer(31)
);
\m_payload_i[32]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => skid_buffer(32)
);
\m_payload_i[33]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => skid_buffer(33)
);
\m_payload_i[34]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => skid_buffer(34)
);
\m_payload_i[35]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => skid_buffer(35)
);
\m_payload_i[36]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => skid_buffer(36)
);
\m_payload_i[38]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => skid_buffer(38)
);
\m_payload_i[39]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => skid_buffer(39)
);
\m_payload_i[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => skid_buffer(3)
);
\m_payload_i[44]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => skid_buffer(44)
);
\m_payload_i[45]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => skid_buffer(45)
);
\m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => skid_buffer(46)
);
\m_payload_i[47]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => skid_buffer(47)
);
\m_payload_i[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => skid_buffer(4)
);
\m_payload_i[50]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => skid_buffer(50)
);
\m_payload_i[51]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => skid_buffer(51)
);
\m_payload_i[52]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => skid_buffer(52)
);
\m_payload_i[53]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => skid_buffer(53)
);
\m_payload_i[54]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => skid_buffer(54)
);
\m_payload_i[55]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => skid_buffer(55)
);
\m_payload_i[56]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => skid_buffer(56)
);
\m_payload_i[57]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => skid_buffer(57)
);
\m_payload_i[58]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => skid_buffer(58)
);
\m_payload_i[59]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => skid_buffer(59)
);
\m_payload_i[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => skid_buffer(5)
);
\m_payload_i[60]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => skid_buffer(60)
);
\m_payload_i[61]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => skid_buffer(61)
);
\m_payload_i[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => skid_buffer(6)
);
\m_payload_i[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => skid_buffer(7)
);
\m_payload_i[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => skid_buffer(8)
);
\m_payload_i[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => skid_buffer(9)
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(0),
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(10),
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(11),
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(12),
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(13),
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(14),
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(15),
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(16),
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(17),
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(18),
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(19),
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(1),
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(20),
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(21),
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(22),
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(23),
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(24),
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(25),
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(26),
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(27),
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(28),
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(29),
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(2),
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(30),
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(31),
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(32),
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(33),
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(34),
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(35),
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(36),
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(38),
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(39),
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(3),
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(44),
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(45),
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(46),
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(47),
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(4),
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(50),
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(51),
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(52),
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(53),
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(54),
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(55),
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(56),
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(57),
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(58),
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(59),
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(5),
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(60),
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(61),
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(6),
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(7),
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(8),
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(9),
Q => \^q\(9),
R => '0'
);
m_valid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => b_push,
I1 => \^m_valid_i_reg_0\,
I2 => s_axi_awvalid,
I3 => \^s_axi_awready\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^m_valid_i_reg_0\,
R => \aresetn_d_reg[1]_inv_0\
);
next_pending_r_i_4: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
\s_ready_i_i_1__1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
O => \^s_ready_i_reg_0\
);
s_ready_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_awvalid,
I1 => \^s_axi_awready\,
I2 => b_push,
I3 => \^m_valid_i_reg_0\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_awready\,
R => \^s_ready_i_reg_0\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => D(0)
);
\wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => D(1)
);
\wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5_n_0\
);
\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2_n_0\
);
\wrap_second_len_r[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
port (
s_axi_bvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\;
architecture STRUCTURE of \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_bvalid\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair79";
begin
s_axi_bvalid <= \^s_axi_bvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__1_n_0\
);
\m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__1_n_0\
);
\m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__1_n_0\
);
\m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__1_n_0\
);
\m_payload_i[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
O => p_1_in
);
\m_payload_i[13]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_2_n_0\
);
\m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__1_n_0\
);
\m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__1_n_0\
);
\m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__1_n_0\
);
\m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__1_n_0\
);
\m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__1_n_0\
);
\m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__1_n_0\
);
\m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__1_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_2_n_0\,
Q => \s_axi_bid[11]\(13),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(1),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(2),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(3),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(9),
R => '0'
);
\m_valid_i_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
I2 => si_rs_bvalid,
I3 => \^skid_buffer_reg[0]_0\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^s_axi_bvalid\,
R => \aresetn_d_reg[1]_inv\
);
s_ready_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => si_rs_bvalid,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_bready,
I3 => \^s_axi_bvalid\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(8),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(9),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(10),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(11),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(0),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(1),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(2),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(3),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(4),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(5),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(6),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(7),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
port (
s_axi_rvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\;
architecture STRUCTURE of \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC;
signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_rvalid\ : STD_LOGIC;
signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[4]_i_4\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \s_ready_i_i_1__2\ : label is "soft_lutpair84";
begin
s_axi_rvalid <= \^s_axi_rvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\cnt_read[4]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^skid_buffer_reg[0]_0\,
I1 => \cnt_read_reg[4]_rep__0\,
O => \cnt_read_reg[2]_rep__0\
);
\m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__2_n_0\
);
\m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__2_n_0\
);
\m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__2_n_0\
);
\m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__2_n_0\
);
\m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(13),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__2_n_0\
);
\m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(14),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__1_n_0\
);
\m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(15),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__1_n_0\
);
\m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(16),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__1_n_0\
);
\m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(17),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__1_n_0\
);
\m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(18),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__1_n_0\
);
\m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(19),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__1_n_0\
);
\m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__2_n_0\
);
\m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(20),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__1_n_0\
);
\m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(21),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__1_n_0\
);
\m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(22),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__1_n_0\
);
\m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(23),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__1_n_0\
);
\m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(24),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__1_n_0\
);
\m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(25),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__1_n_0\
);
\m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(26),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__1_n_0\
);
\m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(27),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__1_n_0\
);
\m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(28),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__1_n_0\
);
\m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(29),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__2_n_0\
);
\m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(30),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__1_n_0\
);
\m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(31),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_1__1_n_0\
);
\m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(32),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__1_n_0\
);
\m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(33),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__1_n_0\
);
\m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__1_n_0\
);
\m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__1_n_0\
);
\m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__1_n_0\
);
\m_payload_i[37]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[37]\,
O => \m_payload_i[37]_i_1_n_0\
);
\m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__1_n_0\
);
\m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__2_n_0\
);
\m_payload_i[40]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[40]\,
O => \m_payload_i[40]_i_1_n_0\
);
\m_payload_i[41]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[41]\,
O => \m_payload_i[41]_i_1_n_0\
);
\m_payload_i[42]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[42]\,
O => \m_payload_i[42]_i_1_n_0\
);
\m_payload_i[43]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[43]\,
O => \m_payload_i[43]_i_1_n_0\
);
\m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__1_n_0\
);
\m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__1_n_0\
);
\m_payload_i[46]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
O => p_1_in
);
\m_payload_i[46]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_2_n_0\
);
\m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__2_n_0\
);
\m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__2_n_0\
);
\m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__2_n_0\
);
\m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__2_n_0\
);
\m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__2_n_0\
);
\m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__2_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[14]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[15]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[16]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[17]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[18]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[19]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[20]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[21]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[22]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[23]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[24]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[25]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[26]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[27]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[28]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[29]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[30]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[31]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[32]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[33]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[34]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[35]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[36]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(36),
R => '0'
);
\m_payload_i_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[37]_i_1_n_0\,
Q => \s_axi_rid[11]\(37),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[38]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(38),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[39]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(39),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(3),
R => '0'
);
\m_payload_i_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[40]_i_1_n_0\,
Q => \s_axi_rid[11]\(40),
R => '0'
);
\m_payload_i_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[41]_i_1_n_0\,
Q => \s_axi_rid[11]\(41),
R => '0'
);
\m_payload_i_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[42]_i_1_n_0\,
Q => \s_axi_rid[11]\(42),
R => '0'
);
\m_payload_i_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[43]_i_1_n_0\,
Q => \s_axi_rid[11]\(43),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[44]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(44),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[45]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(45),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[46]_i_2_n_0\,
Q => \s_axi_rid[11]\(46),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(9),
R => '0'
);
\m_valid_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"4FFF"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
I2 => \cnt_read_reg[4]_rep__0\,
I3 => \^skid_buffer_reg[0]_0\,
O => \m_valid_i_i_1__2_n_0\
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \m_valid_i_i_1__2_n_0\,
Q => \^s_axi_rvalid\,
R => \aresetn_d_reg[1]_inv\
);
\s_ready_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F8FF"
)
port map (
I0 => \cnt_read_reg[4]_rep__0\,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
O => \s_ready_i_i_1__2_n_0\
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \s_ready_i_i_1__2_n_0\,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(32),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(33),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(0),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(1),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(2),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(3),
Q => \skid_buffer_reg_n_0_[37]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(4),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(5),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(6),
Q => \skid_buffer_reg_n_0_[40]\,
R => '0'
);
\skid_buffer_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(7),
Q => \skid_buffer_reg_n_0_[41]\,
R => '0'
);
\skid_buffer_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(8),
Q => \skid_buffer_reg_n_0_[42]\,
R => '0'
);
\skid_buffer_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(9),
Q => \skid_buffer_reg_n_0_[43]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(10),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(11),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(12),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_b_channel is
port (
si_rs_bvalid : out STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
areset_d1 : in STD_LOGIC;
aclk : in STD_LOGIC;
b_push : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_b_channel : entity is "axi_protocol_converter_v2_1_17_b2s_b_channel";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_b_channel;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_b_channel is
signal bid_fifo_0_n_3 : STD_LOGIC;
signal bid_fifo_0_n_5 : STD_LOGIC;
signal \bresp_cnt[7]_i_6_n_0\ : STD_LOGIC;
signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal bresp_push : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mhandshake : STD_LOGIC;
signal mhandshake_r : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s_bresp_acc0 : STD_LOGIC;
signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC;
signal shandshake : STD_LOGIC;
signal shandshake_r : STD_LOGIC;
signal \^si_rs_bvalid\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair124";
attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair124";
begin
si_rs_bvalid <= \^si_rs_bvalid\;
bid_fifo_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
SR(0) => s_bresp_acc0,
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0),
bresp_push => bresp_push,
bvalid_i_reg => bid_fifo_0_n_5,
bvalid_i_reg_0 => \^si_rs_bvalid\,
\cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0\,
\in\(15 downto 0) => \in\(15 downto 0),
mhandshake_r => mhandshake_r,
\out\(11 downto 0) => \out\(11 downto 0),
shandshake_r => shandshake_r,
si_rs_bready => si_rs_bready
);
\bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \bresp_cnt_reg__0\(0),
O => p_0_in(0)
);
\bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(1),
I1 => \bresp_cnt_reg__0\(0),
O => p_0_in(1)
);
\bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(2),
I1 => \bresp_cnt_reg__0\(0),
I2 => \bresp_cnt_reg__0\(1),
O => p_0_in(2)
);
\bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \bresp_cnt_reg__0\(3),
I1 => \bresp_cnt_reg__0\(1),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(2),
O => p_0_in(3)
);
\bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(4),
I1 => \bresp_cnt_reg__0\(2),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(1),
I4 => \bresp_cnt_reg__0\(3),
O => p_0_in(4)
);
\bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => p_0_in(5)
);
\bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(6),
I1 => \bresp_cnt[7]_i_6_n_0\,
O => p_0_in(6)
);
\bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(7),
I1 => \bresp_cnt[7]_i_6_n_0\,
I2 => \bresp_cnt_reg__0\(6),
O => p_0_in(7)
);
\bresp_cnt[7]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => \bresp_cnt[7]_i_6_n_0\
);
\bresp_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(0),
Q => \bresp_cnt_reg__0\(0),
R => s_bresp_acc0
);
\bresp_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(1),
Q => \bresp_cnt_reg__0\(1),
R => s_bresp_acc0
);
\bresp_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(2),
Q => \bresp_cnt_reg__0\(2),
R => s_bresp_acc0
);
\bresp_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(3),
Q => \bresp_cnt_reg__0\(3),
R => s_bresp_acc0
);
\bresp_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(4),
Q => \bresp_cnt_reg__0\(4),
R => s_bresp_acc0
);
\bresp_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(5),
Q => \bresp_cnt_reg__0\(5),
R => s_bresp_acc0
);
\bresp_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(6),
Q => \bresp_cnt_reg__0\(6),
R => s_bresp_acc0
);
\bresp_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(7),
Q => \bresp_cnt_reg__0\(7),
R => s_bresp_acc0
);
bresp_fifo_0: entity work.\gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(1) => \s_bresp_acc_reg_n_0_[1]\,
\in\(0) => \s_bresp_acc_reg_n_0_[0]\,
m_axi_bready => m_axi_bready,
m_axi_bvalid => m_axi_bvalid,
mhandshake => mhandshake,
mhandshake_r => mhandshake_r,
sel => bresp_push,
shandshake_r => shandshake_r,
\skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0)
);
bvalid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => bid_fifo_0_n_5,
Q => \^si_rs_bvalid\,
R => '0'
);
mhandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => mhandshake,
Q => mhandshake_r,
R => areset_d1
);
\s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EACEAAAA"
)
port map (
I0 => \s_bresp_acc_reg_n_0_[0]\,
I1 => m_axi_bresp(0),
I2 => m_axi_bresp(1),
I3 => \s_bresp_acc_reg_n_0_[1]\,
I4 => mhandshake,
I5 => s_bresp_acc0,
O => \s_bresp_acc[0]_i_1_n_0\
);
\s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00EC"
)
port map (
I0 => m_axi_bresp(1),
I1 => \s_bresp_acc_reg_n_0_[1]\,
I2 => mhandshake,
I3 => s_bresp_acc0,
O => \s_bresp_acc[1]_i_1_n_0\
);
\s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[0]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[0]\,
R => '0'
);
\s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[1]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[1]\,
R => '0'
);
shandshake_r_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^si_rs_bvalid\,
I1 => si_rs_bready,
O => shandshake
);
shandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => shandshake,
Q => shandshake_r,
R => areset_d1
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
port (
next_pending_r_reg : out STD_LOGIC;
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC;
\m_payload_i_reg[39]_0\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\m_payload_i_reg[47]_1\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator : entity is "axi_protocol_converter_v2_1_17_b2s_cmd_translator";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_16 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
begin
incr_cmd_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd
port map (
E(0) => E(0),
Q(0) => Q(0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[0]_0\ => sel_first_0,
\axaddr_incr_reg[11]_0\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_14,
\axlen_cnt_reg[2]_0\ => \axlen_cnt_reg[2]\,
incr_next_pending => incr_next_pending,
\m_axi_awaddr[11]\ => incr_cmd_0_n_15,
\m_axi_awaddr[5]\ => incr_cmd_0_n_16,
\m_payload_i_reg[46]\(9 downto 8) => \m_payload_i_reg[47]\(18 downto 17),
\m_payload_i_reg[46]\(7 downto 5) => \m_payload_i_reg[47]\(14 downto 12),
\m_payload_i_reg[46]\(4) => \m_payload_i_reg[47]\(5),
\m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\next\ => \next\,
next_pending_r_reg_0 => next_pending_r_reg,
sel_first_reg_0 => sel_first_reg_1,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(0) => \state_reg[1]_0\(0)
);
\memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => \m_payload_i_reg[47]\(15),
I2 => s_axburst_eq0,
O => \state_reg[1]_rep\
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]\,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]_0\,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
wrap_cmd_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
aclk => aclk,
\axaddr_incr_reg[11]\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_14,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[47]\(19 downto 15),
\m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[47]\(13 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_1\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
sel_first_reg_0 => sel_first,
sel_first_reg_1 => sel_first_reg_2,
sel_first_reg_2 => incr_cmd_0_n_15,
sel_first_reg_3 => incr_cmd_0_n_16,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
port (
sel_first_reg_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
sel_first_reg_1 : out STD_LOGIC;
\axlen_cnt_reg[0]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
r_rlast : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
sel_first_reg_3 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]_rep_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 );
sel_first_reg_4 : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_17_b2s_cmd_translator";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_3 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
signal wrap_cmd_0_n_6 : STD_LOGIC;
signal wrap_cmd_0_n_7 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair17";
begin
incr_cmd_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2
port map (
E(0) => E(0),
O(3 downto 0) => O(3 downto 0),
Q(10 downto 8) => Q(18 downto 16),
Q(7 downto 5) => Q(14 downto 12),
Q(4) => Q(5),
Q(3 downto 0) => Q(3 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_incr_reg[0]_0\ => sel_first,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_10,
\axlen_cnt_reg[0]_0\ => \axlen_cnt_reg[0]\,
incr_next_pending => incr_next_pending,
\m_axi_araddr[11]\ => incr_cmd_0_n_11,
\m_axi_araddr[1]\ => incr_cmd_0_n_15,
\m_axi_araddr[2]\ => incr_cmd_0_n_14,
\m_axi_araddr[3]\ => incr_cmd_0_n_13,
\m_axi_araddr[5]\ => incr_cmd_0_n_12,
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
sel_first_reg_0 => sel_first_reg_2,
sel_first_reg_1(0) => sel_first_reg_4(0),
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\ => \state_reg[1]_0\,
\state_reg[1]_0\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
r_rlast_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => s_axburst_eq0,
I1 => Q(15),
I2 => s_axburst_eq1,
O => r_rlast
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_6,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_7,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
\state[1]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => Q(15),
I2 => s_axburst_eq0,
O => \state_reg[0]_rep\
);
wrap_cmd_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
Q(18 downto 14) => Q(19 downto 15),
Q(13 downto 0) => Q(13 downto 0),
aclk => aclk,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_10,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
incr_next_pending => incr_next_pending,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
s_axburst_eq0_reg => wrap_cmd_0_n_6,
s_axburst_eq1_reg => wrap_cmd_0_n_7,
sel_first_i => sel_first_i,
sel_first_reg_0 => sel_first_reg_1,
sel_first_reg_1 => sel_first_reg_3,
sel_first_reg_2 => incr_cmd_0_n_11,
sel_first_reg_3 => incr_cmd_0_n_12,
sel_first_reg_4 => incr_cmd_0_n_13,
sel_first_reg_5 => incr_cmd_0_n_14,
sel_first_reg_6 => incr_cmd_0_n_15,
si_rs_arvalid => si_rs_arvalid,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_r_channel is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
\state_reg[1]_rep_0\ : in STD_LOGIC;
aclk : in STD_LOGIC;
r_rlast : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_r_channel : entity is "axi_protocol_converter_v2_1_17_b2s_r_channel";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_r_channel;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_r_channel is
signal \^m_valid_i_reg\ : STD_LOGIC;
signal r_push_r : STD_LOGIC;
signal rd_data_fifo_0_n_0 : STD_LOGIC;
signal rd_data_fifo_0_n_1 : STD_LOGIC;
signal rd_data_fifo_0_n_2 : STD_LOGIC;
signal rd_data_fifo_0_n_4 : STD_LOGIC;
signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 );
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\r_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => trans_in(1),
R => '0'
);
\r_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(10),
Q => trans_in(11),
R => '0'
);
\r_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(11),
Q => trans_in(12),
R => '0'
);
\r_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => trans_in(2),
R => '0'
);
\r_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => trans_in(3),
R => '0'
);
\r_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => trans_in(4),
R => '0'
);
\r_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(4),
Q => trans_in(5),
R => '0'
);
\r_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(5),
Q => trans_in(6),
R => '0'
);
\r_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(6),
Q => trans_in(7),
R => '0'
);
\r_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(7),
Q => trans_in(8),
R => '0'
);
\r_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(8),
Q => trans_in(9),
R => '0'
);
\r_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(9),
Q => trans_in(10),
R => '0'
);
r_push_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \state_reg[1]_rep_0\,
Q => r_push_r,
R => '0'
);
r_rlast_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => r_rlast,
Q => trans_in(0),
R => '0'
);
rd_data_fifo_0: entity work.\gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[4]_rep__0_0\ => \^m_valid_i_reg\,
\cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_1,
\cnt_read_reg[4]_rep__2_2\ => rd_data_fifo_0_n_2,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
\out\(33 downto 0) => \out\(33 downto 0),
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\state_reg[1]_rep\ => rd_data_fifo_0_n_4
);
transaction_fifo_0: entity work.\gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[0]_rep__3\ => rd_data_fifo_0_n_2,
\cnt_read_reg[0]_rep__3_0\ => rd_data_fifo_0_n_4,
\cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2\ => rd_data_fifo_0_n_1,
\in\(12 downto 0) => trans_in(12 downto 0),
m_valid_i_reg => \^m_valid_i_reg\,
r_push_r => r_push_r,
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axi_register_slice is
port (
s_axi_awready : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
si_rs_awvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
si_rs_bready : out STD_LOGIC;
si_rs_arvalid : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
si_rs_rready : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axlen_cnt_reg[3]_0\ : out STD_LOGIC;
\s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]_0\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : out STD_LOGIC;
next_pending_r_reg_0 : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
aclk : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_2\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_4\ : in STD_LOGIC;
\state_reg[0]_rep_0\ : in STD_LOGIC;
\state_reg[1]_rep_2\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axi_register_slice : entity is "axi_register_slice_v2_1_17_axi_register_slice";
end gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axi_register_slice;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axi_register_slice is
signal \ar.ar_pipe_n_2\ : STD_LOGIC;
signal \aw.aw_pipe_n_1\ : STD_LOGIC;
signal \aw.aw_pipe_n_90\ : STD_LOGIC;
begin
\ar.ar_pipe\: entity work.gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice
port map (
O(3 downto 0) => O(3 downto 0),
Q(54 downto 0) => \s_arid_r_reg[11]\(54 downto 0),
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[0]_0\ => \aw.aw_pipe_n_90\,
\axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
\axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
axaddr_offset_0(1 downto 0) => axaddr_offset_0(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset_0(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]_0\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_3\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_4\,
\axaddr_offset_r_reg[3]\ => si_rs_arvalid,
\axaddr_offset_r_reg[3]_0\(2 downto 0) => \axaddr_offset_r_reg[3]_1\(2 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_2\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\,
\m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
m_valid_i0 => m_valid_i0,
m_valid_i_reg_0 => \ar.ar_pipe_n_2\,
m_valid_i_reg_1(0) => m_valid_i_reg(0),
next_pending_r_reg => next_pending_r_reg_0,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_ready_i0 => s_ready_i0,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\(1 downto 0) => \state_reg[1]_0\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep_1\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_2\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]_0\,
\wrap_cnt_r_reg[3]\(1 downto 0) => \wrap_cnt_r_reg[3]_0\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]_0\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_2\(3 downto 0)
);
\aw.aw_pipe\: entity work.gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice_0
port map (
D(1 downto 0) => D(1 downto 0),
E(0) => E(0),
Q(54 downto 0) => Q(54 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
aresetn => aresetn,
\aresetn_d_reg[1]_inv\ => \aw.aw_pipe_n_90\,
\aresetn_d_reg[1]_inv_0\ => \ar.ar_pipe_n_2\,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(1 downto 0) => axaddr_offset(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_1\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_2\,
\axaddr_offset_r_reg[3]\(2 downto 0) => \axaddr_offset_r_reg[3]\(2 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\,
b_push => b_push,
m_valid_i_reg_0 => si_rs_awvalid,
next_pending_r_reg => next_pending_r_reg,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_ready_i_reg_0 => \aw.aw_pipe_n_1\,
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_0\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0)
);
\b.b_pipe\: entity work.\gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\out\(11 downto 0) => \out\(11 downto 0),
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0),
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[0]_0\ => si_rs_bready
);
\r.r_pipe\: entity work.\gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
\cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0),
\cnt_read_reg[4]_rep__0\ => \cnt_read_reg[4]_rep__0\,
r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0),
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\skid_buffer_reg[0]_0\ => si_rs_rready
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_ar_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
r_rlast : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_ar_channel : entity is "axi_protocol_converter_v2_1_17_b2s_ar_channel";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_ar_channel;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_ar_channel is
signal ar_cmd_fsm_0_n_0 : STD_LOGIC;
signal ar_cmd_fsm_0_n_10 : STD_LOGIC;
signal ar_cmd_fsm_0_n_16 : STD_LOGIC;
signal ar_cmd_fsm_0_n_6 : STD_LOGIC;
signal ar_cmd_fsm_0_n_8 : STD_LOGIC;
signal ar_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_10 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_3 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \^r_push_r_reg\ : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
r_push_r_reg <= \^r_push_r_reg\;
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
ar_cmd_fsm_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm
port map (
D(0) => ar_cmd_fsm_0_n_6,
E(0) => ar_cmd_fsm_0_n_8,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\axaddr_incr_reg[0]\(0) => ar_cmd_fsm_0_n_16,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axlen_cnt_reg[7]\ => ar_cmd_fsm_0_n_0,
\axlen_cnt_reg[7]_0\ => cmd_translator_0_n_3,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \m_payload_i_reg[0]\,
\m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]_0\,
\m_payload_i_reg[0]_1\(0) => E(0),
\m_payload_i_reg[46]\(0) => Q(18),
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
m_valid_i0 => m_valid_i0,
r_push_r_reg => \^r_push_r_reg\,
s_axburst_eq1_reg => cmd_translator_0_n_10,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => s_ready_i0,
s_ready_i_reg => s_ready_i_reg,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => ar_cmd_fsm_0_n_9,
sel_first_reg_0 => ar_cmd_fsm_0_n_10,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => cmd_translator_0_n_0,
si_rs_arvalid => si_rs_arvalid,
\wrap_boundary_axaddr_r_reg[11]\(0) => \^wrap_boundary_axaddr_r_reg[11]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
O(3 downto 0) => O(3 downto 0),
Q(19 downto 0) => Q(19 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[0]\ => cmd_translator_0_n_3,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => ar_cmd_fsm_0_n_8,
r_rlast => r_rlast,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_0,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => ar_cmd_fsm_0_n_10,
sel_first_reg_3 => ar_cmd_fsm_0_n_9,
sel_first_reg_4(0) => ar_cmd_fsm_0_n_16,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => cmd_translator_0_n_10,
\state_reg[0]_rep_0\ => \^m_payload_i_reg[0]_0\,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\ => ar_cmd_fsm_0_n_0,
\state_reg[1]_rep\ => \^r_push_r_reg\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 1) => D(2 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[3]_1\(2 downto 1) => \wrap_second_len_r_reg[3]_0\(1 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_6
);
\s_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \r_arid_r_reg[11]\(0),
R => '0'
);
\s_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \r_arid_r_reg[11]\(10),
R => '0'
);
\s_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \r_arid_r_reg[11]\(11),
R => '0'
);
\s_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \r_arid_r_reg[11]\(1),
R => '0'
);
\s_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \r_arid_r_reg[11]\(2),
R => '0'
);
\s_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \r_arid_r_reg[11]\(3),
R => '0'
);
\s_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \r_arid_r_reg[11]\(4),
R => '0'
);
\s_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \r_arid_r_reg[11]\(5),
R => '0'
);
\s_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \r_arid_r_reg[11]\(6),
R => '0'
);
\s_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \r_arid_r_reg[11]\(7),
R => '0'
);
\s_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \r_arid_r_reg[11]\(8),
R => '0'
);
\s_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \r_arid_r_reg[11]\(9),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_aw_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\in\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_aw_channel : entity is "axi_protocol_converter_v2_1_17_b2s_aw_channel";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_aw_channel;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_aw_channel is
signal aw_cmd_fsm_0_n_12 : STD_LOGIC;
signal aw_cmd_fsm_0_n_14 : STD_LOGIC;
signal aw_cmd_fsm_0_n_15 : STD_LOGIC;
signal aw_cmd_fsm_0_n_16 : STD_LOGIC;
signal aw_cmd_fsm_0_n_2 : STD_LOGIC;
signal aw_cmd_fsm_0_n_8 : STD_LOGIC;
signal aw_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_12 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_5 : STD_LOGIC;
signal cmd_translator_0_n_6 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal \next\ : STD_LOGIC;
signal sel_first : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
aw_cmd_fsm_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm
port map (
D(0) => wrap_cnt(0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_wrap_reg[11]\(0) => aw_cmd_fsm_0_n_14,
\axlen_cnt_reg[0]\(0) => aw_cmd_fsm_0_n_8,
\axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_5,
\axlen_cnt_reg[7]\ => \axlen_cnt_reg[7]\,
\axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]_0\,
\axlen_cnt_reg[7]_1\ => aw_cmd_fsm_0_n_2,
\axlen_cnt_reg[7]_2\ => cmd_translator_0_n_6,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
incr_next_pending => incr_next_pending,
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[0]\(0) => E(0),
\m_payload_i_reg[46]\(2) => Q(18),
\m_payload_i_reg[46]\(1 downto 0) => Q(16 downto 15),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
s_axburst_eq0_reg => aw_cmd_fsm_0_n_9,
s_axburst_eq1_reg => aw_cmd_fsm_0_n_12,
s_axburst_eq1_reg_0 => cmd_translator_0_n_12,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => aw_cmd_fsm_0_n_15,
sel_first_reg_0 => aw_cmd_fsm_0_n_16,
sel_first_reg_1 => cmd_translator_0_n_2,
si_rs_awvalid => si_rs_awvalid,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(0) => cmd_translator_0_n_5,
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[2]\ => cmd_translator_0_n_6,
incr_next_pending => incr_next_pending,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_9,
\m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_12,
\m_payload_i_reg[47]\(19 downto 0) => Q(19 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_1\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_2,
sel_first_reg_1 => aw_cmd_fsm_0_n_16,
sel_first_reg_2 => aw_cmd_fsm_0_n_15,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => aw_cmd_fsm_0_n_14,
\state_reg[0]_rep\ => aw_cmd_fsm_0_n_2,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\(0) => aw_cmd_fsm_0_n_8,
\state_reg[1]_rep\ => cmd_translator_0_n_12,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 1) => D(1 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => wrap_cnt(0),
\wrap_second_len_r_reg[3]_1\(3 downto 1) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => \wrap_cmd_0/wrap_second_len\(0)
);
\s_awid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \in\(4),
R => '0'
);
\s_awid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \in\(14),
R => '0'
);
\s_awid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \in\(15),
R => '0'
);
\s_awid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \in\(5),
R => '0'
);
\s_awid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \in\(6),
R => '0'
);
\s_awid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \in\(7),
R => '0'
);
\s_awid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \in\(8),
R => '0'
);
\s_awid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \in\(9),
R => '0'
);
\s_awid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \in\(10),
R => '0'
);
\s_awid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \in\(11),
R => '0'
);
\s_awid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \in\(12),
R => '0'
);
\s_awid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \in\(13),
R => '0'
);
\s_awlen_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(16),
Q => \in\(0),
R => '0'
);
\s_awlen_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(17),
Q => \in\(1),
R => '0'
);
\s_awlen_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(18),
Q => \in\(2),
R => '0'
);
\s_awlen_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(19),
Q => \in\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s is
port (
s_axi_rvalid : out STD_LOGIC;
s_axi_awready : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_arready : out STD_LOGIC;
\m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_bvalid : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awready : in STD_LOGIC;
m_axi_arready : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
aclk : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awvalid : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
aresetn : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s : entity is "axi_protocol_converter_v2_1_17_b2s";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s is
signal \RD.ar_channel_0_n_0\ : STD_LOGIC;
signal \RD.ar_channel_0_n_10\ : STD_LOGIC;
signal \RD.ar_channel_0_n_11\ : STD_LOGIC;
signal \RD.ar_channel_0_n_16\ : STD_LOGIC;
signal \RD.ar_channel_0_n_3\ : STD_LOGIC;
signal \RD.ar_channel_0_n_4\ : STD_LOGIC;
signal \RD.ar_channel_0_n_46\ : STD_LOGIC;
signal \RD.ar_channel_0_n_47\ : STD_LOGIC;
signal \RD.ar_channel_0_n_48\ : STD_LOGIC;
signal \RD.ar_channel_0_n_49\ : STD_LOGIC;
signal \RD.ar_channel_0_n_5\ : STD_LOGIC;
signal \RD.r_channel_0_n_0\ : STD_LOGIC;
signal \RD.r_channel_0_n_1\ : STD_LOGIC;
signal SI_REG_n_132 : STD_LOGIC;
signal SI_REG_n_133 : STD_LOGIC;
signal SI_REG_n_134 : STD_LOGIC;
signal SI_REG_n_135 : STD_LOGIC;
signal SI_REG_n_136 : STD_LOGIC;
signal SI_REG_n_137 : STD_LOGIC;
signal SI_REG_n_138 : STD_LOGIC;
signal SI_REG_n_139 : STD_LOGIC;
signal SI_REG_n_140 : STD_LOGIC;
signal SI_REG_n_141 : STD_LOGIC;
signal SI_REG_n_142 : STD_LOGIC;
signal SI_REG_n_143 : STD_LOGIC;
signal SI_REG_n_149 : STD_LOGIC;
signal SI_REG_n_153 : STD_LOGIC;
signal SI_REG_n_154 : STD_LOGIC;
signal SI_REG_n_155 : STD_LOGIC;
signal SI_REG_n_156 : STD_LOGIC;
signal SI_REG_n_157 : STD_LOGIC;
signal SI_REG_n_161 : STD_LOGIC;
signal SI_REG_n_165 : STD_LOGIC;
signal SI_REG_n_166 : STD_LOGIC;
signal SI_REG_n_167 : STD_LOGIC;
signal SI_REG_n_168 : STD_LOGIC;
signal SI_REG_n_169 : STD_LOGIC;
signal SI_REG_n_170 : STD_LOGIC;
signal SI_REG_n_171 : STD_LOGIC;
signal SI_REG_n_172 : STD_LOGIC;
signal SI_REG_n_173 : STD_LOGIC;
signal SI_REG_n_174 : STD_LOGIC;
signal SI_REG_n_175 : STD_LOGIC;
signal SI_REG_n_176 : STD_LOGIC;
signal SI_REG_n_177 : STD_LOGIC;
signal SI_REG_n_178 : STD_LOGIC;
signal SI_REG_n_179 : STD_LOGIC;
signal SI_REG_n_180 : STD_LOGIC;
signal SI_REG_n_181 : STD_LOGIC;
signal SI_REG_n_182 : STD_LOGIC;
signal SI_REG_n_26 : STD_LOGIC;
signal SI_REG_n_64 : STD_LOGIC;
signal SI_REG_n_8 : STD_LOGIC;
signal SI_REG_n_82 : STD_LOGIC;
signal \WR.aw_channel_0_n_0\ : STD_LOGIC;
signal \WR.aw_channel_0_n_10\ : STD_LOGIC;
signal \WR.aw_channel_0_n_15\ : STD_LOGIC;
signal \WR.aw_channel_0_n_3\ : STD_LOGIC;
signal \WR.aw_channel_0_n_4\ : STD_LOGIC;
signal \WR.aw_channel_0_n_47\ : STD_LOGIC;
signal \WR.aw_channel_0_n_48\ : STD_LOGIC;
signal \WR.aw_channel_0_n_49\ : STD_LOGIC;
signal \WR.aw_channel_0_n_50\ : STD_LOGIC;
signal \WR.aw_channel_0_n_9\ : STD_LOGIC;
signal \WR.b_channel_0_n_1\ : STD_LOGIC;
signal \WR.b_channel_0_n_2\ : STD_LOGIC;
signal \ar.ar_pipe/m_valid_i0\ : STD_LOGIC;
signal \ar.ar_pipe/p_1_in\ : STD_LOGIC;
signal \ar.ar_pipe/s_ready_i0\ : STD_LOGIC;
signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal areset_d1 : STD_LOGIC;
signal areset_d1_i_1_n_0 : STD_LOGIC;
signal \aw.aw_pipe/p_1_in\ : STD_LOGIC;
signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axaddr_incr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal b_push : STD_LOGIC;
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal r_rlast : STD_LOGIC;
signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \^s_axi_arready\ : STD_LOGIC;
signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_arvalid : STD_LOGIC;
signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_awvalid : STD_LOGIC;
signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_bready : STD_LOGIC;
signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_bvalid : STD_LOGIC;
signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 );
signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_rlast : STD_LOGIC;
signal si_rs_rready : STD_LOGIC;
signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 2 );
begin
s_axi_arready <= \^s_axi_arready\;
\RD.ar_channel_0\: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_ar_channel
port map (
D(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
E(0) => \ar.ar_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(31 downto 20) => s_arid(11 downto 0),
Q(19 downto 16) => si_rs_arlen(3 downto 0),
Q(15) => si_rs_arburst(1),
Q(14) => SI_REG_n_82,
Q(13 downto 12) => si_rs_arsize(1 downto 0),
Q(11 downto 0) => si_rs_araddr(11 downto 0),
S(3) => \RD.ar_channel_0_n_46\,
S(2) => \RD.ar_channel_0_n_47\,
S(1) => \RD.ar_channel_0_n_48\,
S(0) => \RD.ar_channel_0_n_49\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_161,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_165,
\cnt_read_reg[2]_rep__0\ => \RD.r_channel_0_n_1\,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \RD.ar_channel_0_n_4\,
\m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_5\,
\m_payload_i_reg[3]\(3) => SI_REG_n_132,
\m_payload_i_reg[3]\(2) => SI_REG_n_133,
\m_payload_i_reg[3]\(1) => SI_REG_n_134,
\m_payload_i_reg[3]\(0) => SI_REG_n_135,
\m_payload_i_reg[47]\ => SI_REG_n_64,
\m_payload_i_reg[47]_0\ => SI_REG_n_167,
\m_payload_i_reg[5]\ => SI_REG_n_166,
\m_payload_i_reg[6]\(6) => SI_REG_n_176,
\m_payload_i_reg[6]\(5) => SI_REG_n_177,
\m_payload_i_reg[6]\(4) => SI_REG_n_178,
\m_payload_i_reg[6]\(3) => SI_REG_n_179,
\m_payload_i_reg[6]\(2) => SI_REG_n_180,
\m_payload_i_reg[6]\(1) => SI_REG_n_181,
\m_payload_i_reg[6]\(0) => SI_REG_n_182,
\m_payload_i_reg[7]\(3) => SI_REG_n_136,
\m_payload_i_reg[7]\(2) => SI_REG_n_137,
\m_payload_i_reg[7]\(1) => SI_REG_n_138,
\m_payload_i_reg[7]\(0) => SI_REG_n_139,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
\r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0),
r_push_r_reg => \RD.ar_channel_0_n_3\,
r_rlast => r_rlast,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
s_ready_i_reg => \^s_axi_arready\,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \RD.ar_channel_0_n_10\,
\wrap_cnt_r_reg[3]_0\ => \RD.ar_channel_0_n_11\,
\wrap_cnt_r_reg[3]_1\ => \RD.ar_channel_0_n_16\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_157
);
\RD.r_channel_0\: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_r_channel
port map (
D(11 downto 0) => s_arid_r(11 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
m_valid_i_reg => \RD.r_channel_0_n_0\,
\out\(33 downto 32) => si_rs_rresp(1 downto 0),
\out\(31 downto 0) => si_rs_rdata(31 downto 0),
r_rlast => r_rlast,
s_ready_i_reg => SI_REG_n_168,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0),
\skid_buffer_reg[46]\(0) => si_rs_rlast,
\state_reg[1]_rep\ => \RD.r_channel_0_n_1\,
\state_reg[1]_rep_0\ => \RD.ar_channel_0_n_3\
);
SI_REG: entity work.gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axi_register_slice
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(54 downto 43) => s_awid(11 downto 0),
Q(42 downto 39) => si_rs_awlen(3 downto 0),
Q(38) => si_rs_awburst(1),
Q(37) => SI_REG_n_26,
Q(36 downto 35) => si_rs_awsize(1 downto 0),
Q(34 downto 12) => Q(22 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
aresetn => aresetn,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[3]\(3) => SI_REG_n_132,
\axaddr_incr_reg[3]\(2) => SI_REG_n_133,
\axaddr_incr_reg[3]\(1) => SI_REG_n_134,
\axaddr_incr_reg[3]\(0) => SI_REG_n_135,
\axaddr_incr_reg[7]\(3) => SI_REG_n_136,
\axaddr_incr_reg[7]\(2) => SI_REG_n_137,
\axaddr_incr_reg[7]\(1) => SI_REG_n_138,
\axaddr_incr_reg[7]\(0) => SI_REG_n_139,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
axaddr_offset_0(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset_0(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\ => SI_REG_n_154,
\axaddr_offset_r_reg[2]_0\ => SI_REG_n_166,
\axaddr_offset_r_reg[2]_1\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[2]_2\ => \WR.aw_channel_0_n_15\,
\axaddr_offset_r_reg[2]_3\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[2]_4\ => \RD.ar_channel_0_n_16\,
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\axaddr_offset_r_reg[3]_1\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]_1\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_2\ => \RD.ar_channel_0_n_11\,
\axlen_cnt_reg[3]\ => SI_REG_n_8,
\axlen_cnt_reg[3]_0\ => SI_REG_n_64,
b_push => b_push,
\cnt_read_reg[2]_rep__0\ => SI_REG_n_168,
\cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0),
\cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0),
\cnt_read_reg[4]_rep__0\ => \RD.r_channel_0_n_0\,
\m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_46\,
\m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_47\,
\m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_48\,
\m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_49\,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
m_valid_i_reg(0) => \ar.ar_pipe/p_1_in\,
next_pending_r_reg => SI_REG_n_155,
next_pending_r_reg_0 => SI_REG_n_167,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0),
r_push_r_reg(0) => si_rs_rlast,
\s_arid_r_reg[11]\(54 downto 43) => s_arid(11 downto 0),
\s_arid_r_reg[11]\(42 downto 39) => si_rs_arlen(3 downto 0),
\s_arid_r_reg[11]\(38) => si_rs_arburst(1),
\s_arid_r_reg[11]\(37) => SI_REG_n_82,
\s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0),
\s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0),
\s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0),
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => \^s_axi_arready\,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0),
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
si_rs_arvalid => si_rs_arvalid,
si_rs_awvalid => si_rs_awvalid,
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
si_rs_rready => si_rs_rready,
\state_reg[0]_rep\ => \WR.aw_channel_0_n_4\,
\state_reg[0]_rep_0\ => \RD.ar_channel_0_n_5\,
\state_reg[1]\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_0\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_rep\ => \WR.aw_channel_0_n_0\,
\state_reg[1]_rep_0\ => \WR.aw_channel_0_n_3\,
\state_reg[1]_rep_1\ => \RD.ar_channel_0_n_0\,
\state_reg[1]_rep_2\ => \RD.ar_channel_0_n_4\,
\wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_169,
\wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_170,
\wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_171,
\wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_172,
\wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_173,
\wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_174,
\wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_175,
\wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_176,
\wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_177,
\wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_178,
\wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_179,
\wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_180,
\wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_181,
\wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_182,
\wrap_cnt_r_reg[2]\ => SI_REG_n_149,
\wrap_cnt_r_reg[2]_0\ => SI_REG_n_161,
\wrap_cnt_r_reg[3]\ => SI_REG_n_153,
\wrap_cnt_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_cnt_r_reg[3]_0\(0) => SI_REG_n_157,
\wrap_cnt_r_reg[3]_1\ => SI_REG_n_165,
\wrap_second_len_r_reg[1]\ => \WR.aw_channel_0_n_9\,
\wrap_second_len_r_reg[1]_0\ => \RD.ar_channel_0_n_10\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0)
);
\WR.aw_channel_0\: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_aw_channel
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
Q(31 downto 20) => s_awid(11 downto 0),
Q(19 downto 16) => si_rs_awlen(3 downto 0),
Q(15) => si_rs_awburst(1),
Q(14) => SI_REG_n_26,
Q(13 downto 12) => si_rs_awsize(1 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_149,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_153,
\axlen_cnt_reg[7]\ => \WR.aw_channel_0_n_3\,
\axlen_cnt_reg[7]_0\ => \WR.aw_channel_0_n_4\,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[47]\ => SI_REG_n_8,
\m_payload_i_reg[47]_0\ => SI_REG_n_155,
\m_payload_i_reg[5]\ => SI_REG_n_154,
\m_payload_i_reg[6]\(6) => SI_REG_n_169,
\m_payload_i_reg[6]\(5) => SI_REG_n_170,
\m_payload_i_reg[6]\(4) => SI_REG_n_171,
\m_payload_i_reg[6]\(3) => SI_REG_n_172,
\m_payload_i_reg[6]\(2) => SI_REG_n_173,
\m_payload_i_reg[6]\(1) => SI_REG_n_174,
\m_payload_i_reg[6]\(0) => SI_REG_n_175,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]_rep\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \WR.aw_channel_0_n_9\,
\wrap_cnt_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\wrap_cnt_r_reg[3]_1\ => \WR.aw_channel_0_n_15\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1)
);
\WR.b_channel_0\: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_b_channel
port map (
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0)
);
areset_d1_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aresetn,
O => areset_d1_i_1_n_0
);
areset_d1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => areset_d1_i_1_n_0,
Q => areset_d1,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "yes";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "axi_protocol_converter_v2_1_17_axi_protocol_converter";
attribute P_AXI3 : integer;
attribute P_AXI3 of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_DECERR : string;
attribute P_DECERR of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b11";
attribute P_INCR : string;
attribute P_INCR of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b10";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axi_wready\ : STD_LOGIC;
signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^s_axi_wvalid\ : STD_LOGIC;
begin
\^m_axi_wready\ <= m_axi_wready;
\^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0);
\^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0);
\^s_axi_wvalid\ <= s_axi_wvalid;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const1>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(11) <= \<const0>\;
m_axi_arid(10) <= \<const0>\;
m_axi_arid(9) <= \<const0>\;
m_axi_arid(8) <= \<const0>\;
m_axi_arid(7) <= \<const0>\;
m_axi_arid(6) <= \<const0>\;
m_axi_arid(5) <= \<const0>\;
m_axi_arid(4) <= \<const0>\;
m_axi_arid(3) <= \<const0>\;
m_axi_arid(2) <= \<const0>\;
m_axi_arid(1) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const1>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const1>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(11) <= \<const0>\;
m_axi_awid(10) <= \<const0>\;
m_axi_awid(9) <= \<const0>\;
m_axi_awid(8) <= \<const0>\;
m_axi_awid(7) <= \<const0>\;
m_axi_awid(6) <= \<const0>\;
m_axi_awid(5) <= \<const0>\;
m_axi_awid(4) <= \<const0>\;
m_axi_awid(3) <= \<const0>\;
m_axi_awid(2) <= \<const0>\;
m_axi_awid(1) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const1>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0);
m_axi_wid(11) <= \<const0>\;
m_axi_wid(10) <= \<const0>\;
m_axi_wid(9) <= \<const0>\;
m_axi_wid(8) <= \<const0>\;
m_axi_wid(7) <= \<const0>\;
m_axi_wid(6) <= \<const0>\;
m_axi_wid(5) <= \<const0>\;
m_axi_wid(4) <= \<const0>\;
m_axi_wid(3) <= \<const0>\;
m_axi_wid(2) <= \<const0>\;
m_axi_wid(1) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const1>\;
m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0);
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \^s_axi_wvalid\;
s_axi_buser(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_wready <= \^m_axi_wready\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s
port map (
Q(22 downto 20) => m_axi_awprot(2 downto 0),
Q(19 downto 0) => m_axi_awaddr(31 downto 12),
aclk => aclk,
aresetn => aresetn,
\in\(33 downto 32) => m_axi_rresp(1 downto 0),
\in\(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0),
\m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0),
\s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0),
\s_axi_rid[11]\(34) => s_axi_rlast,
\s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0),
\s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of gcd_block_design_auto_pc_1 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of gcd_block_design_auto_pc_1 : entity is "gcd_block_design_auto_pc_1,axi_protocol_converter_v2_1_17_axi_protocol_converter,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of gcd_block_design_auto_pc_1 : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of gcd_block_design_auto_pc_1 : entity is "axi_protocol_converter_v2_1_17_axi_protocol_converter,Vivado 2018.2";
end gcd_block_design_auto_pc_1;
architecture STRUCTURE of gcd_block_design_auto_pc_1 is
signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of inst : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of inst : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of inst : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of inst : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of inst : label is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of inst : label is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of inst : label is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of inst : label is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of inst : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of inst : label is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of inst : label is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of inst : label is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of inst : label is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of inst : label is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of inst : label is 2;
attribute DowngradeIPIdentifiedWarnings of inst : label is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of inst : label is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of inst : label is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of inst : label is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of inst : label is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of inst : label is 2;
attribute P_DECERR : string;
attribute P_DECERR of inst : label is "2'b11";
attribute P_INCR : string;
attribute P_INCR of inst : label is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of inst : label is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of inst : label is "2'b10";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of aclk : signal is "xilinx.com:signal:clock:1.0 CLK CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of aclk : signal is "XIL_INTERFACENAME CLK, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0, ASSOCIATED_BUSIF S_AXI:M_AXI, ASSOCIATED_RESET ARESETN";
attribute X_INTERFACE_INFO of aresetn : signal is "xilinx.com:signal:reset:1.0 RST RST";
attribute X_INTERFACE_PARAMETER of aresetn : signal is "XIL_INTERFACENAME RST, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
attribute X_INTERFACE_INFO of m_axi_arready : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARREADY";
attribute X_INTERFACE_INFO of m_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARVALID";
attribute X_INTERFACE_INFO of m_axi_awready : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWREADY";
attribute X_INTERFACE_INFO of m_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWVALID";
attribute X_INTERFACE_INFO of m_axi_bready : signal is "xilinx.com:interface:aximm:1.0 M_AXI BREADY";
attribute X_INTERFACE_INFO of m_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI BVALID";
attribute X_INTERFACE_INFO of m_axi_rready : signal is "xilinx.com:interface:aximm:1.0 M_AXI RREADY";
attribute X_INTERFACE_PARAMETER of m_axi_rready : signal is "XIL_INTERFACENAME M_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of m_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI RVALID";
attribute X_INTERFACE_INFO of m_axi_wready : signal is "xilinx.com:interface:aximm:1.0 M_AXI WREADY";
attribute X_INTERFACE_INFO of m_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI WVALID";
attribute X_INTERFACE_INFO of s_axi_arready : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
attribute X_INTERFACE_INFO of s_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
attribute X_INTERFACE_INFO of s_axi_awready : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
attribute X_INTERFACE_INFO of s_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
attribute X_INTERFACE_INFO of s_axi_bready : signal is "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
attribute X_INTERFACE_INFO of s_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
attribute X_INTERFACE_INFO of s_axi_rlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI RLAST";
attribute X_INTERFACE_INFO of s_axi_rready : signal is "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_rready : signal is "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 100000000, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
attribute X_INTERFACE_INFO of s_axi_wlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI WLAST";
attribute X_INTERFACE_INFO of s_axi_wready : signal is "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
attribute X_INTERFACE_INFO of s_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
attribute X_INTERFACE_INFO of m_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARADDR";
attribute X_INTERFACE_INFO of m_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARPROT";
attribute X_INTERFACE_INFO of m_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWADDR";
attribute X_INTERFACE_INFO of m_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWPROT";
attribute X_INTERFACE_INFO of m_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI BRESP";
attribute X_INTERFACE_INFO of m_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI RDATA";
attribute X_INTERFACE_INFO of m_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI RRESP";
attribute X_INTERFACE_INFO of m_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI WDATA";
attribute X_INTERFACE_INFO of m_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 M_AXI WSTRB";
attribute X_INTERFACE_INFO of s_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
attribute X_INTERFACE_INFO of s_axi_arburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARBURST";
attribute X_INTERFACE_INFO of s_axi_arcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE";
attribute X_INTERFACE_INFO of s_axi_arid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARID";
attribute X_INTERFACE_INFO of s_axi_arlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLEN";
attribute X_INTERFACE_INFO of s_axi_arlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK";
attribute X_INTERFACE_INFO of s_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARPROT";
attribute X_INTERFACE_INFO of s_axi_arqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARQOS";
attribute X_INTERFACE_INFO of s_axi_arsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE";
attribute X_INTERFACE_INFO of s_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
attribute X_INTERFACE_INFO of s_axi_awburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWBURST";
attribute X_INTERFACE_INFO of s_axi_awcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE";
attribute X_INTERFACE_INFO of s_axi_awid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWID";
attribute X_INTERFACE_INFO of s_axi_awlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLEN";
attribute X_INTERFACE_INFO of s_axi_awlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK";
attribute X_INTERFACE_INFO of s_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWPROT";
attribute X_INTERFACE_INFO of s_axi_awqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWQOS";
attribute X_INTERFACE_INFO of s_axi_awsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE";
attribute X_INTERFACE_INFO of s_axi_bid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BID";
attribute X_INTERFACE_INFO of s_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
attribute X_INTERFACE_INFO of s_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
attribute X_INTERFACE_INFO of s_axi_rid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RID";
attribute X_INTERFACE_INFO of s_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
attribute X_INTERFACE_INFO of s_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
attribute X_INTERFACE_INFO of s_axi_wid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WID";
attribute X_INTERFACE_INFO of s_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
begin
inst: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter
port map (
aclk => aclk,
aresetn => aresetn,
m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0),
m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0),
m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => m_axi_awvalid,
m_axi_bid(11 downto 0) => B"000000000000",
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_buser(0) => '0',
m_axi_bvalid => m_axi_bvalid,
m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_rid(11 downto 0) => B"000000000000",
m_axi_rlast => '1',
m_axi_rready => m_axi_rready,
m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0),
m_axi_ruser(0) => '0',
m_axi_rvalid => m_axi_rvalid,
m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0),
m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0),
m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED,
m_axi_wready => m_axi_wready,
m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0),
m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => m_axi_wvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0),
s_axi_aruser(0) => '0',
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0),
s_axi_awuser(0) => '0',
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0),
s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wuser(0) => '0',
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
| mit | 14ad9f30fcfad56ab548c0af092ab1b5 | 0.536337 | 2.548237 | false | false | false | false |
elionne/easy_bitcoin_wallet | testbench_recursive_stack.vhdl | 1 | 5,829 |
library IEEE;
use IEEE.std_logic_1164.all;
entity testbench_recursive_stack is
end testbench_recursive_stack;
architecture testbench_arch_recursive_stack of testbench_recursive_stack is
signal clk : std_logic;
signal enable : std_logic;
signal push_pop : std_logic;
signal index_in, index_out : natural;
component recursive_stack
generic ( size: natural);
port (
data_in : in natural;
data_out : out natural;
enable : in std_logic;
push_pop: in std_logic;
clk : in std_logic
);
end component;
begin
stack : recursive_stack generic map(size => 5) port map (
data_in => index_in,
data_out => index_out,
enable => enable,
push_pop => push_pop,
clk => clk
);
process
begin
-- --------------------
clk <= transport '0';
push_pop <= transport '1';
enable <= transport '1';
-- --------------------
WAIT FOR 110 ns;
clk <= transport '1';
index_in <= transport 100;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
assert index_out = 100;
clk <= transport '1';
index_in <= transport 101;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
assert index_out = 101;
clk <= transport '1';
index_in <= transport 102;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
assert index_out = 102;
clk <= transport '1';
enable <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
index_in <= transport 152;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
index_in <= transport 153;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
assert index_out = 102;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
enable <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
assert index_out = 153;
clk <= transport '1';
index_in <= transport 103;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
assert index_in = 103;
clk <= transport '1';
push_pop <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
assert index_out = 153;
clk <= transport '1';
index_in <= transport 104;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
push_pop <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
index_in <= transport 105;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
index_in <= transport 106;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
index_in <= transport 107;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
index_in <= transport 108;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
index_in <= transport 109;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
index_in <= transport 110;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT;
END PROCESS;
end testbench_arch_recursive_stack;
| mit | 7466d9388254c7cd2f74378813c22918 | 0.36387 | 4.865609 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.cache/ip/2018.2/69064d299950f876/gcd_zynq_snick_auto_pc_0_sim_netlist.vhdl | 1 | 517,961 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Tue Sep 17 19:45:27 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ gcd_zynq_snick_auto_pc_0_sim_netlist.vhdl
-- Design : gcd_zynq_snick_auto_pc_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg400-3
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
port (
next_pending_r_reg_0 : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 10 downto 0 );
\m_axi_awaddr[11]\ : out STD_LOGIC;
\m_axi_awaddr[5]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \axaddr_incr[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 10 downto 0 );
signal \axaddr_incr_reg[11]_i_4_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[2]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal next_pending_r_i_5_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \m_axi_awaddr[11]_INST_0_i_1\ : label is "soft_lutpair113";
attribute SOFT_HLUTNM of \m_axi_awaddr[5]_INST_0_i_1\ : label is "soft_lutpair113";
begin
Q(0) <= \^q\(0);
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(10 downto 0) <= \^axaddr_incr_reg[11]_0\(10 downto 0);
\axlen_cnt_reg[2]_0\ <= \^axlen_cnt_reg[2]_0\;
\axaddr_incr[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_7\,
O => \axaddr_incr[0]_i_1_n_0\
);
\axaddr_incr[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(10),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_5\,
O => \axaddr_incr[10]_i_1_n_0\
);
\axaddr_incr[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \next\,
O => \axaddr_incr[11]_i_1_n_0\
);
\axaddr_incr[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(11),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_4\,
O => \axaddr_incr[11]_i_2_n_0\
);
\axaddr_incr[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_6\,
O => \axaddr_incr[1]_i_1_n_0\
);
\axaddr_incr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_5\,
O => \axaddr_incr[2]_i_1_n_0\
);
\axaddr_incr[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_4\,
O => \axaddr_incr[3]_i_1_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"0102"
)
port map (
I0 => \m_payload_i_reg[46]\(0),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(3),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(2),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(1),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"262A"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"060A"
)
port map (
I0 => \m_payload_i_reg[46]\(1),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
I3 => \next\,
O => S(1)
);
\axaddr_incr[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(4),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_7\,
O => \axaddr_incr[4]_i_1_n_0\
);
\axaddr_incr[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(5),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_6\,
O => \axaddr_incr[5]_i_1_n_0\
);
\axaddr_incr[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(6),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_5\,
O => \axaddr_incr[6]_i_1_n_0\
);
\axaddr_incr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(7),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_4\,
O => \axaddr_incr[7]_i_1_n_0\
);
\axaddr_incr[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(8),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_7\,
O => \axaddr_incr[8]_i_1_n_0\
);
\axaddr_incr[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(9),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_6\,
O => \axaddr_incr[9]_i_1_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[0]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[10]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(9),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[11]_i_2_n_0\,
Q => \^axaddr_incr_reg[11]_0\(10),
R => '0'
);
\axaddr_incr_reg[11]_i_4\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(10 downto 7)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[1]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[2]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[3]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[3]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => \^axaddr_incr_reg[11]_0\(3 downto 0),
O(3) => \axaddr_incr_reg[3]_i_3_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[4]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[5]_i_1_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[6]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[7]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[7]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(6 downto 5),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(4)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[8]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[9]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(8),
R => '0'
);
\axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(8),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[1]_i_1__0_n_0\
);
\axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \^q\(0),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[2]_0\,
I4 => E(0),
I5 => \m_payload_i_reg[46]\(9),
O => \axlen_cnt[2]_i_1_n_0\
);
\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
I5 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[3]_i_2_n_0\
);
\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
O => \axlen_cnt[4]_i_1_n_0\
);
\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \^q\(0),
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[5]_i_1_n_0\
);
\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[6]_i_1_n_0\
);
\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[7]_i_2_n_0\
);
\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[7]_i_3_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \state_reg[1]\(0),
Q => \^q\(0),
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[4]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[5]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[6]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[7]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[0]_rep\
);
\m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \m_payload_i_reg[46]\(7),
O => \m_axi_awaddr[11]\
);
\m_axi_awaddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => \m_payload_i_reg[46]\(7),
I3 => \m_payload_i_reg[46]\(4),
O => \m_axi_awaddr[5]\
);
\next_pending_r_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => next_pending_r_i_5_n_0,
O => \^axlen_cnt_reg[2]_0\
);
next_pending_r_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[1]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
O => next_pending_r_i_5_n_0
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => incr_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
port (
incr_next_pending : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
\axlen_cnt_reg[0]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\m_axi_araddr[11]\ : out STD_LOGIC;
\m_axi_araddr[5]\ : out STD_LOGIC;
\m_axi_araddr[3]\ : out STD_LOGIC;
\m_axi_araddr[2]\ : out STD_LOGIC;
\m_axi_araddr[1]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 10 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_17_b2s_incr_cmd";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
signal \axaddr_incr[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \axaddr_incr_reg[11]_i_4__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[0]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \next_pending_r_i_2__0_n_0\ : STD_LOGIC;
signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_4\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \m_axi_araddr[11]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \m_axi_araddr[1]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \next_pending_r_i_2__0\ : label is "soft_lutpair7";
begin
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(7 downto 0) <= \^axaddr_incr_reg[11]_0\(7 downto 0);
\axlen_cnt_reg[0]_0\ <= \^axlen_cnt_reg[0]_0\;
incr_next_pending <= \^incr_next_pending\;
\axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_7\,
O => \axaddr_incr[0]_i_1__0_n_0\
);
\axaddr_incr[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_5\,
O => \axaddr_incr[10]_i_1__0_n_0\
);
\axaddr_incr[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_4\,
O => \axaddr_incr[11]_i_2__0_n_0\
);
\axaddr_incr[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_6\,
O => \axaddr_incr[1]_i_1__0_n_0\
);
\axaddr_incr[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_5\,
O => \axaddr_incr[2]_i_1__0_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"0201020202020202"
)
port map (
I0 => Q(0),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[3]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[2]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[1]\,
I1 => Q(6),
I2 => Q(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_4\,
O => \axaddr_incr[3]_i_1__0_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA6AAAAAAAAAAAAA"
)
port map (
I0 => Q(3),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"2A262A2A2A2A2A2A"
)
port map (
I0 => Q(2),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"0A060A0A0A0A0A0A"
)
port map (
I0 => Q(1),
I1 => Q(5),
I2 => Q(6),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(1)
);
\axaddr_incr[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_7\,
O => \axaddr_incr[4]_i_1__0_n_0\
);
\axaddr_incr[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_6\,
O => \axaddr_incr[5]_i_1__0_n_0\
);
\axaddr_incr[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_5\,
O => \axaddr_incr[6]_i_1__0_n_0\
);
\axaddr_incr[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_4\,
O => \axaddr_incr[7]_i_1__0_n_0\
);
\axaddr_incr[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_7\,
O => \axaddr_incr[8]_i_1__0_n_0\
);
\axaddr_incr[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_6\,
O => \axaddr_incr[9]_i_1__0_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[0]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[10]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[11]_i_2__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[11]_i_4__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4__0_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4__0_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4__0_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4__0_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(7 downto 4)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[1]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[1]\,
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[2]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[2]\,
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[3]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[3]\,
R => '0'
);
\axaddr_incr_reg[3]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_incr_reg_n_0_[3]\,
DI(2) => \axaddr_incr_reg_n_0_[2]\,
DI(1) => \axaddr_incr_reg_n_0_[1]\,
DI(0) => \^axaddr_incr_reg[11]_0\(0),
O(3) => \axaddr_incr_reg[3]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3__0_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[4]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[5]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[6]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[7]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[7]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3__0_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(3 downto 2),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(1)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[8]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[9]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"20FF2020"
)
port map (
I0 => si_rs_arvalid,
I1 => \state_reg[0]_rep\,
I2 => Q(8),
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[0]_i_1__2_n_0\
);
\axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => Q(9),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[1]_i_1__1_n_0\
);
\axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[0]_0\,
I4 => E(0),
I5 => Q(10),
O => \axlen_cnt[2]_i_1__1_n_0\
);
\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => \next_pending_r_i_4__0_n_0\,
O => \^axlen_cnt_reg[0]_0\
);
\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[4]_i_1__0_n_0\
);
\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[5]_i_1__0_n_0\
);
\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[6]_i_1__0_n_0\
);
\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[7]_i_2__0_n_0\
);
\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
O => \axlen_cnt[7]_i_3__0_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[4]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[5]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[6]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[7]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[1]\
);
\m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => Q(7),
O => \m_axi_araddr[11]\
);
\m_axi_araddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[1]\,
I2 => Q(7),
I3 => Q(1),
O => \m_axi_araddr[1]\
);
\m_axi_araddr[2]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[2]\,
I2 => Q(7),
I3 => Q(2),
O => \m_axi_araddr[2]\
);
\m_axi_araddr[3]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[3]\,
I2 => Q(7),
I3 => Q(3),
O => \m_axi_araddr[3]\
);
\m_axi_araddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => Q(7),
I3 => Q(4),
O => \m_axi_araddr[5]\
);
\next_pending_r_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF505C"
)
port map (
I0 => \next_pending_r_i_2__0_n_0\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => E(0),
I4 => \m_payload_i_reg[47]_0\,
O => \^incr_next_pending\
);
\next_pending_r_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0002"
)
port map (
I0 => \next_pending_r_i_4__0_n_0\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[7]\,
O => \next_pending_r_i_2__0_n_0\
);
\next_pending_r_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[4]\,
O => \next_pending_r_i_4__0_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^incr_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_incr_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
\m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_0\ : in STD_LOGIC;
s_axburst_eq1_reg : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg_1 : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
aclk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_payload_i_reg[0]\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \next_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__2\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of m_axi_arvalid_INST_0 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \s_ready_i_i_1__0\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \state[1]_i_1\ : label is "soft_lutpair1";
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \state_reg[0]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[0]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[1]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute FSM_ENCODED_STATES of \state_reg[1]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4__0\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6__0\ : label is "soft_lutpair4";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\;
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
\axaddr_incr[11]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AAEA"
)
port map (
I0 => sel_first,
I1 => m_axi_arready,
I2 => \^m_payload_i_reg[0]_0\,
I3 => \^m_payload_i_reg[0]\,
O => \axaddr_incr_reg[0]\(0)
);
\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(0),
I2 => \^m_payload_i_reg[0]_0\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"00CA"
)
port map (
I0 => si_rs_arvalid,
I1 => m_axi_arready,
I2 => \^q\(0),
I3 => \^q\(1),
O => E(0)
);
\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00005140"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => m_axi_arready,
I3 => si_rs_arvalid,
I4 => \axlen_cnt_reg[7]_0\,
O => \axlen_cnt_reg[7]\
);
m_axi_arvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
O => m_axi_arvalid
);
\m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"D5"
)
port map (
I0 => si_rs_arvalid,
I1 => \^m_payload_i_reg[0]\,
I2 => \^m_payload_i_reg[0]_0\,
O => \m_payload_i_reg[0]_1\(0)
);
\m_valid_i_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF70FFFF"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => m_valid_i0
);
r_push_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => \^m_payload_i_reg[0]_0\,
I2 => m_axi_arready,
O => r_push_r_reg
);
\s_ready_i_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8FFF8F8F"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => s_ready_i0
);
\sel_first_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first_reg_1,
I2 => \^q\(1),
I3 => si_rs_arvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first,
I2 => \^m_payload_i_reg[0]\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]_0\,
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FCFFFFFFCCCECCCE"
)
port map (
I0 => si_rs_arvalid,
I1 => areset_d1,
I2 => \^m_payload_i_reg[0]\,
I3 => \^m_payload_i_reg[0]_0\,
I4 => m_axi_arready,
I5 => sel_first_reg_2,
O => sel_first_i
);
\state[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"003030303E3E3E3E"
)
port map (
I0 => si_rs_arvalid,
I1 => \^q\(1),
I2 => \^q\(0),
I3 => m_axi_arready,
I4 => s_axburst_eq1_reg,
I5 => \cnt_read_reg[2]_rep__0\,
O => \next_state__0\(0)
);
\state[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00AAB000"
)
port map (
I0 => \cnt_read_reg[2]_rep__0\,
I1 => s_axburst_eq1_reg,
I2 => m_axi_arready,
I3 => \^m_payload_i_reg[0]_0\,
I4 => \^m_payload_i_reg[0]\,
O => \next_state__0\(1)
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^m_payload_i_reg[0]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^m_payload_i_reg[0]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => si_rs_arvalid,
I2 => \^m_payload_i_reg[0]_0\,
O => \wrap_boundary_axaddr_r_reg[11]\(0)
);
\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
port (
\cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : out STD_LOGIC;
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
bresp_push : out STD_LOGIC;
bvalid_i_reg : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
b_push : in STD_LOGIC;
shandshake_r : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
\bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
mhandshake_r : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
bvalid_i_reg_0 : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
aclk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_4_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_5_n_0\ : STD_LOGIC;
signal \^bresp_push\ : STD_LOGIC;
signal bvalid_i_i_2_n_0 : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[1]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair121";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair121";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 ";
attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 ";
attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 ";
attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 ";
attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 ";
attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 ";
attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 ";
attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 ";
attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 ";
attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 ";
attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 ";
attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 ";
attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 ";
attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 ";
begin
bresp_push <= \^bresp_push\;
\cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\;
\cnt_read_reg[1]_rep__0_0\ <= \^cnt_read_reg[1]_rep__0_0\;
\bresp_cnt[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABAA"
)
port map (
I0 => areset_d1,
I1 => \bresp_cnt[7]_i_3_n_0\,
I2 => \bresp_cnt[7]_i_4_n_0\,
I3 => \bresp_cnt[7]_i_5_n_0\,
O => SR(0)
);
\bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEFEFFFFFFFFEEFE"
)
port map (
I0 => \bresp_cnt_reg[7]\(7),
I1 => \bresp_cnt_reg[7]\(6),
I2 => \bresp_cnt_reg[7]\(0),
I3 => \memory_reg[3][0]_srl4_n_0\,
I4 => \bresp_cnt_reg[7]\(3),
I5 => \memory_reg[3][3]_srl4_n_0\,
O => \bresp_cnt[7]_i_3_n_0\
);
\bresp_cnt[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFF6FFFF"
)
port map (
I0 => \bresp_cnt_reg[7]\(1),
I1 => \memory_reg[3][1]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(4),
I3 => \bresp_cnt_reg[7]\(5),
I4 => mhandshake_r,
O => \bresp_cnt[7]_i_4_n_0\
);
\bresp_cnt[7]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000D00DD00DD00D"
)
port map (
I0 => \memory_reg[3][0]_srl4_n_0\,
I1 => \bresp_cnt_reg[7]\(0),
I2 => \bresp_cnt_reg[7]\(2),
I3 => \memory_reg[3][2]_srl4_n_0\,
I4 => \^cnt_read_reg[1]_rep__0_0\,
I5 => \^cnt_read_reg[0]_rep__0_0\,
O => \bresp_cnt[7]_i_5_n_0\
);
bvalid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"0444"
)
port map (
I0 => areset_d1,
I1 => bvalid_i_i_2_n_0,
I2 => si_rs_bready,
I3 => bvalid_i_reg_0,
O => bvalid_i_reg
);
bvalid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00070707"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
I2 => shandshake_r,
I3 => Q(1),
I4 => Q(0),
I5 => bvalid_i_reg_0,
O => bvalid_i_i_2_n_0
);
\cnt_read[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^bresp_push\,
I1 => shandshake_r,
I2 => Q(0),
O => D(0)
);
\cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
O => \cnt_read[0]_i_1__2_n_0\
);
\cnt_read[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
I3 => \^cnt_read_reg[1]_rep__0_0\,
O => \cnt_read[1]_i_1_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \^cnt_read_reg[0]_rep__0_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \^cnt_read_reg[1]_rep__0_0\,
S => areset_d1
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(0),
Q => \memory_reg[3][0]_srl4_n_0\
);
\memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000041004141"
)
port map (
I0 => \memory_reg[3][0]_srl4_i_2__0_n_0\,
I1 => \memory_reg[3][2]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(2),
I3 => \bresp_cnt_reg[7]\(0),
I4 => \memory_reg[3][0]_srl4_n_0\,
I5 => \memory_reg[3][0]_srl4_i_3_n_0\,
O => \^bresp_push\
);
\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
O => \memory_reg[3][0]_srl4_i_2__0_n_0\
);
\memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFBFFFFFFFFFFFB"
)
port map (
I0 => \bresp_cnt[7]_i_3_n_0\,
I1 => mhandshake_r,
I2 => \bresp_cnt_reg[7]\(5),
I3 => \bresp_cnt_reg[7]\(4),
I4 => \memory_reg[3][1]_srl4_n_0\,
I5 => \bresp_cnt_reg[7]\(1),
O => \memory_reg[3][0]_srl4_i_3_n_0\
);
\memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(6),
Q => \out\(2)
);
\memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(7),
Q => \out\(3)
);
\memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(8),
Q => \out\(4)
);
\memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(9),
Q => \out\(5)
);
\memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(10),
Q => \out\(6)
);
\memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(11),
Q => \out\(7)
);
\memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(12),
Q => \out\(8)
);
\memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(13),
Q => \out\(9)
);
\memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(14),
Q => \out\(10)
);
\memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(15),
Q => \out\(11)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(1),
Q => \memory_reg[3][1]_srl4_n_0\
);
\memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(2),
Q => \memory_reg[3][2]_srl4_n_0\
);
\memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(3),
Q => \memory_reg[3][3]_srl4_n_0\
);
\memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(4),
Q => \out\(0)
);
\memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(5),
Q => \out\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
port (
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
mhandshake : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
shandshake_r : in STD_LOGIC;
sel : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
mhandshake_r : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair122";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair122";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 ";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A69A"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => shandshake_r,
I3 => sel,
O => \cnt_read[1]_i_1__0_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \^q\(0),
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__0_n_0\,
Q => \^q\(1),
S => areset_d1
);
m_axi_bready_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => mhandshake_r,
O => m_axi_bready
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[1]\(0)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[1]\(1)
);
mhandshake_r_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"2000"
)
port map (
I0 => m_axi_bvalid,
I1 => mhandshake_r,
I2 => \^q\(0),
I3 => \^q\(1),
O => mhandshake
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
port (
\cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_2\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
s_ready_i_reg : in STD_LOGIC;
\cnt_read_reg[4]_rep__0_0\ : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_5_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_2\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal wr_en0 : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair18";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__3\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__3\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__3\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]";
attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair19";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 ";
attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 ";
attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 ";
attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 ";
attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 ";
attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 ";
attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 ";
attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 ";
attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 ";
attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 ";
attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 ";
attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 ";
attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 ";
attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 ";
attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 ";
attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 ";
attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 ";
attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 ";
attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 ";
attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair19";
begin
\cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\;
\cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\;
\cnt_read_reg[4]_rep__2_2\ <= \^cnt_read_reg[4]_rep__2_2\;
\cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => s_ready_i_reg,
I2 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[0]_i_1__1_n_0\
);
\cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9AA6"
)
port map (
I0 => \cnt_read_reg[1]_rep__2_n_0\,
I1 => \cnt_read_reg[0]_rep__2_n_0\,
I2 => s_ready_i_reg,
I3 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[1]_i_1__2_n_0\
);
\cnt_read[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"A9AAAA6A"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[0]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
O => \cnt_read[2]_i_1_n_0\
);
\cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAA6AA9AAAAAA"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_0\,
I1 => \cnt_read_reg[2]_rep__2_n_0\,
I2 => \cnt_read_reg[1]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[3]_i_1__0_n_0\
);
\cnt_read[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"99AA99AA99AA55A6"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_1\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_2\,
I3 => \cnt_read[4]_i_3__0_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[4]_i_1_n_0\
);
\cnt_read[4]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[2]_rep__2_n_0\,
O => \^cnt_read_reg[4]_rep__2_2\
);
\cnt_read[4]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000100000"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read[4]_i_5_n_0\,
I3 => \cnt_read_reg[4]_rep__0_0\,
I4 => si_rs_rready,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[4]_i_3__0_n_0\
);
\cnt_read[4]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6000E000FFFFFFFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
I5 => m_axi_rvalid,
O => \cnt_read[4]_i_5_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__3\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__3_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \^cnt_read_reg[4]_rep__2_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \^cnt_read_reg[4]_rep__2_1\,
S => areset_d1
);
m_axi_rready_INST_0: unisim.vcomponents.LUT5
generic map(
INIT => X"9FFF1FFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
O => m_axi_rready
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(0),
Q => \out\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8AAA0AAA0AAAAAAA"
)
port map (
I0 => m_axi_rvalid,
I1 => \cnt_read_reg[0]_rep__3_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_0\,
I3 => \^cnt_read_reg[4]_rep__2_1\,
I4 => \cnt_read_reg[1]_rep__2_n_0\,
I5 => \cnt_read_reg[2]_rep__2_n_0\,
O => wr_en0
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(10),
Q => \out\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(11),
Q => \out\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(12),
Q => \out\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(13),
Q => \out\(13),
Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(14),
Q => \out\(14),
Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(15),
Q => \out\(15),
Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(16),
Q => \out\(16),
Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(17),
Q => \out\(17),
Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(18),
Q => \out\(18),
Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(19),
Q => \out\(19),
Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(1),
Q => \out\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(20),
Q => \out\(20),
Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(21),
Q => \out\(21),
Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(22),
Q => \out\(22),
Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(23),
Q => \out\(23),
Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(24),
Q => \out\(24),
Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(25),
Q => \out\(25),
Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(26),
Q => \out\(26),
Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(27),
Q => \out\(27),
Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(28),
Q => \out\(28),
Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(29),
Q => \out\(29),
Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(2),
Q => \out\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(30),
Q => \out\(30),
Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(31),
Q => \out\(31),
Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(32),
Q => \out\(32),
Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(33),
Q => \out\(33),
Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(3),
Q => \out\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(4),
Q => \out\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(5),
Q => \out\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(6),
Q => \out\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(7),
Q => \out\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(8),
Q => \out\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(9),
Q => \out\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"40C0C000"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \cnt_read_reg[1]_rep__2_n_0\,
I4 => \cnt_read_reg[2]_rep__2_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
s_ready_i_reg : in STD_LOGIC;
r_push_r : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
\cnt_read_reg[3]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3_0\ : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 12 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal m_valid_i_i_3_n_0 : STD_LOGIC;
signal \^m_valid_i_reg\ : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair20";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 ";
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => r_push_r,
I2 => s_ready_i_reg,
O => \cnt_read[0]_i_1__0_n_0\
);
\cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"DB24"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[1]_i_1__1_n_0\
);
\cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9AAAAAA6"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[0]_rep__0_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[2]_i_1__0_n_0\
);
\cnt_read[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF7F0080FEFF0100"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__0_n_0\,
I2 => r_push_r,
I3 => s_ready_i_reg,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[2]_rep__0_n_0\,
O => \cnt_read[3]_i_1_n_0\
);
\cnt_read[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9A999AAA"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read[4]_i_2_n_0\,
I2 => \cnt_read_reg[2]_rep__0_n_0\,
I3 => \cnt_read_reg[3]_rep__0_n_0\,
I4 => \cnt_read[4]_i_3_n_0\,
O => \cnt_read[4]_i_1__0_n_0\
);
\cnt_read[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"2AAAAAAA2AAA2AAA"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[1]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => r_push_r,
I4 => \^m_valid_i_reg\,
I5 => si_rs_rready,
O => \cnt_read[4]_i_2_n_0\
);
\cnt_read[4]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000004"
)
port map (
I0 => r_push_r,
I1 => si_rs_rready,
I2 => \^m_valid_i_reg\,
I3 => \cnt_read_reg[0]_rep__1_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[4]_i_3_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
m_valid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"80808080FF808080"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read_reg[3]_rep__0_n_0\,
I2 => m_valid_i_i_3_n_0,
I3 => \cnt_read_reg[3]_rep__2\,
I4 => \cnt_read_reg[4]_rep__2\,
I5 => \cnt_read_reg[0]_rep__3\,
O => \^m_valid_i_reg\
);
m_valid_i_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__1_n_0\,
I2 => \cnt_read_reg[1]_rep__0_n_0\,
O => m_valid_i_i_3_n_0
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[46]\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(10),
Q => \skid_buffer_reg[46]\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(11),
Q => \skid_buffer_reg[46]\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(12),
Q => \skid_buffer_reg[46]\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[46]\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(2),
Q => \skid_buffer_reg[46]\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(3),
Q => \skid_buffer_reg[46]\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(4),
Q => \skid_buffer_reg[46]\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(5),
Q => \skid_buffer_reg[46]\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(6),
Q => \skid_buffer_reg[46]\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(7),
Q => \skid_buffer_reg[46]\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(8),
Q => \skid_buffer_reg[46]\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(9),
Q => \skid_buffer_reg[46]\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"BFEEAAAAAAAAAAAA"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_0\,
I1 => \cnt_read_reg[2]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[4]_rep__0_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\axlen_cnt_reg[7]_1\ : out STD_LOGIC;
\next\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axburst_eq0_reg : out STD_LOGIC;
incr_next_pending : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
s_axburst_eq1_reg_0 : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
si_rs_awvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
wrap_next_pending : in STD_LOGIC;
next_pending_r_reg : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
sel_first : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first_0 : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
aclk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^axlen_cnt_reg[7]\ : STD_LOGIC;
signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC;
signal \^b_push\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \^next\ : STD_LOGIC;
signal \^sel_first_i\ : STD_LOGIC;
signal \state[0]_i_1_n_0\ : STD_LOGIC;
signal \state[0]_i_2_n_0\ : STD_LOGIC;
signal \state[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__0\ : label is "soft_lutpair109";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair109";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4\ : label is "soft_lutpair111";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6\ : label is "soft_lutpair111";
begin
E(0) <= \^e\(0);
Q(1 downto 0) <= \^q\(1 downto 0);
\axlen_cnt_reg[7]\ <= \^axlen_cnt_reg[7]\;
\axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\;
b_push <= \^b_push\;
incr_next_pending <= \^incr_next_pending\;
\next\ <= \^next\;
sel_first_i <= \^sel_first_i\;
\axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(2),
I2 => \^axlen_cnt_reg[7]_0\,
I3 => si_rs_awvalid,
I4 => \^axlen_cnt_reg[7]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0400FFFF04000400"
)
port map (
I0 => \^q\(1),
I1 => si_rs_awvalid,
I2 => \^q\(0),
I3 => \m_payload_i_reg[46]\(1),
I4 => \axlen_cnt_reg[0]_0\(0),
I5 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[0]\(0)
);
\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FF04"
)
port map (
I0 => \^q\(0),
I1 => si_rs_awvalid,
I2 => \^q\(1),
I3 => \^next\,
O => \axaddr_wrap_reg[11]\(0)
);
\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]\,
I3 => \^next\,
I4 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[7]_1\
);
m_axi_awvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
O => m_axi_awvalid
);
\m_payload_i[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^b_push\,
I1 => si_rs_awvalid,
O => \m_payload_i_reg[0]\(0)
);
\memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"88008888A800A8A8"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
I2 => m_axi_awready,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \cnt_read_reg[1]_rep__0\,
I5 => s_axburst_eq1_reg_0,
O => \^b_push\
);
next_pending_r_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFF404"
)
port map (
I0 => \^e\(0),
I1 => next_pending_r_reg,
I2 => \^next\,
I3 => \axlen_cnt_reg[7]_2\,
I4 => \m_payload_i_reg[47]\,
O => \^incr_next_pending\
);
next_pending_r_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"F3F3FFFF51000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \^next\
);
s_axburst_eq0_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => \^incr_next_pending\,
I1 => \^sel_first_i\,
I2 => \m_payload_i_reg[46]\(0),
I3 => wrap_next_pending,
O => s_axburst_eq0_reg
);
s_axburst_eq1_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FE02"
)
port map (
I0 => \^incr_next_pending\,
I1 => \m_payload_i_reg[46]\(0),
I2 => \^sel_first_i\,
I3 => wrap_next_pending,
O => s_axburst_eq1_reg
);
sel_first_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first_0,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF04FFFFFF04FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
I3 => areset_d1,
I4 => \^next\,
I5 => sel_first_reg_1,
O => \^sel_first_i\
);
\state[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"BBBA"
)
port map (
I0 => \state[0]_i_2_n_0\,
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
O => \state[0]_i_1_n_0\
);
\state[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00F000F055750000"
)
port map (
I0 => m_axi_awready,
I1 => s_axburst_eq1_reg_0,
I2 => \cnt_read_reg[1]_rep__0\,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \state[0]_i_2_n_0\
);
\state[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0CAE0000000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]\,
I5 => \^axlen_cnt_reg[7]_0\,
O => \state[1]_i_1__0_n_0\
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^axlen_cnt_reg[7]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^axlen_cnt_reg[7]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
O => \^e\(0)
);
\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
port (
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 10 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 );
signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__1_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 1 to 1 );
signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^wrap_next_pending\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
wrap_next_pending <= \^wrap_next_pending\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(0),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(0),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(0),
O => \axaddr_wrap[0]_i_1_n_0\
);
\axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(10),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(10),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(10),
O => \axaddr_wrap[10]_i_1_n_0\
);
\axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(11),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(11),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(11),
O => \axaddr_wrap[11]_i_1_n_0\
);
\axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4_n_0\,
I1 => wrap_cnt_r(3),
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2_n_0\
);
\axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => wrap_cnt_r(0),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => wrap_cnt_r(1),
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => wrap_cnt_r(2),
O => \axaddr_wrap[11]_i_4_n_0\
);
\axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(1),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(1),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(1),
O => \axaddr_wrap[1]_i_1_n_0\
);
\axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(2),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(2),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(2),
O => \axaddr_wrap[2]_i_1_n_0\
);
\axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(3),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(3),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(3),
O => \axaddr_wrap[3]_i_1_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => axaddr_wrap(3),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(2),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(1),
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => axaddr_wrap(0),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(4),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(4),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(4),
O => \axaddr_wrap[4]_i_1_n_0\
);
\axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(5),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(5),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(5),
O => \axaddr_wrap[5]_i_1_n_0\
);
\axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(6),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(6),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(6),
O => \axaddr_wrap[6]_i_1_n_0\
);
\axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(7),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(7),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(7),
O => \axaddr_wrap[7]_i_1_n_0\
);
\axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(8),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(8),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(8),
O => \axaddr_wrap[8]_i_1_n_0\
);
\axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(9),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(9),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(9),
O => \axaddr_wrap[9]_i_1_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[0]_i_1_n_0\,
Q => axaddr_wrap(0),
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[10]_i_1_n_0\,
Q => axaddr_wrap(10),
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[11]_i_1_n_0\,
Q => axaddr_wrap(11),
R => '0'
);
\axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(11 downto 8),
S(3 downto 0) => axaddr_wrap(11 downto 8)
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[1]_i_1_n_0\,
Q => axaddr_wrap(1),
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[2]_i_1_n_0\,
Q => axaddr_wrap(2),
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[3]_i_1_n_0\,
Q => axaddr_wrap(3),
R => '0'
);
\axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => axaddr_wrap(3 downto 0),
O(3 downto 0) => axaddr_wrap0(3 downto 0),
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[4]_i_1_n_0\,
Q => axaddr_wrap(4),
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[5]_i_1_n_0\,
Q => axaddr_wrap(5),
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[6]_i_1_n_0\,
Q => axaddr_wrap(6),
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[7]_i_1_n_0\,
Q => axaddr_wrap(7),
R => '0'
);
\axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(7 downto 4),
S(3 downto 0) => axaddr_wrap(7 downto 4)
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[8]_i_1_n_0\,
Q => axaddr_wrap(8),
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[9]_i_1_n_0\,
Q => axaddr_wrap(9),
R => '0'
);
\axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => \m_payload_i_reg[47]\(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1_n_0\
);
\axlen_cnt[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => \m_payload_i_reg[47]\(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1_n_0\
);
\axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => \m_payload_i_reg[47]\(17),
O => \axlen_cnt[2]_i_1__0_n_0\
);
\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => \m_payload_i_reg[47]\(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[0]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(0),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_awaddr(0)
);
\m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(10),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(9),
O => m_axi_awaddr(10)
);
\m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(11),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(10),
O => m_axi_awaddr(11)
);
\m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(1),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(1),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_awaddr(1)
);
\m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(2),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(2),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_awaddr(2)
);
\m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(3),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(3),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_awaddr(3)
);
\m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(4),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_awaddr(4)
);
\m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \m_payload_i_reg[47]\(5),
I1 => \^sel_first_reg_0\,
I2 => axaddr_wrap(5),
I3 => \m_payload_i_reg[47]\(14),
I4 => sel_first_reg_3,
O => m_axi_awaddr(5)
);
\m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(6),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_awaddr(6)
);
\m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(7),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_awaddr(7)
);
\m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(8),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_awaddr(8)
);
\m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(9),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(8),
O => m_axi_awaddr(9)
);
\next_pending_r_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]_0\,
I1 => next_pending_r_reg_n_0,
I2 => \next\,
I3 => \next_pending_r_i_2__1_n_0\,
I4 => E(0),
O => \^wrap_next_pending\
);
\next_pending_r_i_2__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_awvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__1_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^wrap_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => wrap_boundary_axaddr_r(0),
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(10),
Q => wrap_boundary_axaddr_r(10),
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(11),
Q => wrap_boundary_axaddr_r(11),
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => wrap_boundary_axaddr_r(1),
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => wrap_boundary_axaddr_r(2),
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => wrap_boundary_axaddr_r(3),
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => wrap_boundary_axaddr_r(4),
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => wrap_boundary_axaddr_r(5),
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => wrap_boundary_axaddr_r(6),
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(7),
Q => wrap_boundary_axaddr_r(7),
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(8),
Q => wrap_boundary_axaddr_r(8),
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(9),
Q => wrap_boundary_axaddr_r(9),
R => '0'
);
\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => wrap_cnt(1)
);
\wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => wrap_cnt_r(0),
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cnt(1),
Q => wrap_cnt_r(1),
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => wrap_cnt_r(2),
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => wrap_cnt_r(3),
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
port (
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axburst_eq0_reg : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first_i : in STD_LOGIC;
incr_next_pending : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
sel_first_reg_4 : in STD_LOGIC;
sel_first_reg_5 : in STD_LOGIC;
sel_first_reg_6 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_17_b2s_wrap_cmd";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__2_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_cnt_r[1]_i_1__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC;
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair16";
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(0),
O => \axaddr_wrap[0]_i_1__0_n_0\
);
\axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(10),
O => \axaddr_wrap[10]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(11),
O => \axaddr_wrap[11]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4__0_n_0\,
I1 => \wrap_cnt_r_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2__0_n_0\
);
\axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => \wrap_cnt_r_reg_n_0_[0]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \wrap_cnt_r_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \wrap_cnt_r_reg_n_0_[1]\,
O => \axaddr_wrap[11]_i_4__0_n_0\
);
\axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(1),
O => \axaddr_wrap[1]_i_1__0_n_0\
);
\axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(2),
O => \axaddr_wrap[2]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(3),
O => \axaddr_wrap[3]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[3]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[2]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[1]\,
I1 => Q(13),
I2 => Q(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[0]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(4),
O => \axaddr_wrap[4]_i_1__0_n_0\
);
\axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(5),
O => \axaddr_wrap[5]_i_1__0_n_0\
);
\axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(6),
O => \axaddr_wrap[6]_i_1__0_n_0\
);
\axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(7),
O => \axaddr_wrap[7]_i_1__0_n_0\
);
\axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(8),
O => \axaddr_wrap[8]_i_1__0_n_0\
);
\axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(9),
O => \axaddr_wrap[9]_i_1__0_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[0]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[0]\,
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[10]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[10]\,
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[11]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[11]\,
R => '0'
);
\axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\,
O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\,
O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\,
O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[11]\,
S(2) => \axaddr_wrap_reg_n_0_[10]\,
S(1) => \axaddr_wrap_reg_n_0_[9]\,
S(0) => \axaddr_wrap_reg_n_0_[8]\
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[1]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[1]\,
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[2]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[2]\,
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[3]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[3]\,
R => '0'
);
\axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_wrap_reg_n_0_[3]\,
DI(2) => \axaddr_wrap_reg_n_0_[2]\,
DI(1) => \axaddr_wrap_reg_n_0_[1]\,
DI(0) => \axaddr_wrap_reg_n_0_[0]\,
O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\,
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[4]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[4]\,
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[5]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[5]\,
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[6]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[6]\,
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[7]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[7]\,
R => '0'
);
\axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[7]\,
S(2) => \axaddr_wrap_reg_n_0_[6]\,
S(1) => \axaddr_wrap_reg_n_0_[5]\,
S(0) => \axaddr_wrap_reg_n_0_[4]\
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[8]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[8]\,
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[9]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[9]\,
R => '0'
);
\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => Q(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1__1_n_0\
);
\axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => Q(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1__2_n_0\
);
\axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => Q(17),
O => \axlen_cnt[2]_i_1__2_n_0\
);
\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => Q(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1__1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[0]\,
I2 => Q(14),
I3 => Q(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_araddr(0)
);
\m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[10]\,
I2 => Q(14),
I3 => Q(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_araddr(10)
);
\m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[11]\,
I2 => Q(14),
I3 => Q(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_araddr(11)
);
\m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(1),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[1]\,
I3 => Q(14),
I4 => sel_first_reg_6,
O => m_axi_araddr(1)
);
\m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(2),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[2]\,
I3 => Q(14),
I4 => sel_first_reg_5,
O => m_axi_araddr(2)
);
\m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(3),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[3]\,
I3 => Q(14),
I4 => sel_first_reg_4,
O => m_axi_araddr(3)
);
\m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[4]\,
I2 => Q(14),
I3 => Q(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_araddr(4)
);
\m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(5),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[5]\,
I3 => Q(14),
I4 => sel_first_reg_3,
O => m_axi_araddr(5)
);
\m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[6]\,
I2 => Q(14),
I3 => Q(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_araddr(6)
);
\m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[7]\,
I2 => Q(14),
I3 => Q(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_araddr(7)
);
\m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[8]\,
I2 => Q(14),
I3 => Q(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_araddr(8)
);
\m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[9]\,
I2 => Q(14),
I3 => Q(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_araddr(9)
);
\next_pending_r_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => \next_pending_r_i_2__2_n_0\,
I4 => E(0),
O => wrap_next_pending
);
\next_pending_r_i_2__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_arvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__2_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_next_pending,
Q => next_pending_r_reg_n_0,
R => '0'
);
\s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq0_reg
);
\s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABA8"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq1_reg
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(10),
Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(11),
Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(7),
Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(8),
Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(9),
Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
R => '0'
);
\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => \wrap_cnt_r[1]_i_1__0_n_0\
);
\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => \wrap_cnt_r_reg_n_0_[0]\,
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_cnt_r[1]_i_1__0_n_0\,
Q => \wrap_cnt_r_reg_n_0_[1]\,
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => \wrap_cnt_r_reg_n_0_[2]\,
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => \wrap_cnt_r_reg_n_0_[3]\,
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice is
port (
s_axi_arready : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[0]\ : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
aclk : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
\aresetn_d_reg[0]_0\ : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \axaddr_incr[3]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \^axaddr_offset_0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[52]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_arready\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5__0_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3__0_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair21";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset_0(1 downto 0) <= \^axaddr_offset_0\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axaddr_offset_r_reg[3]\ <= \^axaddr_offset_r_reg[3]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_arready <= \^s_axi_arready\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \aresetn_d_reg[0]_0\,
Q => \^m_valid_i_reg_0\,
R => '0'
);
\axaddr_incr[3]_i_4__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4__0_n_0\
);
\axaddr_incr[3]_i_5__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5__0_n_0\
);
\axaddr_incr[3]_i_6__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6__0_n_0\
);
\axaddr_incr_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => O(3 downto 0),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4__0_n_0\,
DI(1) => \axaddr_incr[3]_i_5__0_n_0\,
DI(0) => \axaddr_incr[3]_i_6__0_n_0\,
O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0)
);
\axaddr_incr_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2__0_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2__0_n_0\
);
\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2__0_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(1),
O => \^axaddr_offset_0\(0)
);
\axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2__0_n_0\
);
\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2__0_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^axaddr_offset_0\(1)
);
\axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_3__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__0_n_0\
);
\m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__0_n_0\
);
\m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__0_n_0\
);
\m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(12),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__0_n_0\
);
\m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(13),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__1_n_0\
);
\m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(14),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__0_n_0\
);
\m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(15),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__0_n_0\
);
\m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(16),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__0_n_0\
);
\m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(17),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__0_n_0\
);
\m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(18),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__0_n_0\
);
\m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(19),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__0_n_0\
);
\m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__0_n_0\
);
\m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(20),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__0_n_0\
);
\m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(21),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__0_n_0\
);
\m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(22),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__0_n_0\
);
\m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(23),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__0_n_0\
);
\m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(24),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__0_n_0\
);
\m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(25),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__0_n_0\
);
\m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(26),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__0_n_0\
);
\m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(27),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__0_n_0\
);
\m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(28),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__0_n_0\
);
\m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(29),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__0_n_0\
);
\m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__0_n_0\
);
\m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(30),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__0_n_0\
);
\m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(31),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_2__0_n_0\
);
\m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__0_n_0\
);
\m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__0_n_0\
);
\m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__0_n_0\
);
\m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__0_n_0\
);
\m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__0_n_0\
);
\m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__0_n_0\
);
\m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__0_n_0\
);
\m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__0_n_0\
);
\m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__0_n_0\
);
\m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__0_n_0\
);
\m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_1__1_n_0\
);
\m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => \m_payload_i[47]_i_1__0_n_0\
);
\m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__0_n_0\
);
\m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => \m_payload_i[50]_i_1__0_n_0\
);
\m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => \m_payload_i[51]_i_1__0_n_0\
);
\m_payload_i[52]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => \m_payload_i[52]_i_1__0_n_0\
);
\m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => \m_payload_i[53]_i_1__0_n_0\
);
\m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => \m_payload_i[54]_i_1__0_n_0\
);
\m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => \m_payload_i[55]_i_1__0_n_0\
);
\m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => \m_payload_i[56]_i_1__0_n_0\
);
\m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => \m_payload_i[57]_i_1__0_n_0\
);
\m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => \m_payload_i[58]_i_1__0_n_0\
);
\m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => \m_payload_i[59]_i_1__0_n_0\
);
\m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__0_n_0\
);
\m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => \m_payload_i[60]_i_1__0_n_0\
);
\m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => \m_payload_i[61]_i_1__0_n_0\
);
\m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__0_n_0\
);
\m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__0_n_0\
);
\m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__0_n_0\
);
\m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__0_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[0]_i_1__0_n_0\,
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[10]_i_1__0_n_0\,
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[11]_i_1__0_n_0\,
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[12]_i_1__0_n_0\,
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[13]_i_1__1_n_0\,
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[14]_i_1__0_n_0\,
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[15]_i_1__0_n_0\,
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[16]_i_1__0_n_0\,
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[17]_i_1__0_n_0\,
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[18]_i_1__0_n_0\,
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[19]_i_1__0_n_0\,
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[1]_i_1__0_n_0\,
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[20]_i_1__0_n_0\,
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[21]_i_1__0_n_0\,
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[22]_i_1__0_n_0\,
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[23]_i_1__0_n_0\,
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[24]_i_1__0_n_0\,
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[25]_i_1__0_n_0\,
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[26]_i_1__0_n_0\,
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[27]_i_1__0_n_0\,
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[28]_i_1__0_n_0\,
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[29]_i_1__0_n_0\,
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[2]_i_1__0_n_0\,
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[30]_i_1__0_n_0\,
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[31]_i_2__0_n_0\,
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[32]_i_1__0_n_0\,
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[33]_i_1__0_n_0\,
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[34]_i_1__0_n_0\,
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[35]_i_1__0_n_0\,
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[36]_i_1__0_n_0\,
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[38]_i_1__0_n_0\,
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[39]_i_1__0_n_0\,
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[3]_i_1__0_n_0\,
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[44]_i_1__0_n_0\,
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[45]_i_1__0_n_0\,
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[46]_i_1__1_n_0\,
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[47]_i_1__0_n_0\,
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[4]_i_1__0_n_0\,
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[50]_i_1__0_n_0\,
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[51]_i_1__0_n_0\,
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[52]_i_1__0_n_0\,
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[53]_i_1__0_n_0\,
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[54]_i_1__0_n_0\,
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[55]_i_1__0_n_0\,
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[56]_i_1__0_n_0\,
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[57]_i_1__0_n_0\,
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[58]_i_1__0_n_0\,
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[59]_i_1__0_n_0\,
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[5]_i_1__0_n_0\,
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[60]_i_1__0_n_0\,
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[61]_i_1__0_n_0\,
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[6]_i_1__0_n_0\,
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[7]_i_1__0_n_0\,
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[8]_i_1__0_n_0\,
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[9]_i_1__0_n_0\,
Q => \^q\(9),
R => '0'
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^axaddr_offset_r_reg[3]\,
R => \^m_valid_i_reg_0\
);
next_pending_r_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_arready\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => \wrap_cnt_r_reg[3]\(0)
);
\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => \wrap_cnt_r_reg[3]\(1)
);
\wrap_cnt_r[3]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_1\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2__0_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5__0_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r[3]_i_5__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5__0_n_0\
);
\wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset_0\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_0\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2__0_n_0\
);
\wrap_second_len_r[3]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3__0_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0 is
port (
s_axi_awready : out STD_LOGIC;
s_ready_i_reg_0 : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[1]_inv\ : out STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[1]_inv_0\ : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0 : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0 is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_incr[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_awready\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^s_ready_i_reg_0\ : STD_LOGIC;
signal skid_buffer : STD_LOGIC_VECTOR ( 61 downto 0 );
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair49";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset(1 downto 0) <= \^axaddr_offset\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_awready <= \^s_axi_awready\;
s_ready_i_reg_0 <= \^s_ready_i_reg_0\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
I1 => aresetn,
O => \aresetn_d_reg[1]_inv\
);
\aresetn_d_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => aresetn,
Q => \aresetn_d_reg_n_0_[0]\,
R => '0'
);
\axaddr_incr[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4_n_0\
);
\axaddr_incr[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5_n_0\
);
\axaddr_incr[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6_n_0\
);
\axaddr_incr_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(11 downto 8),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4_n_0\,
DI(1) => \axaddr_incr[3]_i_5_n_0\,
DI(0) => \axaddr_incr[3]_i_6_n_0\,
O(3 downto 0) => axaddr_incr(3 downto 0),
S(3 downto 0) => S(3 downto 0)
);
\axaddr_incr_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(7 downto 4),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2_n_0\
);
\axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(1),
O => \^axaddr_offset\(0)
);
\axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2_n_0\
);
\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^axaddr_offset\(1)
);
\axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2_n_0\
);
\axlen_cnt[3]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => skid_buffer(0)
);
\m_payload_i[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => skid_buffer(10)
);
\m_payload_i[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => skid_buffer(11)
);
\m_payload_i[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(12),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => skid_buffer(12)
);
\m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(13),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => skid_buffer(13)
);
\m_payload_i[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(14),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => skid_buffer(14)
);
\m_payload_i[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(15),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => skid_buffer(15)
);
\m_payload_i[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(16),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => skid_buffer(16)
);
\m_payload_i[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(17),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => skid_buffer(17)
);
\m_payload_i[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(18),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => skid_buffer(18)
);
\m_payload_i[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(19),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => skid_buffer(19)
);
\m_payload_i[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => skid_buffer(1)
);
\m_payload_i[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(20),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => skid_buffer(20)
);
\m_payload_i[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(21),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => skid_buffer(21)
);
\m_payload_i[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(22),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => skid_buffer(22)
);
\m_payload_i[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(23),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => skid_buffer(23)
);
\m_payload_i[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(24),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => skid_buffer(24)
);
\m_payload_i[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(25),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => skid_buffer(25)
);
\m_payload_i[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(26),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => skid_buffer(26)
);
\m_payload_i[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(27),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => skid_buffer(27)
);
\m_payload_i[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(28),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => skid_buffer(28)
);
\m_payload_i[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(29),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => skid_buffer(29)
);
\m_payload_i[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => skid_buffer(2)
);
\m_payload_i[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(30),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => skid_buffer(30)
);
\m_payload_i[31]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(31),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => skid_buffer(31)
);
\m_payload_i[32]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => skid_buffer(32)
);
\m_payload_i[33]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => skid_buffer(33)
);
\m_payload_i[34]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => skid_buffer(34)
);
\m_payload_i[35]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => skid_buffer(35)
);
\m_payload_i[36]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => skid_buffer(36)
);
\m_payload_i[38]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => skid_buffer(38)
);
\m_payload_i[39]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => skid_buffer(39)
);
\m_payload_i[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => skid_buffer(3)
);
\m_payload_i[44]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => skid_buffer(44)
);
\m_payload_i[45]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => skid_buffer(45)
);
\m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => skid_buffer(46)
);
\m_payload_i[47]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => skid_buffer(47)
);
\m_payload_i[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => skid_buffer(4)
);
\m_payload_i[50]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => skid_buffer(50)
);
\m_payload_i[51]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => skid_buffer(51)
);
\m_payload_i[52]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => skid_buffer(52)
);
\m_payload_i[53]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => skid_buffer(53)
);
\m_payload_i[54]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => skid_buffer(54)
);
\m_payload_i[55]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => skid_buffer(55)
);
\m_payload_i[56]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => skid_buffer(56)
);
\m_payload_i[57]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => skid_buffer(57)
);
\m_payload_i[58]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => skid_buffer(58)
);
\m_payload_i[59]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => skid_buffer(59)
);
\m_payload_i[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => skid_buffer(5)
);
\m_payload_i[60]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => skid_buffer(60)
);
\m_payload_i[61]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => skid_buffer(61)
);
\m_payload_i[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => skid_buffer(6)
);
\m_payload_i[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => skid_buffer(7)
);
\m_payload_i[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => skid_buffer(8)
);
\m_payload_i[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => skid_buffer(9)
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(0),
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(10),
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(11),
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(12),
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(13),
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(14),
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(15),
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(16),
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(17),
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(18),
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(19),
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(1),
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(20),
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(21),
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(22),
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(23),
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(24),
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(25),
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(26),
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(27),
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(28),
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(29),
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(2),
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(30),
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(31),
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(32),
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(33),
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(34),
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(35),
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(36),
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(38),
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(39),
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(3),
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(44),
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(45),
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(46),
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(47),
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(4),
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(50),
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(51),
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(52),
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(53),
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(54),
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(55),
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(56),
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(57),
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(58),
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(59),
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(5),
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(60),
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(61),
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(6),
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(7),
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(8),
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(9),
Q => \^q\(9),
R => '0'
);
m_valid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => b_push,
I1 => \^m_valid_i_reg_0\,
I2 => s_axi_awvalid,
I3 => \^s_axi_awready\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^m_valid_i_reg_0\,
R => \aresetn_d_reg[1]_inv_0\
);
next_pending_r_i_4: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
\s_ready_i_i_1__1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
O => \^s_ready_i_reg_0\
);
s_ready_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_awvalid,
I1 => \^s_axi_awready\,
I2 => b_push,
I3 => \^m_valid_i_reg_0\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_awready\,
R => \^s_ready_i_reg_0\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => D(0)
);
\wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => D(1)
);
\wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5_n_0\
);
\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2_n_0\
);
\wrap_second_len_r[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
port (
s_axi_bvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_bvalid\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair79";
begin
s_axi_bvalid <= \^s_axi_bvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__1_n_0\
);
\m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__1_n_0\
);
\m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__1_n_0\
);
\m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__1_n_0\
);
\m_payload_i[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
O => p_1_in
);
\m_payload_i[13]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_2_n_0\
);
\m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__1_n_0\
);
\m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__1_n_0\
);
\m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__1_n_0\
);
\m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__1_n_0\
);
\m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__1_n_0\
);
\m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__1_n_0\
);
\m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__1_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_2_n_0\,
Q => \s_axi_bid[11]\(13),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(1),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(2),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(3),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(9),
R => '0'
);
\m_valid_i_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
I2 => si_rs_bvalid,
I3 => \^skid_buffer_reg[0]_0\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^s_axi_bvalid\,
R => \aresetn_d_reg[1]_inv\
);
s_ready_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => si_rs_bvalid,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_bready,
I3 => \^s_axi_bvalid\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(8),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(9),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(10),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(11),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(0),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(1),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(2),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(3),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(4),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(5),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(6),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(7),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
port (
s_axi_rvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC;
signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_rvalid\ : STD_LOGIC;
signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[4]_i_4\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_valid_i_i_1__2\ : label is "soft_lutpair84";
begin
s_axi_rvalid <= \^s_axi_rvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\cnt_read[4]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^skid_buffer_reg[0]_0\,
I1 => \cnt_read_reg[4]_rep__0\,
O => \cnt_read_reg[2]_rep__0\
);
\m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__2_n_0\
);
\m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__2_n_0\
);
\m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__2_n_0\
);
\m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__2_n_0\
);
\m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(13),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__2_n_0\
);
\m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(14),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__1_n_0\
);
\m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(15),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__1_n_0\
);
\m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(16),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__1_n_0\
);
\m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(17),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__1_n_0\
);
\m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(18),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__1_n_0\
);
\m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(19),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__1_n_0\
);
\m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__2_n_0\
);
\m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(20),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__1_n_0\
);
\m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(21),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__1_n_0\
);
\m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(22),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__1_n_0\
);
\m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(23),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__1_n_0\
);
\m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(24),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__1_n_0\
);
\m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(25),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__1_n_0\
);
\m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(26),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__1_n_0\
);
\m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(27),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__1_n_0\
);
\m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(28),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__1_n_0\
);
\m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(29),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__2_n_0\
);
\m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(30),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__1_n_0\
);
\m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(31),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_1__1_n_0\
);
\m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(32),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__1_n_0\
);
\m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(33),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__1_n_0\
);
\m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__1_n_0\
);
\m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__1_n_0\
);
\m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__1_n_0\
);
\m_payload_i[37]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[37]\,
O => \m_payload_i[37]_i_1_n_0\
);
\m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__1_n_0\
);
\m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__2_n_0\
);
\m_payload_i[40]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[40]\,
O => \m_payload_i[40]_i_1_n_0\
);
\m_payload_i[41]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[41]\,
O => \m_payload_i[41]_i_1_n_0\
);
\m_payload_i[42]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[42]\,
O => \m_payload_i[42]_i_1_n_0\
);
\m_payload_i[43]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[43]\,
O => \m_payload_i[43]_i_1_n_0\
);
\m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__1_n_0\
);
\m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__1_n_0\
);
\m_payload_i[46]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
O => p_1_in
);
\m_payload_i[46]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_2_n_0\
);
\m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__2_n_0\
);
\m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__2_n_0\
);
\m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__2_n_0\
);
\m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__2_n_0\
);
\m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__2_n_0\
);
\m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__2_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[14]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[15]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[16]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[17]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[18]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[19]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[20]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[21]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[22]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[23]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[24]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[25]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[26]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[27]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[28]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[29]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[30]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[31]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[32]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[33]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[34]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[35]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[36]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(36),
R => '0'
);
\m_payload_i_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[37]_i_1_n_0\,
Q => \s_axi_rid[11]\(37),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[38]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(38),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[39]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(39),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(3),
R => '0'
);
\m_payload_i_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[40]_i_1_n_0\,
Q => \s_axi_rid[11]\(40),
R => '0'
);
\m_payload_i_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[41]_i_1_n_0\,
Q => \s_axi_rid[11]\(41),
R => '0'
);
\m_payload_i_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[42]_i_1_n_0\,
Q => \s_axi_rid[11]\(42),
R => '0'
);
\m_payload_i_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[43]_i_1_n_0\,
Q => \s_axi_rid[11]\(43),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[44]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(44),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[45]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(45),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[46]_i_2_n_0\,
Q => \s_axi_rid[11]\(46),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(9),
R => '0'
);
\m_valid_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"4FFF"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
I2 => \cnt_read_reg[4]_rep__0\,
I3 => \^skid_buffer_reg[0]_0\,
O => \m_valid_i_i_1__2_n_0\
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \m_valid_i_i_1__2_n_0\,
Q => \^s_axi_rvalid\,
R => \aresetn_d_reg[1]_inv\
);
\s_ready_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F8FF"
)
port map (
I0 => \cnt_read_reg[4]_rep__0\,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
O => \s_ready_i_i_1__2_n_0\
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \s_ready_i_i_1__2_n_0\,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(32),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(33),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(0),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(1),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(2),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(3),
Q => \skid_buffer_reg_n_0_[37]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(4),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(5),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(6),
Q => \skid_buffer_reg_n_0_[40]\,
R => '0'
);
\skid_buffer_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(7),
Q => \skid_buffer_reg_n_0_[41]\,
R => '0'
);
\skid_buffer_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(8),
Q => \skid_buffer_reg_n_0_[42]\,
R => '0'
);
\skid_buffer_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(9),
Q => \skid_buffer_reg_n_0_[43]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(10),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(11),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(12),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_b_channel is
port (
si_rs_bvalid : out STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
areset_d1 : in STD_LOGIC;
aclk : in STD_LOGIC;
b_push : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_b_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_b_channel is
signal bid_fifo_0_n_3 : STD_LOGIC;
signal bid_fifo_0_n_5 : STD_LOGIC;
signal \bresp_cnt[7]_i_6_n_0\ : STD_LOGIC;
signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal bresp_push : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mhandshake : STD_LOGIC;
signal mhandshake_r : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s_bresp_acc0 : STD_LOGIC;
signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC;
signal shandshake : STD_LOGIC;
signal shandshake_r : STD_LOGIC;
signal \^si_rs_bvalid\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair124";
attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair124";
begin
si_rs_bvalid <= \^si_rs_bvalid\;
bid_fifo_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
SR(0) => s_bresp_acc0,
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0),
bresp_push => bresp_push,
bvalid_i_reg => bid_fifo_0_n_5,
bvalid_i_reg_0 => \^si_rs_bvalid\,
\cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0\,
\in\(15 downto 0) => \in\(15 downto 0),
mhandshake_r => mhandshake_r,
\out\(11 downto 0) => \out\(11 downto 0),
shandshake_r => shandshake_r,
si_rs_bready => si_rs_bready
);
\bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \bresp_cnt_reg__0\(0),
O => p_0_in(0)
);
\bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(1),
I1 => \bresp_cnt_reg__0\(0),
O => p_0_in(1)
);
\bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(2),
I1 => \bresp_cnt_reg__0\(0),
I2 => \bresp_cnt_reg__0\(1),
O => p_0_in(2)
);
\bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \bresp_cnt_reg__0\(3),
I1 => \bresp_cnt_reg__0\(1),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(2),
O => p_0_in(3)
);
\bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(4),
I1 => \bresp_cnt_reg__0\(2),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(1),
I4 => \bresp_cnt_reg__0\(3),
O => p_0_in(4)
);
\bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => p_0_in(5)
);
\bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(6),
I1 => \bresp_cnt[7]_i_6_n_0\,
O => p_0_in(6)
);
\bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(7),
I1 => \bresp_cnt[7]_i_6_n_0\,
I2 => \bresp_cnt_reg__0\(6),
O => p_0_in(7)
);
\bresp_cnt[7]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => \bresp_cnt[7]_i_6_n_0\
);
\bresp_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(0),
Q => \bresp_cnt_reg__0\(0),
R => s_bresp_acc0
);
\bresp_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(1),
Q => \bresp_cnt_reg__0\(1),
R => s_bresp_acc0
);
\bresp_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(2),
Q => \bresp_cnt_reg__0\(2),
R => s_bresp_acc0
);
\bresp_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(3),
Q => \bresp_cnt_reg__0\(3),
R => s_bresp_acc0
);
\bresp_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(4),
Q => \bresp_cnt_reg__0\(4),
R => s_bresp_acc0
);
\bresp_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(5),
Q => \bresp_cnt_reg__0\(5),
R => s_bresp_acc0
);
\bresp_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(6),
Q => \bresp_cnt_reg__0\(6),
R => s_bresp_acc0
);
\bresp_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(7),
Q => \bresp_cnt_reg__0\(7),
R => s_bresp_acc0
);
bresp_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(1) => \s_bresp_acc_reg_n_0_[1]\,
\in\(0) => \s_bresp_acc_reg_n_0_[0]\,
m_axi_bready => m_axi_bready,
m_axi_bvalid => m_axi_bvalid,
mhandshake => mhandshake,
mhandshake_r => mhandshake_r,
sel => bresp_push,
shandshake_r => shandshake_r,
\skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0)
);
bvalid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => bid_fifo_0_n_5,
Q => \^si_rs_bvalid\,
R => '0'
);
mhandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => mhandshake,
Q => mhandshake_r,
R => areset_d1
);
\s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EACEAAAA"
)
port map (
I0 => \s_bresp_acc_reg_n_0_[0]\,
I1 => m_axi_bresp(0),
I2 => m_axi_bresp(1),
I3 => \s_bresp_acc_reg_n_0_[1]\,
I4 => mhandshake,
I5 => s_bresp_acc0,
O => \s_bresp_acc[0]_i_1_n_0\
);
\s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00EC"
)
port map (
I0 => m_axi_bresp(1),
I1 => \s_bresp_acc_reg_n_0_[1]\,
I2 => mhandshake,
I3 => s_bresp_acc0,
O => \s_bresp_acc[1]_i_1_n_0\
);
\s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[0]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[0]\,
R => '0'
);
\s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[1]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[1]\,
R => '0'
);
shandshake_r_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^si_rs_bvalid\,
I1 => si_rs_bready,
O => shandshake
);
shandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => shandshake,
Q => shandshake_r,
R => areset_d1
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
port (
next_pending_r_reg : out STD_LOGIC;
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC;
\m_payload_i_reg[39]_0\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\m_payload_i_reg[47]_1\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_16 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
begin
incr_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd
port map (
E(0) => E(0),
Q(0) => Q(0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[0]_0\ => sel_first_0,
\axaddr_incr_reg[11]_0\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_14,
\axlen_cnt_reg[2]_0\ => \axlen_cnt_reg[2]\,
incr_next_pending => incr_next_pending,
\m_axi_awaddr[11]\ => incr_cmd_0_n_15,
\m_axi_awaddr[5]\ => incr_cmd_0_n_16,
\m_payload_i_reg[46]\(9 downto 8) => \m_payload_i_reg[47]\(18 downto 17),
\m_payload_i_reg[46]\(7 downto 5) => \m_payload_i_reg[47]\(14 downto 12),
\m_payload_i_reg[46]\(4) => \m_payload_i_reg[47]\(5),
\m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\next\ => \next\,
next_pending_r_reg_0 => next_pending_r_reg,
sel_first_reg_0 => sel_first_reg_1,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(0) => \state_reg[1]_0\(0)
);
\memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => \m_payload_i_reg[47]\(15),
I2 => s_axburst_eq0,
O => \state_reg[1]_rep\
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]\,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]_0\,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
wrap_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
aclk => aclk,
\axaddr_incr_reg[11]\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_14,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[47]\(19 downto 15),
\m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[47]\(13 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_1\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
sel_first_reg_0 => sel_first,
sel_first_reg_1 => sel_first_reg_2,
sel_first_reg_2 => incr_cmd_0_n_15,
sel_first_reg_3 => incr_cmd_0_n_16,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
port (
sel_first_reg_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
sel_first_reg_1 : out STD_LOGIC;
\axlen_cnt_reg[0]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
r_rlast : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
sel_first_reg_3 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]_rep_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 );
sel_first_reg_4 : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_17_b2s_cmd_translator";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_3 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
signal wrap_cmd_0_n_6 : STD_LOGIC;
signal wrap_cmd_0_n_7 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair17";
begin
incr_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2
port map (
E(0) => E(0),
O(3 downto 0) => O(3 downto 0),
Q(10 downto 8) => Q(18 downto 16),
Q(7 downto 5) => Q(14 downto 12),
Q(4) => Q(5),
Q(3 downto 0) => Q(3 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_incr_reg[0]_0\ => sel_first,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_10,
\axlen_cnt_reg[0]_0\ => \axlen_cnt_reg[0]\,
incr_next_pending => incr_next_pending,
\m_axi_araddr[11]\ => incr_cmd_0_n_11,
\m_axi_araddr[1]\ => incr_cmd_0_n_15,
\m_axi_araddr[2]\ => incr_cmd_0_n_14,
\m_axi_araddr[3]\ => incr_cmd_0_n_13,
\m_axi_araddr[5]\ => incr_cmd_0_n_12,
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
sel_first_reg_0 => sel_first_reg_2,
sel_first_reg_1(0) => sel_first_reg_4(0),
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\ => \state_reg[1]_0\,
\state_reg[1]_0\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
r_rlast_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => s_axburst_eq0,
I1 => Q(15),
I2 => s_axburst_eq1,
O => r_rlast
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_6,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_7,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
\state[1]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => Q(15),
I2 => s_axburst_eq0,
O => \state_reg[0]_rep\
);
wrap_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
Q(18 downto 14) => Q(19 downto 15),
Q(13 downto 0) => Q(13 downto 0),
aclk => aclk,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_10,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
incr_next_pending => incr_next_pending,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
s_axburst_eq0_reg => wrap_cmd_0_n_6,
s_axburst_eq1_reg => wrap_cmd_0_n_7,
sel_first_i => sel_first_i,
sel_first_reg_0 => sel_first_reg_1,
sel_first_reg_1 => sel_first_reg_3,
sel_first_reg_2 => incr_cmd_0_n_11,
sel_first_reg_3 => incr_cmd_0_n_12,
sel_first_reg_4 => incr_cmd_0_n_13,
sel_first_reg_5 => incr_cmd_0_n_14,
sel_first_reg_6 => incr_cmd_0_n_15,
si_rs_arvalid => si_rs_arvalid,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_r_channel is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
\state_reg[1]_rep_0\ : in STD_LOGIC;
aclk : in STD_LOGIC;
r_rlast : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_r_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_r_channel is
signal \^m_valid_i_reg\ : STD_LOGIC;
signal r_push_r : STD_LOGIC;
signal rd_data_fifo_0_n_0 : STD_LOGIC;
signal rd_data_fifo_0_n_1 : STD_LOGIC;
signal rd_data_fifo_0_n_2 : STD_LOGIC;
signal rd_data_fifo_0_n_4 : STD_LOGIC;
signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 );
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\r_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => trans_in(1),
R => '0'
);
\r_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(10),
Q => trans_in(11),
R => '0'
);
\r_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(11),
Q => trans_in(12),
R => '0'
);
\r_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => trans_in(2),
R => '0'
);
\r_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => trans_in(3),
R => '0'
);
\r_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => trans_in(4),
R => '0'
);
\r_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(4),
Q => trans_in(5),
R => '0'
);
\r_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(5),
Q => trans_in(6),
R => '0'
);
\r_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(6),
Q => trans_in(7),
R => '0'
);
\r_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(7),
Q => trans_in(8),
R => '0'
);
\r_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(8),
Q => trans_in(9),
R => '0'
);
\r_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(9),
Q => trans_in(10),
R => '0'
);
r_push_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \state_reg[1]_rep_0\,
Q => r_push_r,
R => '0'
);
r_rlast_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => r_rlast,
Q => trans_in(0),
R => '0'
);
rd_data_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[4]_rep__0_0\ => \^m_valid_i_reg\,
\cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_1,
\cnt_read_reg[4]_rep__2_2\ => rd_data_fifo_0_n_2,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
\out\(33 downto 0) => \out\(33 downto 0),
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\state_reg[1]_rep\ => rd_data_fifo_0_n_4
);
transaction_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[0]_rep__3\ => rd_data_fifo_0_n_2,
\cnt_read_reg[0]_rep__3_0\ => rd_data_fifo_0_n_4,
\cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2\ => rd_data_fifo_0_n_1,
\in\(12 downto 0) => trans_in(12 downto 0),
m_valid_i_reg => \^m_valid_i_reg\,
r_push_r => r_push_r,
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axi_register_slice is
port (
s_axi_awready : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
si_rs_awvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
si_rs_bready : out STD_LOGIC;
si_rs_arvalid : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
si_rs_rready : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axlen_cnt_reg[3]_0\ : out STD_LOGIC;
\s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]_0\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : out STD_LOGIC;
next_pending_r_reg_0 : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
aclk : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_2\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_4\ : in STD_LOGIC;
\state_reg[0]_rep_0\ : in STD_LOGIC;
\state_reg[1]_rep_2\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axi_register_slice;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axi_register_slice is
signal \ar.ar_pipe_n_2\ : STD_LOGIC;
signal \aw.aw_pipe_n_1\ : STD_LOGIC;
signal \aw.aw_pipe_n_90\ : STD_LOGIC;
begin
\ar.ar_pipe\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice
port map (
O(3 downto 0) => O(3 downto 0),
Q(54 downto 0) => \s_arid_r_reg[11]\(54 downto 0),
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[0]_0\ => \aw.aw_pipe_n_90\,
\axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
\axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
axaddr_offset_0(1 downto 0) => axaddr_offset_0(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset_0(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]_0\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_3\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_4\,
\axaddr_offset_r_reg[3]\ => si_rs_arvalid,
\axaddr_offset_r_reg[3]_0\(2 downto 0) => \axaddr_offset_r_reg[3]_1\(2 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_2\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\,
\m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
m_valid_i0 => m_valid_i0,
m_valid_i_reg_0 => \ar.ar_pipe_n_2\,
m_valid_i_reg_1(0) => m_valid_i_reg(0),
next_pending_r_reg => next_pending_r_reg_0,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_ready_i0 => s_ready_i0,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\(1 downto 0) => \state_reg[1]_0\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep_1\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_2\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]_0\,
\wrap_cnt_r_reg[3]\(1 downto 0) => \wrap_cnt_r_reg[3]_0\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]_0\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_2\(3 downto 0)
);
\aw.aw_pipe\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0
port map (
D(1 downto 0) => D(1 downto 0),
E(0) => E(0),
Q(54 downto 0) => Q(54 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
aresetn => aresetn,
\aresetn_d_reg[1]_inv\ => \aw.aw_pipe_n_90\,
\aresetn_d_reg[1]_inv_0\ => \ar.ar_pipe_n_2\,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(1 downto 0) => axaddr_offset(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_1\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_2\,
\axaddr_offset_r_reg[3]\(2 downto 0) => \axaddr_offset_r_reg[3]\(2 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\,
b_push => b_push,
m_valid_i_reg_0 => si_rs_awvalid,
next_pending_r_reg => next_pending_r_reg,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_ready_i_reg_0 => \aw.aw_pipe_n_1\,
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_0\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0)
);
\b.b_pipe\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\out\(11 downto 0) => \out\(11 downto 0),
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0),
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[0]_0\ => si_rs_bready
);
\r.r_pipe\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
\cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0),
\cnt_read_reg[4]_rep__0\ => \cnt_read_reg[4]_rep__0\,
r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0),
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\skid_buffer_reg[0]_0\ => si_rs_rready
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_ar_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
r_rlast : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_ar_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_ar_channel is
signal ar_cmd_fsm_0_n_0 : STD_LOGIC;
signal ar_cmd_fsm_0_n_10 : STD_LOGIC;
signal ar_cmd_fsm_0_n_16 : STD_LOGIC;
signal ar_cmd_fsm_0_n_6 : STD_LOGIC;
signal ar_cmd_fsm_0_n_8 : STD_LOGIC;
signal ar_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_10 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_3 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \^r_push_r_reg\ : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
r_push_r_reg <= \^r_push_r_reg\;
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
ar_cmd_fsm_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm
port map (
D(0) => ar_cmd_fsm_0_n_6,
E(0) => ar_cmd_fsm_0_n_8,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\axaddr_incr_reg[0]\(0) => ar_cmd_fsm_0_n_16,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axlen_cnt_reg[7]\ => ar_cmd_fsm_0_n_0,
\axlen_cnt_reg[7]_0\ => cmd_translator_0_n_3,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \m_payload_i_reg[0]\,
\m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]_0\,
\m_payload_i_reg[0]_1\(0) => E(0),
\m_payload_i_reg[46]\(0) => Q(18),
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
m_valid_i0 => m_valid_i0,
r_push_r_reg => \^r_push_r_reg\,
s_axburst_eq1_reg => cmd_translator_0_n_10,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => s_ready_i0,
s_ready_i_reg => s_ready_i_reg,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => ar_cmd_fsm_0_n_9,
sel_first_reg_0 => ar_cmd_fsm_0_n_10,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => cmd_translator_0_n_0,
si_rs_arvalid => si_rs_arvalid,
\wrap_boundary_axaddr_r_reg[11]\(0) => \^wrap_boundary_axaddr_r_reg[11]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
O(3 downto 0) => O(3 downto 0),
Q(19 downto 0) => Q(19 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[0]\ => cmd_translator_0_n_3,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => ar_cmd_fsm_0_n_8,
r_rlast => r_rlast,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_0,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => ar_cmd_fsm_0_n_10,
sel_first_reg_3 => ar_cmd_fsm_0_n_9,
sel_first_reg_4(0) => ar_cmd_fsm_0_n_16,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => cmd_translator_0_n_10,
\state_reg[0]_rep_0\ => \^m_payload_i_reg[0]_0\,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\ => ar_cmd_fsm_0_n_0,
\state_reg[1]_rep\ => \^r_push_r_reg\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 1) => D(2 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[3]_1\(2 downto 1) => \wrap_second_len_r_reg[3]_0\(1 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_6
);
\s_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \r_arid_r_reg[11]\(0),
R => '0'
);
\s_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \r_arid_r_reg[11]\(10),
R => '0'
);
\s_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \r_arid_r_reg[11]\(11),
R => '0'
);
\s_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \r_arid_r_reg[11]\(1),
R => '0'
);
\s_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \r_arid_r_reg[11]\(2),
R => '0'
);
\s_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \r_arid_r_reg[11]\(3),
R => '0'
);
\s_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \r_arid_r_reg[11]\(4),
R => '0'
);
\s_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \r_arid_r_reg[11]\(5),
R => '0'
);
\s_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \r_arid_r_reg[11]\(6),
R => '0'
);
\s_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \r_arid_r_reg[11]\(7),
R => '0'
);
\s_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \r_arid_r_reg[11]\(8),
R => '0'
);
\s_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \r_arid_r_reg[11]\(9),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_aw_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\in\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_aw_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_aw_channel is
signal aw_cmd_fsm_0_n_12 : STD_LOGIC;
signal aw_cmd_fsm_0_n_14 : STD_LOGIC;
signal aw_cmd_fsm_0_n_15 : STD_LOGIC;
signal aw_cmd_fsm_0_n_16 : STD_LOGIC;
signal aw_cmd_fsm_0_n_2 : STD_LOGIC;
signal aw_cmd_fsm_0_n_8 : STD_LOGIC;
signal aw_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_12 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_5 : STD_LOGIC;
signal cmd_translator_0_n_6 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal \next\ : STD_LOGIC;
signal sel_first : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
aw_cmd_fsm_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm
port map (
D(0) => wrap_cnt(0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_wrap_reg[11]\(0) => aw_cmd_fsm_0_n_14,
\axlen_cnt_reg[0]\(0) => aw_cmd_fsm_0_n_8,
\axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_5,
\axlen_cnt_reg[7]\ => \axlen_cnt_reg[7]\,
\axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]_0\,
\axlen_cnt_reg[7]_1\ => aw_cmd_fsm_0_n_2,
\axlen_cnt_reg[7]_2\ => cmd_translator_0_n_6,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
incr_next_pending => incr_next_pending,
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[0]\(0) => E(0),
\m_payload_i_reg[46]\(2) => Q(18),
\m_payload_i_reg[46]\(1 downto 0) => Q(16 downto 15),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
s_axburst_eq0_reg => aw_cmd_fsm_0_n_9,
s_axburst_eq1_reg => aw_cmd_fsm_0_n_12,
s_axburst_eq1_reg_0 => cmd_translator_0_n_12,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => aw_cmd_fsm_0_n_15,
sel_first_reg_0 => aw_cmd_fsm_0_n_16,
sel_first_reg_1 => cmd_translator_0_n_2,
si_rs_awvalid => si_rs_awvalid,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(0) => cmd_translator_0_n_5,
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[2]\ => cmd_translator_0_n_6,
incr_next_pending => incr_next_pending,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_9,
\m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_12,
\m_payload_i_reg[47]\(19 downto 0) => Q(19 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_1\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_2,
sel_first_reg_1 => aw_cmd_fsm_0_n_16,
sel_first_reg_2 => aw_cmd_fsm_0_n_15,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => aw_cmd_fsm_0_n_14,
\state_reg[0]_rep\ => aw_cmd_fsm_0_n_2,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\(0) => aw_cmd_fsm_0_n_8,
\state_reg[1]_rep\ => cmd_translator_0_n_12,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 1) => D(1 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => wrap_cnt(0),
\wrap_second_len_r_reg[3]_1\(3 downto 1) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => \wrap_cmd_0/wrap_second_len\(0)
);
\s_awid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \in\(4),
R => '0'
);
\s_awid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \in\(14),
R => '0'
);
\s_awid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \in\(15),
R => '0'
);
\s_awid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \in\(5),
R => '0'
);
\s_awid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \in\(6),
R => '0'
);
\s_awid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \in\(7),
R => '0'
);
\s_awid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \in\(8),
R => '0'
);
\s_awid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \in\(9),
R => '0'
);
\s_awid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \in\(10),
R => '0'
);
\s_awid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \in\(11),
R => '0'
);
\s_awid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \in\(12),
R => '0'
);
\s_awid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \in\(13),
R => '0'
);
\s_awlen_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(16),
Q => \in\(0),
R => '0'
);
\s_awlen_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(17),
Q => \in\(1),
R => '0'
);
\s_awlen_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(18),
Q => \in\(2),
R => '0'
);
\s_awlen_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(19),
Q => \in\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s is
port (
s_axi_rvalid : out STD_LOGIC;
s_axi_awready : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_arready : out STD_LOGIC;
\m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_bvalid : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awready : in STD_LOGIC;
m_axi_arready : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
aclk : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awvalid : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
aresetn : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s is
signal \RD.ar_channel_0_n_0\ : STD_LOGIC;
signal \RD.ar_channel_0_n_10\ : STD_LOGIC;
signal \RD.ar_channel_0_n_11\ : STD_LOGIC;
signal \RD.ar_channel_0_n_16\ : STD_LOGIC;
signal \RD.ar_channel_0_n_3\ : STD_LOGIC;
signal \RD.ar_channel_0_n_4\ : STD_LOGIC;
signal \RD.ar_channel_0_n_46\ : STD_LOGIC;
signal \RD.ar_channel_0_n_47\ : STD_LOGIC;
signal \RD.ar_channel_0_n_48\ : STD_LOGIC;
signal \RD.ar_channel_0_n_49\ : STD_LOGIC;
signal \RD.ar_channel_0_n_5\ : STD_LOGIC;
signal \RD.r_channel_0_n_0\ : STD_LOGIC;
signal \RD.r_channel_0_n_1\ : STD_LOGIC;
signal SI_REG_n_132 : STD_LOGIC;
signal SI_REG_n_133 : STD_LOGIC;
signal SI_REG_n_134 : STD_LOGIC;
signal SI_REG_n_135 : STD_LOGIC;
signal SI_REG_n_136 : STD_LOGIC;
signal SI_REG_n_137 : STD_LOGIC;
signal SI_REG_n_138 : STD_LOGIC;
signal SI_REG_n_139 : STD_LOGIC;
signal SI_REG_n_140 : STD_LOGIC;
signal SI_REG_n_141 : STD_LOGIC;
signal SI_REG_n_142 : STD_LOGIC;
signal SI_REG_n_143 : STD_LOGIC;
signal SI_REG_n_149 : STD_LOGIC;
signal SI_REG_n_153 : STD_LOGIC;
signal SI_REG_n_154 : STD_LOGIC;
signal SI_REG_n_155 : STD_LOGIC;
signal SI_REG_n_156 : STD_LOGIC;
signal SI_REG_n_157 : STD_LOGIC;
signal SI_REG_n_161 : STD_LOGIC;
signal SI_REG_n_165 : STD_LOGIC;
signal SI_REG_n_166 : STD_LOGIC;
signal SI_REG_n_167 : STD_LOGIC;
signal SI_REG_n_168 : STD_LOGIC;
signal SI_REG_n_169 : STD_LOGIC;
signal SI_REG_n_170 : STD_LOGIC;
signal SI_REG_n_171 : STD_LOGIC;
signal SI_REG_n_172 : STD_LOGIC;
signal SI_REG_n_173 : STD_LOGIC;
signal SI_REG_n_174 : STD_LOGIC;
signal SI_REG_n_175 : STD_LOGIC;
signal SI_REG_n_176 : STD_LOGIC;
signal SI_REG_n_177 : STD_LOGIC;
signal SI_REG_n_178 : STD_LOGIC;
signal SI_REG_n_179 : STD_LOGIC;
signal SI_REG_n_180 : STD_LOGIC;
signal SI_REG_n_181 : STD_LOGIC;
signal SI_REG_n_182 : STD_LOGIC;
signal SI_REG_n_26 : STD_LOGIC;
signal SI_REG_n_64 : STD_LOGIC;
signal SI_REG_n_8 : STD_LOGIC;
signal SI_REG_n_82 : STD_LOGIC;
signal \WR.aw_channel_0_n_0\ : STD_LOGIC;
signal \WR.aw_channel_0_n_10\ : STD_LOGIC;
signal \WR.aw_channel_0_n_15\ : STD_LOGIC;
signal \WR.aw_channel_0_n_3\ : STD_LOGIC;
signal \WR.aw_channel_0_n_4\ : STD_LOGIC;
signal \WR.aw_channel_0_n_47\ : STD_LOGIC;
signal \WR.aw_channel_0_n_48\ : STD_LOGIC;
signal \WR.aw_channel_0_n_49\ : STD_LOGIC;
signal \WR.aw_channel_0_n_50\ : STD_LOGIC;
signal \WR.aw_channel_0_n_9\ : STD_LOGIC;
signal \WR.b_channel_0_n_1\ : STD_LOGIC;
signal \WR.b_channel_0_n_2\ : STD_LOGIC;
signal \ar.ar_pipe/m_valid_i0\ : STD_LOGIC;
signal \ar.ar_pipe/p_1_in\ : STD_LOGIC;
signal \ar.ar_pipe/s_ready_i0\ : STD_LOGIC;
signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal areset_d1 : STD_LOGIC;
signal areset_d1_i_1_n_0 : STD_LOGIC;
signal \aw.aw_pipe/p_1_in\ : STD_LOGIC;
signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axaddr_incr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal b_push : STD_LOGIC;
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal r_rlast : STD_LOGIC;
signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \^s_axi_arready\ : STD_LOGIC;
signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_arvalid : STD_LOGIC;
signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_awvalid : STD_LOGIC;
signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_bready : STD_LOGIC;
signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_bvalid : STD_LOGIC;
signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 );
signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_rlast : STD_LOGIC;
signal si_rs_rready : STD_LOGIC;
signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 2 );
begin
s_axi_arready <= \^s_axi_arready\;
\RD.ar_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_ar_channel
port map (
D(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
E(0) => \ar.ar_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(31 downto 20) => s_arid(11 downto 0),
Q(19 downto 16) => si_rs_arlen(3 downto 0),
Q(15) => si_rs_arburst(1),
Q(14) => SI_REG_n_82,
Q(13 downto 12) => si_rs_arsize(1 downto 0),
Q(11 downto 0) => si_rs_araddr(11 downto 0),
S(3) => \RD.ar_channel_0_n_46\,
S(2) => \RD.ar_channel_0_n_47\,
S(1) => \RD.ar_channel_0_n_48\,
S(0) => \RD.ar_channel_0_n_49\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_161,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_165,
\cnt_read_reg[2]_rep__0\ => \RD.r_channel_0_n_1\,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \RD.ar_channel_0_n_4\,
\m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_5\,
\m_payload_i_reg[3]\(3) => SI_REG_n_132,
\m_payload_i_reg[3]\(2) => SI_REG_n_133,
\m_payload_i_reg[3]\(1) => SI_REG_n_134,
\m_payload_i_reg[3]\(0) => SI_REG_n_135,
\m_payload_i_reg[47]\ => SI_REG_n_64,
\m_payload_i_reg[47]_0\ => SI_REG_n_167,
\m_payload_i_reg[5]\ => SI_REG_n_166,
\m_payload_i_reg[6]\(6) => SI_REG_n_176,
\m_payload_i_reg[6]\(5) => SI_REG_n_177,
\m_payload_i_reg[6]\(4) => SI_REG_n_178,
\m_payload_i_reg[6]\(3) => SI_REG_n_179,
\m_payload_i_reg[6]\(2) => SI_REG_n_180,
\m_payload_i_reg[6]\(1) => SI_REG_n_181,
\m_payload_i_reg[6]\(0) => SI_REG_n_182,
\m_payload_i_reg[7]\(3) => SI_REG_n_136,
\m_payload_i_reg[7]\(2) => SI_REG_n_137,
\m_payload_i_reg[7]\(1) => SI_REG_n_138,
\m_payload_i_reg[7]\(0) => SI_REG_n_139,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
\r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0),
r_push_r_reg => \RD.ar_channel_0_n_3\,
r_rlast => r_rlast,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
s_ready_i_reg => \^s_axi_arready\,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \RD.ar_channel_0_n_10\,
\wrap_cnt_r_reg[3]_0\ => \RD.ar_channel_0_n_11\,
\wrap_cnt_r_reg[3]_1\ => \RD.ar_channel_0_n_16\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_157
);
\RD.r_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_r_channel
port map (
D(11 downto 0) => s_arid_r(11 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
m_valid_i_reg => \RD.r_channel_0_n_0\,
\out\(33 downto 32) => si_rs_rresp(1 downto 0),
\out\(31 downto 0) => si_rs_rdata(31 downto 0),
r_rlast => r_rlast,
s_ready_i_reg => SI_REG_n_168,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0),
\skid_buffer_reg[46]\(0) => si_rs_rlast,
\state_reg[1]_rep\ => \RD.r_channel_0_n_1\,
\state_reg[1]_rep_0\ => \RD.ar_channel_0_n_3\
);
SI_REG: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axi_register_slice
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(54 downto 43) => s_awid(11 downto 0),
Q(42 downto 39) => si_rs_awlen(3 downto 0),
Q(38) => si_rs_awburst(1),
Q(37) => SI_REG_n_26,
Q(36 downto 35) => si_rs_awsize(1 downto 0),
Q(34 downto 12) => Q(22 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
aresetn => aresetn,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[3]\(3) => SI_REG_n_132,
\axaddr_incr_reg[3]\(2) => SI_REG_n_133,
\axaddr_incr_reg[3]\(1) => SI_REG_n_134,
\axaddr_incr_reg[3]\(0) => SI_REG_n_135,
\axaddr_incr_reg[7]\(3) => SI_REG_n_136,
\axaddr_incr_reg[7]\(2) => SI_REG_n_137,
\axaddr_incr_reg[7]\(1) => SI_REG_n_138,
\axaddr_incr_reg[7]\(0) => SI_REG_n_139,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
axaddr_offset_0(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset_0(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\ => SI_REG_n_154,
\axaddr_offset_r_reg[2]_0\ => SI_REG_n_166,
\axaddr_offset_r_reg[2]_1\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[2]_2\ => \WR.aw_channel_0_n_15\,
\axaddr_offset_r_reg[2]_3\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[2]_4\ => \RD.ar_channel_0_n_16\,
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\axaddr_offset_r_reg[3]_1\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]_1\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_2\ => \RD.ar_channel_0_n_11\,
\axlen_cnt_reg[3]\ => SI_REG_n_8,
\axlen_cnt_reg[3]_0\ => SI_REG_n_64,
b_push => b_push,
\cnt_read_reg[2]_rep__0\ => SI_REG_n_168,
\cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0),
\cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0),
\cnt_read_reg[4]_rep__0\ => \RD.r_channel_0_n_0\,
\m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_46\,
\m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_47\,
\m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_48\,
\m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_49\,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
m_valid_i_reg(0) => \ar.ar_pipe/p_1_in\,
next_pending_r_reg => SI_REG_n_155,
next_pending_r_reg_0 => SI_REG_n_167,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0),
r_push_r_reg(0) => si_rs_rlast,
\s_arid_r_reg[11]\(54 downto 43) => s_arid(11 downto 0),
\s_arid_r_reg[11]\(42 downto 39) => si_rs_arlen(3 downto 0),
\s_arid_r_reg[11]\(38) => si_rs_arburst(1),
\s_arid_r_reg[11]\(37) => SI_REG_n_82,
\s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0),
\s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0),
\s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0),
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => \^s_axi_arready\,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0),
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
si_rs_arvalid => si_rs_arvalid,
si_rs_awvalid => si_rs_awvalid,
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
si_rs_rready => si_rs_rready,
\state_reg[0]_rep\ => \WR.aw_channel_0_n_4\,
\state_reg[0]_rep_0\ => \RD.ar_channel_0_n_5\,
\state_reg[1]\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_0\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_rep\ => \WR.aw_channel_0_n_0\,
\state_reg[1]_rep_0\ => \WR.aw_channel_0_n_3\,
\state_reg[1]_rep_1\ => \RD.ar_channel_0_n_0\,
\state_reg[1]_rep_2\ => \RD.ar_channel_0_n_4\,
\wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_169,
\wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_170,
\wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_171,
\wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_172,
\wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_173,
\wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_174,
\wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_175,
\wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_176,
\wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_177,
\wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_178,
\wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_179,
\wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_180,
\wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_181,
\wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_182,
\wrap_cnt_r_reg[2]\ => SI_REG_n_149,
\wrap_cnt_r_reg[2]_0\ => SI_REG_n_161,
\wrap_cnt_r_reg[3]\ => SI_REG_n_153,
\wrap_cnt_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_cnt_r_reg[3]_0\(0) => SI_REG_n_157,
\wrap_cnt_r_reg[3]_1\ => SI_REG_n_165,
\wrap_second_len_r_reg[1]\ => \WR.aw_channel_0_n_9\,
\wrap_second_len_r_reg[1]_0\ => \RD.ar_channel_0_n_10\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0)
);
\WR.aw_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_aw_channel
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
Q(31 downto 20) => s_awid(11 downto 0),
Q(19 downto 16) => si_rs_awlen(3 downto 0),
Q(15) => si_rs_awburst(1),
Q(14) => SI_REG_n_26,
Q(13 downto 12) => si_rs_awsize(1 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_149,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_153,
\axlen_cnt_reg[7]\ => \WR.aw_channel_0_n_3\,
\axlen_cnt_reg[7]_0\ => \WR.aw_channel_0_n_4\,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[47]\ => SI_REG_n_8,
\m_payload_i_reg[47]_0\ => SI_REG_n_155,
\m_payload_i_reg[5]\ => SI_REG_n_154,
\m_payload_i_reg[6]\(6) => SI_REG_n_169,
\m_payload_i_reg[6]\(5) => SI_REG_n_170,
\m_payload_i_reg[6]\(4) => SI_REG_n_171,
\m_payload_i_reg[6]\(3) => SI_REG_n_172,
\m_payload_i_reg[6]\(2) => SI_REG_n_173,
\m_payload_i_reg[6]\(1) => SI_REG_n_174,
\m_payload_i_reg[6]\(0) => SI_REG_n_175,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]_rep\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \WR.aw_channel_0_n_9\,
\wrap_cnt_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\wrap_cnt_r_reg[3]_1\ => \WR.aw_channel_0_n_15\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1)
);
\WR.b_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_b_channel
port map (
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0)
);
areset_d1_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aresetn,
O => areset_d1_i_1_n_0
);
areset_d1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => areset_d1_i_1_n_0,
Q => areset_d1,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_DECERR : string;
attribute P_DECERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b11";
attribute P_INCR : string;
attribute P_INCR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b10";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axi_wready\ : STD_LOGIC;
signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^s_axi_wvalid\ : STD_LOGIC;
begin
\^m_axi_wready\ <= m_axi_wready;
\^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0);
\^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0);
\^s_axi_wvalid\ <= s_axi_wvalid;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const1>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(11) <= \<const0>\;
m_axi_arid(10) <= \<const0>\;
m_axi_arid(9) <= \<const0>\;
m_axi_arid(8) <= \<const0>\;
m_axi_arid(7) <= \<const0>\;
m_axi_arid(6) <= \<const0>\;
m_axi_arid(5) <= \<const0>\;
m_axi_arid(4) <= \<const0>\;
m_axi_arid(3) <= \<const0>\;
m_axi_arid(2) <= \<const0>\;
m_axi_arid(1) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const1>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const1>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(11) <= \<const0>\;
m_axi_awid(10) <= \<const0>\;
m_axi_awid(9) <= \<const0>\;
m_axi_awid(8) <= \<const0>\;
m_axi_awid(7) <= \<const0>\;
m_axi_awid(6) <= \<const0>\;
m_axi_awid(5) <= \<const0>\;
m_axi_awid(4) <= \<const0>\;
m_axi_awid(3) <= \<const0>\;
m_axi_awid(2) <= \<const0>\;
m_axi_awid(1) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const1>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0);
m_axi_wid(11) <= \<const0>\;
m_axi_wid(10) <= \<const0>\;
m_axi_wid(9) <= \<const0>\;
m_axi_wid(8) <= \<const0>\;
m_axi_wid(7) <= \<const0>\;
m_axi_wid(6) <= \<const0>\;
m_axi_wid(5) <= \<const0>\;
m_axi_wid(4) <= \<const0>\;
m_axi_wid(3) <= \<const0>\;
m_axi_wid(2) <= \<const0>\;
m_axi_wid(1) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const1>\;
m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0);
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \^s_axi_wvalid\;
s_axi_buser(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_wready <= \^m_axi_wready\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s
port map (
Q(22 downto 20) => m_axi_awprot(2 downto 0),
Q(19 downto 0) => m_axi_awaddr(31 downto 12),
aclk => aclk,
aresetn => aresetn,
\in\(33 downto 32) => m_axi_rresp(1 downto 0),
\in\(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0),
\m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0),
\s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0),
\s_axi_rid[11]\(34) => s_axi_rlast,
\s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0),
\s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "gcd_zynq_snick_auto_pc_0,axi_protocol_converter_v2_1_17_axi_protocol_converter,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "axi_protocol_converter_v2_1_17_axi_protocol_converter,Vivado 2018.2";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of inst : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of inst : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of inst : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of inst : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of inst : label is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of inst : label is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of inst : label is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of inst : label is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of inst : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of inst : label is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of inst : label is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of inst : label is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of inst : label is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of inst : label is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of inst : label is 2;
attribute DowngradeIPIdentifiedWarnings of inst : label is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of inst : label is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of inst : label is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of inst : label is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of inst : label is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of inst : label is 2;
attribute P_DECERR : string;
attribute P_DECERR of inst : label is "2'b11";
attribute P_INCR : string;
attribute P_INCR of inst : label is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of inst : label is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of inst : label is "2'b10";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of aclk : signal is "xilinx.com:signal:clock:1.0 CLK CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of aclk : signal is "XIL_INTERFACENAME CLK, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, ASSOCIATED_BUSIF S_AXI:M_AXI, ASSOCIATED_RESET ARESETN";
attribute X_INTERFACE_INFO of aresetn : signal is "xilinx.com:signal:reset:1.0 RST RST";
attribute X_INTERFACE_PARAMETER of aresetn : signal is "XIL_INTERFACENAME RST, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
attribute X_INTERFACE_INFO of m_axi_arready : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARREADY";
attribute X_INTERFACE_INFO of m_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARVALID";
attribute X_INTERFACE_INFO of m_axi_awready : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWREADY";
attribute X_INTERFACE_INFO of m_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWVALID";
attribute X_INTERFACE_INFO of m_axi_bready : signal is "xilinx.com:interface:aximm:1.0 M_AXI BREADY";
attribute X_INTERFACE_INFO of m_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI BVALID";
attribute X_INTERFACE_INFO of m_axi_rready : signal is "xilinx.com:interface:aximm:1.0 M_AXI RREADY";
attribute X_INTERFACE_PARAMETER of m_axi_rready : signal is "XIL_INTERFACENAME M_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 49999947, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of m_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI RVALID";
attribute X_INTERFACE_INFO of m_axi_wready : signal is "xilinx.com:interface:aximm:1.0 M_AXI WREADY";
attribute X_INTERFACE_INFO of m_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI WVALID";
attribute X_INTERFACE_INFO of s_axi_arready : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
attribute X_INTERFACE_INFO of s_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
attribute X_INTERFACE_INFO of s_axi_awready : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
attribute X_INTERFACE_INFO of s_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
attribute X_INTERFACE_INFO of s_axi_bready : signal is "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
attribute X_INTERFACE_INFO of s_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
attribute X_INTERFACE_INFO of s_axi_rlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI RLAST";
attribute X_INTERFACE_INFO of s_axi_rready : signal is "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_rready : signal is "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 49999947, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
attribute X_INTERFACE_INFO of s_axi_wlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI WLAST";
attribute X_INTERFACE_INFO of s_axi_wready : signal is "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
attribute X_INTERFACE_INFO of s_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
attribute X_INTERFACE_INFO of m_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARADDR";
attribute X_INTERFACE_INFO of m_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARPROT";
attribute X_INTERFACE_INFO of m_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWADDR";
attribute X_INTERFACE_INFO of m_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWPROT";
attribute X_INTERFACE_INFO of m_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI BRESP";
attribute X_INTERFACE_INFO of m_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI RDATA";
attribute X_INTERFACE_INFO of m_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI RRESP";
attribute X_INTERFACE_INFO of m_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI WDATA";
attribute X_INTERFACE_INFO of m_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 M_AXI WSTRB";
attribute X_INTERFACE_INFO of s_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
attribute X_INTERFACE_INFO of s_axi_arburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARBURST";
attribute X_INTERFACE_INFO of s_axi_arcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE";
attribute X_INTERFACE_INFO of s_axi_arid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARID";
attribute X_INTERFACE_INFO of s_axi_arlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLEN";
attribute X_INTERFACE_INFO of s_axi_arlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK";
attribute X_INTERFACE_INFO of s_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARPROT";
attribute X_INTERFACE_INFO of s_axi_arqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARQOS";
attribute X_INTERFACE_INFO of s_axi_arsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE";
attribute X_INTERFACE_INFO of s_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
attribute X_INTERFACE_INFO of s_axi_awburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWBURST";
attribute X_INTERFACE_INFO of s_axi_awcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE";
attribute X_INTERFACE_INFO of s_axi_awid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWID";
attribute X_INTERFACE_INFO of s_axi_awlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLEN";
attribute X_INTERFACE_INFO of s_axi_awlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK";
attribute X_INTERFACE_INFO of s_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWPROT";
attribute X_INTERFACE_INFO of s_axi_awqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWQOS";
attribute X_INTERFACE_INFO of s_axi_awsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE";
attribute X_INTERFACE_INFO of s_axi_bid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BID";
attribute X_INTERFACE_INFO of s_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
attribute X_INTERFACE_INFO of s_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
attribute X_INTERFACE_INFO of s_axi_rid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RID";
attribute X_INTERFACE_INFO of s_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
attribute X_INTERFACE_INFO of s_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
attribute X_INTERFACE_INFO of s_axi_wid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WID";
attribute X_INTERFACE_INFO of s_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter
port map (
aclk => aclk,
aresetn => aresetn,
m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0),
m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0),
m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => m_axi_awvalid,
m_axi_bid(11 downto 0) => B"000000000000",
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_buser(0) => '0',
m_axi_bvalid => m_axi_bvalid,
m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_rid(11 downto 0) => B"000000000000",
m_axi_rlast => '1',
m_axi_rready => m_axi_rready,
m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0),
m_axi_ruser(0) => '0',
m_axi_rvalid => m_axi_rvalid,
m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0),
m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0),
m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED,
m_axi_wready => m_axi_wready,
m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0),
m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => m_axi_wvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0),
s_axi_aruser(0) => '0',
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0),
s_axi_awuser(0) => '0',
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0),
s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wuser(0) => '0',
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
| mit | 0659ab339eaaf92b06a23ef7f5247723 | 0.536401 | 2.550552 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/alu/header.vhdl | 1 | 2,800 | library IEEE;
use IEEE.std_logic_1164.all;
entity inv is
port(inb: in STD_logic;
outb: out STD_Logic);
end inv;
architecture structure of inv is
begin
outb <= not (inb);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity nand2 is
port(a, b: in STD_logic;
outb: out STD_Logic);
end nand2;
architecture structure of nand2 is
begin
outb <= not(a and b);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity nand3 is
port(a, b, c: in STD_logic;
outb: out STD_Logic);
end nand3 ;
architecture structure of nand3 is
begin
outb <= not(a and b and c);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity nand4 is
port(a, b, c, d: in STD_logic;
outb: out STD_Logic);
end nand4 ;
architecture structure of nand4 is
begin
outb <= not(a and b and c and d);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity nor2 is
port(a, b: in STD_logic;
outb: out STD_Logic);
end nor2 ;
architecture structure of nor2 is
begin
outb <= not(a or b);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity nor3 is
port(a, b, c: in STD_logic;
outb: out STD_Logic);
end nor3 ;
architecture structure of nor3 is
begin
outb <= not(a or b or c);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity xor2 is
port(a, b: in STD_logic;
outb: out STD_Logic);
end xor2 ;
architecture structure of xor2 is
begin
outb <= (a xor b);
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity aoi12 is
port(a, b, c: in STD_logic;
outb: out STD_Logic);
end aoi12 ;
architecture structure of aoi12 is
begin
outb <= not(a or (b and c));
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity aoi22 is
port(a, b, c, d: in STD_logic;
outb: out STD_Logic);
end aoi22 ;
architecture structure of aoi22 is
begin
outb <= not((a and b) or (c and d));
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity oai12 is
port(a, b, c: in STD_logic;
outb: out STD_Logic);
end oai12;
architecture structure of oai12 is
begin
outb <= not(a and (b or c));
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity oai22 is
port(a, b, c, d: in STD_logic;
outb: out STD_Logic);
end oai22;
architecture structure of oai22 is
begin
outb <= not((a or b) and (c or d));
end structure;
library IEEE;
use IEEE.std_logic_1164.all;
entity dff is
port(d, gclk, rnot: in STD_logic;
q: out STD_Logic);
end dff;
architecture structure of dff is
begin
start: process(gclk,rnot)
begin
if ( rising_edge(gclk) or rnot = '0') then
if (rnot = '0') then
q <= '0';
else
q <= d;
end if;
end if;
end process;
end structure; | mit | b6bc6f5f0a3f0f092669d0cf151df52a | 0.652857 | 2.671756 | false | false | false | false |
MartinCura/SistDig-TP4 | src/uart/transmit.vhd | 1 | 2,272 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity transmit is
generic (
NDBits : natural := 8
);
port (
clk : in std_logic;
rst : in std_logic;
Tx : out std_logic;
Din : in std_logic_vector(NDBits-1 downto 0);
TxBusy : out std_logic;
TopTx : in std_logic;
StartTx : in std_logic
);
end;
architecture arch of transmit is
signal Tx_Reg : std_logic_vector(NDBits downto 0);
type State_Type is (Idle, Load_Tx, Shift_Tx, Stop_Tx);
signal TxFsm : State_Type;
signal RegDin : std_logic_vector(NDBits-1 downto 0);
signal TxBitCnt : natural range 0 to 15;
begin
TX <= Tx_Reg(0);
Tx_FSM: process(rst, clk)
begin
if rst = '1' then
Tx_Reg <= (others => '1');
TxBitCnt <= 0;
TxFSM <= idle;
TxBusy <= '0';
RegDin <= (others => '0');
elsif rising_edge(clk) then
TxBusy <= '1'; -- except when explicitly '0'
case TxFSM is
when Idle =>
if StartTx = '1' then
-- latch the input data immediately
RegDin <= Din;
TxBusy <= '1';
TxFSM <= Load_Tx;
else
TxBusy <= '0';
end if;
when Load_Tx =>
if TopTx = '1' then
TxFSM <= Shift_Tx;
TxBitCnt <= (NDBits + 1); -- start + data
Tx_reg <= RegDin & '0';
end if;
when Shift_Tx =>
if TopTx = '1' then
TxBitCnt <= TxBitCnt - 1;
Tx_reg <= '1' & Tx_reg(Tx_reg'high downto 1);
if TxBitCnt = 1 then
TxFSM <= Stop_Tx;
end if;
end if;
when Stop_Tx =>
if TopTx = '1' then
TxFSM <= Idle;
end if;
when others =>
TxFSM <= Idle;
end case;
end if;
end process;
end;
| gpl-3.0 | 70734032086570a4fcf5d436810e5948 | 0.40537 | 4.544 | false | false | false | false |
drhodes/jade2hdl | test-data/vhdl/mod1/pddLNXO0pWNvo.vhdl | 1 | 8,690 | ------------------------------------------------------------------
-- VHDL PRELUDE --------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mod_user_and2 is
port (in1 : in std_logic;
in2 : in std_logic;
out1 : out std_logic) ;
end entity mod_user_and2 ;
architecture behavioral of mod_user_and2 is
begin
out1 <= in1 and in2 ;
end architecture behavioral ;
-- /gates/and4 ------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity mod_gates_and4 is
port (a : in std_logic_vector(0 downto 0);
b : in std_logic_vector(0 downto 0);
c : in std_logic_vector(0 downto 0);
d : in std_logic_vector(0 downto 0);
vout : out std_logic_vector(0 downto 0));
end entity mod_gates_and4 ;
architecture behavioral of mod_gates_and4 is
begin
vout <= a and b and c and d;
end architecture behavioral ;
-- /gates/and2 ------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity mod_gates_and2 is
port (a : in std_logic;
b : in std_logic;
vout : out std_logic) ;
end entity mod_gates_and2 ;
architecture behavioral of mod_gates_and2 is
begin
vout <= a and b;
end architecture behavioral ;
-- /gates/or2 ------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity mod_gates_or2 is
port (a : in std_logic;
b : in std_logic;
vout : out std_logic) ;
end entity mod_gates_or2 ;
architecture behavioral of mod_gates_or2 is
begin
vout <= a or b;
end architecture behavioral ;
-- END VHDL PRELUDE ----------------------------------------------
------------------------------------------------------------------
-- combinational module -------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity mod_user_And41 IS
port (A : in std_logic_vector(0 downto 0); B : in std_logic_vector(0 downto 0); C : in std_logic_vector(0 downto 0); D : in std_logic_vector(0 downto 0); vout : out std_logic_vector(0 downto 0));
end mod_user_And41;
architecture struct of mod_user_And41 is
-- node declarations
signal w1, wire_PJG6O : std_logic_vector(0 downto 0);
begin
-- each submodule is wired up here.
mod_user_AND2_MLK0l_0 : entity work.mod_user_AND2 port map (A(0 downto 0) => in1(0 downto 0),
B(0 downto 0) => in2(0 downto 0),
out1(0 downto 0) => w1(0 downto 0));
mod_user_AND2_LYyOa_0 : entity work.mod_user_AND2 port map (C(0 downto 0) => in1(0 downto 0),
D(0 downto 0) => in2(0 downto 0),
out1(0 downto 0) => wire_LMrRl(0 downto 0));
mod_user_AND2_LLeAw_0 : entity work.mod_user_AND2 port map (w1(0 downto 0) => in1(0 downto 0),
wire_LMrRl(0 downto 0) => in2(0 downto 0),
out1(0 downto 0) => vout(0 downto 0));
end struct;
-- Combinational testbench. ---------------------------------------
library STD;
use STD.textio.all; -- basic I/O
use STD.env.all;
library IEEE;
use IEEE.std_logic_1164.all; -- basic logic types
use IEEE.std_logic_textio.all; -- I/O for logic types
use ieee.numeric_std.all;
entity mod_user_And41_tb is end entity mod_user_And41_tb;
architecture behavior of mod_user_And41_tb is
signal A, B, C, D, vout: std_logic_vector(0 downto 0);
begin
dut : entity work.mod_user_And41 port map (A => A,
B => B,
C => C,
D => D,
vout => vout);
process
begin
-------------------------------------------------------
A <= "1";
B <= "1";
C <= "1";
D <= "1";
wait for 99.0 ns;
if vout /= "1" then
report "";
report "TestNum 1";
report "expecting: vout = 1";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 1: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "1";
B <= "1";
C <= "1";
D <= "0";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 2";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 2: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "1";
B <= "1";
C <= "0";
D <= "1";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 3";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 3: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "1";
B <= "1";
C <= "0";
D <= "0";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 4";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 4: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "1";
B <= "0";
C <= "1";
D <= "1";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 5";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 5: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "1";
B <= "0";
C <= "1";
D <= "0";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 6";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 6: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "1";
B <= "0";
C <= "0";
D <= "1";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 7";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 7: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "1";
B <= "0";
C <= "0";
D <= "0";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 8";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 8: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "0";
B <= "1";
C <= "1";
D <= "1";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 9";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 9: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "0";
B <= "1";
C <= "1";
D <= "0";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 10";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 10: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "0";
B <= "1";
C <= "0";
D <= "1";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 11";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 11: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "0";
B <= "1";
C <= "0";
D <= "0";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 12";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 12: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "0";
B <= "0";
C <= "1";
D <= "1";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 13";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 13: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "0";
B <= "0";
C <= "1";
D <= "0";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 14";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 14: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "0";
B <= "0";
C <= "0";
D <= "1";
wait for 99.0 ns;
if vout /= "0" then
report "";
report "TestNum 15";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 15: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= "0";
B <= "0";
C <= "0";
D <= "0";
wait for 99.0 ns;
if vout /= "0" then
report "// This comment is included in vhdl test cases.";
report "TestNum 16";
report "expecting: vout = 0";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 16: PASSED" & LF);
end if;
wait for 1.0 ns;
finish(0);
end process;
end behavior;
| bsd-3-clause | 30ffc31564b7f1eca52e45b928b5888a | 0.502071 | 3.085938 | false | true | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/fir/fir_generic_transposed_filter_syn(copy).vhdl | 1 | 1,042,121 |
library IEEE;
use IEEE.std_logic_1164.all;
package CONV_PACK_fir_generic_transposed_filter is
-- define attributes
attribute ENUM_ENCODING : STRING;
-- define any necessary types
type SIGNED is array (INTEGER range <>) of std_logic;
end CONV_PACK_fir_generic_transposed_filter;
library IEEE;
use IEEE.std_logic_1164.all;
use work.CONV_PACK_fir_generic_transposed_filter.all;
entity fir_generic_transposed_filter is
port( clk, rst, valid_x_in : in std_logic; ready_x_out : out std_logic;
valid_h_in : in std_logic; ready_h_out, valid_out : out std_logic;
ready_in : in std_logic; x_data_in, h_data_in : in SIGNED (15 downto
0); y_data_out : out SIGNED (32 downto 0));
end fir_generic_transposed_filter;
architecture SYN_fir_rtl_arch of fir_generic_transposed_filter is
component inv
port( inb : in std_logic; outb : out std_logic);
end component;
component xor2
port( a, b : in std_logic; outb : out std_logic);
end component;
component nand2
port( a, b : in std_logic; outb : out std_logic);
end component;
component oai22
port( a, b, c, d : in std_logic; outb : out std_logic);
end component;
component aoi22
port( a, b, c, d : in std_logic; outb : out std_logic);
end component;
component nor2
port( a, b : in std_logic; outb : out std_logic);
end component;
component oai12
port( b, c, a : in std_logic; outb : out std_logic);
end component;
component aoi12
port( b, c, a : in std_logic; outb : out std_logic);
end component;
component dff
port( d, gclk, rnot : in std_logic; q : out std_logic);
end component;
component dff_asyncprehh
port( d, gclk, asyncprehh : in std_logic; q : out std_logic);
end component;
component dff_asyncrsthl
port( d, gclk, asyncrsthl : in std_logic; q : out std_logic);
end component;
signal ready_x_out_port, ready_h_out_port, valid_out_port,
coefficient_mem_array_0_15_port, coefficient_mem_array_0_14_port,
coefficient_mem_array_0_13_port, coefficient_mem_array_0_12_port,
coefficient_mem_array_0_11_port, coefficient_mem_array_0_10_port,
coefficient_mem_array_0_9_port, coefficient_mem_array_0_8_port,
coefficient_mem_array_0_7_port, coefficient_mem_array_0_6_port,
coefficient_mem_array_0_5_port, coefficient_mem_array_0_4_port,
coefficient_mem_array_0_3_port, coefficient_mem_array_0_2_port,
coefficient_mem_array_0_1_port, coefficient_mem_array_0_0_port,
coefficient_mem_array_1_15_port, coefficient_mem_array_1_14_port,
coefficient_mem_array_1_13_port, coefficient_mem_array_1_12_port,
coefficient_mem_array_1_11_port, coefficient_mem_array_1_10_port,
coefficient_mem_array_1_9_port, coefficient_mem_array_1_8_port,
coefficient_mem_array_1_7_port, coefficient_mem_array_1_6_port,
coefficient_mem_array_1_5_port, coefficient_mem_array_1_4_port,
coefficient_mem_array_1_3_port, coefficient_mem_array_1_2_port,
coefficient_mem_array_1_1_port, coefficient_mem_array_1_0_port,
coefficient_mem_array_2_15_port, coefficient_mem_array_2_14_port,
coefficient_mem_array_2_13_port, coefficient_mem_array_2_12_port,
coefficient_mem_array_2_11_port, coefficient_mem_array_2_10_port,
coefficient_mem_array_2_9_port, coefficient_mem_array_2_8_port,
coefficient_mem_array_2_7_port, coefficient_mem_array_2_6_port,
coefficient_mem_array_2_5_port, coefficient_mem_array_2_4_port,
coefficient_mem_array_2_3_port, coefficient_mem_array_2_2_port,
coefficient_mem_array_2_1_port, coefficient_mem_array_2_0_port,
coefficient_mem_array_3_15_port, coefficient_mem_array_3_14_port,
coefficient_mem_array_3_13_port, coefficient_mem_array_3_12_port,
coefficient_mem_array_3_11_port, coefficient_mem_array_3_10_port,
coefficient_mem_array_3_9_port, coefficient_mem_array_3_8_port,
coefficient_mem_array_3_7_port, coefficient_mem_array_3_6_port,
coefficient_mem_array_3_5_port, coefficient_mem_array_3_4_port,
coefficient_mem_array_3_3_port, coefficient_mem_array_3_2_port,
coefficient_mem_array_3_1_port, coefficient_mem_array_3_0_port,
input_sample_mem_15_port, input_sample_mem_14_port,
input_sample_mem_13_port, input_sample_mem_12_port,
input_sample_mem_11_port, input_sample_mem_10_port,
input_sample_mem_9_port, input_sample_mem_8_port, input_sample_mem_7_port
, input_sample_mem_6_port, input_sample_mem_5_port,
input_sample_mem_4_port, input_sample_mem_3_port, input_sample_mem_2_port
, input_sample_mem_1_port, input_sample_mem_0_port,
adder_mem_array_0_32_port, adder_mem_array_0_31_port,
adder_mem_array_0_30_port, adder_mem_array_0_29_port,
adder_mem_array_0_28_port, adder_mem_array_0_27_port,
adder_mem_array_0_26_port, adder_mem_array_0_25_port,
adder_mem_array_0_24_port, adder_mem_array_0_23_port,
adder_mem_array_0_22_port, adder_mem_array_0_21_port,
adder_mem_array_0_20_port, adder_mem_array_0_19_port,
adder_mem_array_0_18_port, adder_mem_array_0_17_port,
adder_mem_array_0_16_port, adder_mem_array_0_15_port,
adder_mem_array_0_14_port, adder_mem_array_0_13_port,
adder_mem_array_0_12_port, adder_mem_array_0_11_port,
adder_mem_array_0_10_port, adder_mem_array_0_9_port,
adder_mem_array_0_8_port, adder_mem_array_0_7_port,
adder_mem_array_0_6_port, adder_mem_array_0_5_port,
adder_mem_array_0_4_port, adder_mem_array_0_3_port,
adder_mem_array_0_2_port, adder_mem_array_0_1_port,
adder_mem_array_0_0_port, adder_mem_array_1_32_port,
adder_mem_array_1_31_port, adder_mem_array_1_30_port,
adder_mem_array_1_29_port, adder_mem_array_1_28_port,
adder_mem_array_1_27_port, adder_mem_array_1_26_port,
adder_mem_array_1_25_port, adder_mem_array_1_24_port,
adder_mem_array_1_23_port, adder_mem_array_1_22_port,
adder_mem_array_1_21_port, adder_mem_array_1_20_port,
adder_mem_array_1_19_port, adder_mem_array_1_18_port,
adder_mem_array_1_17_port, adder_mem_array_1_16_port,
adder_mem_array_1_15_port, adder_mem_array_1_14_port,
adder_mem_array_1_13_port, adder_mem_array_1_12_port,
adder_mem_array_1_11_port, adder_mem_array_1_10_port,
adder_mem_array_1_9_port, adder_mem_array_1_8_port,
adder_mem_array_1_7_port, adder_mem_array_1_6_port,
adder_mem_array_1_5_port, adder_mem_array_1_4_port,
adder_mem_array_1_3_port, adder_mem_array_1_2_port,
adder_mem_array_1_1_port, adder_mem_array_1_0_port,
adder_mem_array_2_32_port, adder_mem_array_2_31_port,
adder_mem_array_2_30_port, adder_mem_array_2_29_port,
adder_mem_array_2_28_port, adder_mem_array_2_27_port,
adder_mem_array_2_26_port, adder_mem_array_2_25_port,
adder_mem_array_2_24_port, adder_mem_array_2_23_port,
adder_mem_array_2_22_port, adder_mem_array_2_21_port,
adder_mem_array_2_20_port, adder_mem_array_2_19_port,
adder_mem_array_2_18_port, adder_mem_array_2_17_port,
adder_mem_array_2_16_port, adder_mem_array_2_15_port,
adder_mem_array_2_14_port, adder_mem_array_2_13_port,
adder_mem_array_2_12_port, adder_mem_array_2_11_port,
adder_mem_array_2_10_port, adder_mem_array_2_9_port,
adder_mem_array_2_8_port, adder_mem_array_2_7_port,
adder_mem_array_2_6_port, adder_mem_array_2_5_port,
adder_mem_array_2_4_port, adder_mem_array_2_3_port,
adder_mem_array_2_2_port, adder_mem_array_2_1_port,
adder_mem_array_2_0_port, adder_mem_array_3_32_port,
adder_mem_array_3_31_port, adder_mem_array_3_30_port,
adder_mem_array_3_29_port, adder_mem_array_3_28_port,
adder_mem_array_3_27_port, adder_mem_array_3_26_port,
adder_mem_array_3_25_port, adder_mem_array_3_24_port,
adder_mem_array_3_23_port, adder_mem_array_3_22_port,
adder_mem_array_3_21_port, adder_mem_array_3_20_port,
adder_mem_array_3_19_port, adder_mem_array_3_18_port,
adder_mem_array_3_17_port, adder_mem_array_3_16_port,
adder_mem_array_3_15_port, adder_mem_array_3_14_port,
adder_mem_array_3_13_port, adder_mem_array_3_12_port,
adder_mem_array_3_11_port, adder_mem_array_3_10_port,
adder_mem_array_3_9_port, adder_mem_array_3_8_port,
adder_mem_array_3_7_port, adder_mem_array_3_6_port,
adder_mem_array_3_5_port, adder_mem_array_3_4_port,
adder_mem_array_3_3_port, adder_mem_array_3_2_port,
adder_mem_array_3_1_port, adder_mem_array_3_0_port,
multiplier_sigs_0_31_port, multiplier_sigs_0_30_port,
multiplier_sigs_0_29_port, multiplier_sigs_0_28_port,
multiplier_sigs_0_27_port, multiplier_sigs_0_26_port,
multiplier_sigs_0_25_port, multiplier_sigs_0_24_port,
multiplier_sigs_0_23_port, multiplier_sigs_0_22_port,
multiplier_sigs_0_21_port, multiplier_sigs_0_20_port,
multiplier_sigs_0_19_port, multiplier_sigs_0_18_port,
multiplier_sigs_0_17_port, multiplier_sigs_0_16_port,
multiplier_sigs_0_15_port, multiplier_sigs_0_14_port,
multiplier_sigs_0_13_port, multiplier_sigs_0_12_port,
multiplier_sigs_0_11_port, multiplier_sigs_0_10_port,
multiplier_sigs_0_9_port, multiplier_sigs_0_8_port,
multiplier_sigs_0_7_port, multiplier_sigs_0_6_port,
multiplier_sigs_0_5_port, multiplier_sigs_0_4_port,
multiplier_sigs_0_3_port, multiplier_sigs_0_2_port,
multiplier_sigs_0_0_port, multiplier_sigs_1_31_port,
multiplier_sigs_1_30_port, multiplier_sigs_1_29_port,
multiplier_sigs_1_28_port, multiplier_sigs_1_27_port,
multiplier_sigs_1_26_port, multiplier_sigs_1_25_port,
multiplier_sigs_1_24_port, multiplier_sigs_1_23_port,
multiplier_sigs_1_22_port, multiplier_sigs_1_21_port,
multiplier_sigs_1_20_port, multiplier_sigs_1_19_port,
multiplier_sigs_1_18_port, multiplier_sigs_1_17_port,
multiplier_sigs_1_16_port, multiplier_sigs_1_15_port,
multiplier_sigs_1_14_port, multiplier_sigs_1_13_port,
multiplier_sigs_1_12_port, multiplier_sigs_1_11_port,
multiplier_sigs_1_10_port, multiplier_sigs_1_9_port,
multiplier_sigs_1_8_port, multiplier_sigs_1_7_port,
multiplier_sigs_1_6_port, multiplier_sigs_1_5_port,
multiplier_sigs_1_4_port, multiplier_sigs_1_3_port,
multiplier_sigs_1_2_port, multiplier_sigs_1_0_port,
multiplier_sigs_2_31_port, multiplier_sigs_2_30_port,
multiplier_sigs_2_29_port, multiplier_sigs_2_28_port,
multiplier_sigs_2_27_port, multiplier_sigs_2_26_port,
multiplier_sigs_2_25_port, multiplier_sigs_2_24_port,
multiplier_sigs_2_23_port, multiplier_sigs_2_22_port,
multiplier_sigs_2_21_port, multiplier_sigs_2_20_port,
multiplier_sigs_2_19_port, multiplier_sigs_2_18_port,
multiplier_sigs_2_17_port, multiplier_sigs_2_16_port,
multiplier_sigs_2_15_port, multiplier_sigs_2_14_port,
multiplier_sigs_2_13_port, multiplier_sigs_2_12_port,
multiplier_sigs_2_11_port, multiplier_sigs_2_10_port,
multiplier_sigs_2_9_port, multiplier_sigs_2_8_port,
multiplier_sigs_2_7_port, multiplier_sigs_2_6_port,
multiplier_sigs_2_5_port, multiplier_sigs_2_4_port,
multiplier_sigs_2_3_port, multiplier_sigs_2_2_port,
multiplier_sigs_2_0_port, multiplier_sigs_3_31_port,
multiplier_sigs_3_30_port, multiplier_sigs_3_29_port,
multiplier_sigs_3_28_port, multiplier_sigs_3_27_port,
multiplier_sigs_3_26_port, multiplier_sigs_3_25_port,
multiplier_sigs_3_24_port, multiplier_sigs_3_23_port,
multiplier_sigs_3_22_port, multiplier_sigs_3_21_port,
multiplier_sigs_3_20_port, multiplier_sigs_3_19_port,
multiplier_sigs_3_18_port, multiplier_sigs_3_17_port,
multiplier_sigs_3_16_port, multiplier_sigs_3_15_port,
multiplier_sigs_3_14_port, multiplier_sigs_3_13_port,
multiplier_sigs_3_12_port, multiplier_sigs_3_11_port,
multiplier_sigs_3_10_port, multiplier_sigs_3_9_port,
multiplier_sigs_3_8_port, multiplier_sigs_3_7_port,
multiplier_sigs_3_6_port, multiplier_sigs_3_5_port,
multiplier_sigs_3_4_port, multiplier_sigs_3_3_port,
multiplier_sigs_3_2_port, multiplier_sigs_3_1_port,
multiplier_sigs_3_0_port, N6, N7, N8, N9, N10, N11, N12, N13, N14, N15,
N16, N17, N18, N19, N20, N21, N22, N23, N24, N25, N26, N27, N28, N29, N30
, N31, N32, N33, N34, N35, N36, N37, N38, N39, N40, N41, N42, N43, N44,
N45, N46, N47, N48, N49, N50, N51, N52, N53, N54, N55, N56, N57, N58, N59
, N60, N61, N62, N63, N64, N65, N66, N67, N68, N69, N70, N71, N72, N73,
N74, N75, N76, N77, N78, N79, N80, N81, N82, N83, N84, N85, N86, N87, N88
, N89, N90, N91, N92, N93, N94, N95, N96, N97, N98, N99, N100, N101, N102
, N103, N104, coeff_cnt_1_port, coeff_cnt_0_port, n16_port, n17_port,
n18_port, n19_port, n20_port, n21_port, n22_port, n23_port, n24_port,
n25_port, n26_port, n27_port, n28_port, n29_port, n30_port, n31_port,
n32_port, n33_port, n34_port, n35_port, n36_port, n37_port, n38_port,
n39_port, n40_port, n41_port, n42_port, n43_port, n44_port, n45_port,
n46_port, n47_port, n48_port, n49_port, n50_port, n51_port, n52_port,
n53_port, n54_port, n55_port, n56_port, n57_port, n58_port, n59_port,
n60_port, n61_port, n62_port, n63_port, n64_port, n65_port, n66_port,
n67_port, n68_port, n69_port, n70_port, n71_port, n72_port, n73_port,
n74_port, n75_port, n76_port, n77_port, n78_port, n79_port, n80_port,
n81_port, n82_port, n83_port, n84_port, n85_port, n86_port, n87_port,
n88_port, n89_port, n90_port, n91_port, n92_port, n93_port, n94_port,
n95_port, n96_port, n97_port, n98_port, n99_port, n100_port, n101_port,
n102_port, n103_port, n104_port, n105, n106, n107, n108, n109, n110, n111
, n112, n113, n114, n115, n116, n117, n118, n119, n120, n121, n122, n123,
n124, n125, n126, n127, n128, n129, n130, n131, n132, n133, n134, n135,
n136, n137, n138, n139, n140, n141, n142, n143, n144, n145, n146, n147,
n148, n149, n150, n151, n152, n153, n154, n155, n156, n157, n158, n159,
n160, n161, n162, n163, n164, n165, n166, n167, n168, n169, n170, n171,
n172, n173, n174, n175, n176, n177, n178, n179, n180, n181, n182, n183,
n184, n185, n186, n187, n188, n189, n190, n191, n192, n193, n194, n195,
n196, n197, n198, n199, n200, n201, n202, n203, n204, n205, n206, n207,
n208, n209, n210, n211, n212, n213, n214, n215, n216, n217, n218, n219,
n220, n221, n222, n223, n224, n225, n226, n227, n228, n229, n230, n231,
n232, n233, n234, n235, n236, n237, n238, n239,
mult_125_G3_FS_1_C_1_3_3_port, mult_125_G3_FS_1_C_1_4_0_port,
mult_125_G3_FS_1_C_1_4_1_port, mult_125_G3_FS_1_C_1_4_2_port,
mult_125_G3_FS_1_C_1_4_3_port, mult_125_G3_FS_1_C_1_5_0_port,
mult_125_G3_FS_1_C_1_5_1_port, mult_125_G3_FS_1_C_1_5_2_port,
mult_125_G3_FS_1_C_1_5_3_port, mult_125_G3_FS_1_C_1_6_0_port,
mult_125_G3_FS_1_C_1_6_1_port, mult_125_G3_FS_1_C_1_6_2_port,
mult_125_G3_FS_1_C_1_6_3_port, mult_125_G3_FS_1_C_1_7_0_port,
mult_125_G3_FS_1_C_1_7_1_port, mult_125_G3_FS_1_P_0_0_1_port,
mult_125_G3_FS_1_P_0_0_2_port, mult_125_G3_FS_1_P_0_0_3_port,
mult_125_G3_FS_1_P_0_1_1_port, mult_125_G3_FS_1_P_0_1_2_port,
mult_125_G3_FS_1_P_0_1_3_port, mult_125_G3_FS_1_P_0_2_1_port,
mult_125_G3_FS_1_P_0_2_2_port, mult_125_G3_FS_1_P_0_2_3_port,
mult_125_G3_FS_1_P_0_3_1_port, mult_125_G3_FS_1_P_0_3_2_port,
mult_125_G3_FS_1_P_0_3_3_port, mult_125_G3_FS_1_P_0_4_1_port,
mult_125_G3_FS_1_P_0_4_2_port, mult_125_G3_FS_1_P_0_4_3_port,
mult_125_G3_FS_1_P_0_5_1_port, mult_125_G3_FS_1_P_0_5_2_port,
mult_125_G3_FS_1_P_0_5_3_port, mult_125_G3_FS_1_P_0_6_1_port,
mult_125_G3_FS_1_P_0_6_2_port, mult_125_G3_FS_1_P_0_6_3_port,
mult_125_G3_FS_1_P_0_7_1_port, mult_125_G3_FS_1_TEMP_P_0_0_0_port,
mult_125_G3_FS_1_TEMP_P_0_1_0_port, mult_125_G3_FS_1_TEMP_P_0_2_0_port,
mult_125_G3_FS_1_TEMP_P_0_3_0_port, mult_125_G3_FS_1_TEMP_P_0_4_0_port,
mult_125_G3_FS_1_TEMP_P_0_4_1_port, mult_125_G3_FS_1_TEMP_P_0_4_2_port,
mult_125_G3_FS_1_TEMP_P_0_5_0_port, mult_125_G3_FS_1_TEMP_P_0_5_1_port,
mult_125_G3_FS_1_TEMP_P_0_5_2_port, mult_125_G3_FS_1_TEMP_P_0_6_0_port,
mult_125_G3_FS_1_TEMP_P_0_6_1_port, mult_125_G3_FS_1_TEMP_P_0_6_2_port,
mult_125_G3_FS_1_TEMP_P_0_7_0_port, mult_125_G3_FS_1_G_1_0_3_port,
mult_125_G3_FS_1_G_1_1_0_port, mult_125_G3_FS_1_G_1_1_1_port,
mult_125_G3_FS_1_G_1_1_2_port, mult_125_G3_FS_1_G_2_0_0_port,
mult_125_G3_FS_1_TEMP_G_0_3_2_port, mult_125_G3_FS_1_TEMP_G_0_4_1_port,
mult_125_G3_FS_1_TEMP_G_0_4_2_port, mult_125_G3_FS_1_TEMP_G_0_5_1_port,
mult_125_G3_FS_1_TEMP_G_0_5_2_port, mult_125_G3_FS_1_TEMP_G_0_6_1_port,
mult_125_G3_FS_1_TEMP_G_0_6_2_port, mult_125_G3_FS_1_G_n_int_0_3_2_port,
mult_125_G3_FS_1_G_n_int_0_3_3_port, mult_125_G3_FS_1_G_n_int_0_4_0_port,
mult_125_G3_FS_1_G_n_int_0_4_1_port, mult_125_G3_FS_1_G_n_int_0_4_2_port,
mult_125_G3_FS_1_G_n_int_0_4_3_port, mult_125_G3_FS_1_G_n_int_0_5_0_port,
mult_125_G3_FS_1_G_n_int_0_5_1_port, mult_125_G3_FS_1_G_n_int_0_5_2_port,
mult_125_G3_FS_1_G_n_int_0_5_3_port, mult_125_G3_FS_1_G_n_int_0_6_0_port,
mult_125_G3_FS_1_G_n_int_0_6_1_port, mult_125_G3_FS_1_G_n_int_0_6_2_port,
mult_125_G3_FS_1_G_n_int_0_6_3_port, mult_125_G3_FS_1_G_n_int_0_7_0_port,
mult_125_G3_FS_1_G_n_int_0_7_1_port, mult_125_G3_FS_1_PG_int_0_3_3_port,
mult_125_G3_FS_1_PG_int_0_4_0_port, mult_125_G3_FS_1_PG_int_0_4_1_port,
mult_125_G3_FS_1_PG_int_0_4_2_port, mult_125_G3_FS_1_PG_int_0_4_3_port,
mult_125_G3_FS_1_PG_int_0_5_0_port, mult_125_G3_FS_1_PG_int_0_5_1_port,
mult_125_G3_FS_1_PG_int_0_5_2_port, mult_125_G3_FS_1_PG_int_0_5_3_port,
mult_125_G3_FS_1_PG_int_0_6_0_port, mult_125_G3_FS_1_PG_int_0_6_1_port,
mult_125_G3_FS_1_PG_int_0_6_2_port, mult_125_G3_FS_1_PG_int_0_6_3_port,
mult_125_G3_FS_1_PG_int_0_7_0_port, mult_125_G3_FS_1_PG_int_0_7_1_port,
mult_125_G3_A2_14_port, mult_125_G3_A2_15_port, mult_125_G3_A2_16_port,
mult_125_G3_A2_17_port, mult_125_G3_A2_18_port, mult_125_G3_A2_19_port,
mult_125_G3_A2_20_port, mult_125_G3_A2_21_port, mult_125_G3_A2_22_port,
mult_125_G3_A2_23_port, mult_125_G3_A2_24_port, mult_125_G3_A2_25_port,
mult_125_G3_A2_26_port, mult_125_G3_A2_27_port, mult_125_G3_A2_28_port,
mult_125_G3_A2_29_port, mult_125_G3_A1_0_port, mult_125_G3_A1_1_port,
mult_125_G3_A1_2_port, mult_125_G3_A1_3_port, mult_125_G3_A1_4_port,
mult_125_G3_A1_5_port, mult_125_G3_A1_6_port, mult_125_G3_A1_7_port,
mult_125_G3_A1_8_port, mult_125_G3_A1_9_port, mult_125_G3_A1_10_port,
mult_125_G3_A1_11_port, mult_125_G3_A1_12_port, mult_125_G3_A1_13_port,
mult_125_G3_A1_14_port, mult_125_G3_A1_15_port, mult_125_G3_A1_16_port,
mult_125_G3_A1_17_port, mult_125_G3_A1_18_port, mult_125_G3_A1_19_port,
mult_125_G3_A1_20_port, mult_125_G3_A1_21_port, mult_125_G3_A1_22_port,
mult_125_G3_A1_23_port, mult_125_G3_A1_24_port, mult_125_G3_A1_25_port,
mult_125_G3_A1_26_port, mult_125_G3_A1_27_port, mult_125_G3_A1_28_port,
mult_125_G3_A1_29_port, mult_125_G3_ZB, mult_125_G3_ZA, mult_125_G3_QB,
mult_125_G3_QA, mult_125_G3_A_notx_0_port, mult_125_G3_A_notx_1_port,
mult_125_G3_A_notx_2_port, mult_125_G3_A_notx_3_port,
mult_125_G3_A_notx_4_port, mult_125_G3_A_notx_5_port,
mult_125_G3_A_notx_6_port, mult_125_G3_A_notx_7_port,
mult_125_G3_A_notx_8_port, mult_125_G3_A_notx_9_port,
mult_125_G3_A_notx_10_port, mult_125_G3_A_notx_11_port,
mult_125_G3_A_notx_12_port, mult_125_G3_A_notx_13_port,
mult_125_G3_A_notx_14_port, mult_125_G3_B_notx_0_port,
mult_125_G3_B_notx_1_port, mult_125_G3_B_notx_2_port,
mult_125_G3_B_notx_3_port, mult_125_G3_B_notx_4_port,
mult_125_G3_B_notx_5_port, mult_125_G3_B_notx_6_port,
mult_125_G3_B_notx_7_port, mult_125_G3_B_notx_8_port,
mult_125_G3_B_notx_9_port, mult_125_G3_B_notx_10_port,
mult_125_G3_B_notx_11_port, mult_125_G3_B_notx_12_port,
mult_125_G3_B_notx_13_port, mult_125_G3_B_notx_14_port,
mult_125_G3_ab_0_1_port, mult_125_G3_ab_0_2_port, mult_125_G3_ab_0_3_port
, mult_125_G3_ab_0_4_port, mult_125_G3_ab_0_5_port,
mult_125_G3_ab_0_6_port, mult_125_G3_ab_0_7_port, mult_125_G3_ab_0_8_port
, mult_125_G3_ab_0_9_port, mult_125_G3_ab_0_10_port,
mult_125_G3_ab_0_11_port, mult_125_G3_ab_0_12_port,
mult_125_G3_ab_0_13_port, mult_125_G3_ab_0_14_port,
mult_125_G3_ab_0_15_port, mult_125_G3_ab_1_0_port,
mult_125_G3_ab_1_1_port, mult_125_G3_ab_1_2_port, mult_125_G3_ab_1_3_port
, mult_125_G3_ab_1_4_port, mult_125_G3_ab_1_5_port,
mult_125_G3_ab_1_6_port, mult_125_G3_ab_1_7_port, mult_125_G3_ab_1_8_port
, mult_125_G3_ab_1_9_port, mult_125_G3_ab_1_10_port,
mult_125_G3_ab_1_11_port, mult_125_G3_ab_1_12_port,
mult_125_G3_ab_1_13_port, mult_125_G3_ab_1_14_port,
mult_125_G3_ab_1_15_port, mult_125_G3_ab_2_0_port,
mult_125_G3_ab_2_1_port, mult_125_G3_ab_2_2_port, mult_125_G3_ab_2_3_port
, mult_125_G3_ab_2_4_port, mult_125_G3_ab_2_5_port,
mult_125_G3_ab_2_6_port, mult_125_G3_ab_2_7_port, mult_125_G3_ab_2_8_port
, mult_125_G3_ab_2_9_port, mult_125_G3_ab_2_10_port,
mult_125_G3_ab_2_11_port, mult_125_G3_ab_2_12_port,
mult_125_G3_ab_2_13_port, mult_125_G3_ab_2_14_port,
mult_125_G3_ab_2_15_port, mult_125_G3_ab_3_0_port,
mult_125_G3_ab_3_1_port, mult_125_G3_ab_3_2_port, mult_125_G3_ab_3_3_port
, mult_125_G3_ab_3_4_port, mult_125_G3_ab_3_5_port,
mult_125_G3_ab_3_6_port, mult_125_G3_ab_3_7_port, mult_125_G3_ab_3_8_port
, mult_125_G3_ab_3_9_port, mult_125_G3_ab_3_10_port,
mult_125_G3_ab_3_11_port, mult_125_G3_ab_3_12_port,
mult_125_G3_ab_3_13_port, mult_125_G3_ab_3_14_port,
mult_125_G3_ab_3_15_port, mult_125_G3_ab_4_0_port,
mult_125_G3_ab_4_1_port, mult_125_G3_ab_4_2_port, mult_125_G3_ab_4_3_port
, mult_125_G3_ab_4_4_port, mult_125_G3_ab_4_5_port,
mult_125_G3_ab_4_6_port, mult_125_G3_ab_4_7_port, mult_125_G3_ab_4_8_port
, mult_125_G3_ab_4_9_port, mult_125_G3_ab_4_10_port,
mult_125_G3_ab_4_11_port, mult_125_G3_ab_4_12_port,
mult_125_G3_ab_4_13_port, mult_125_G3_ab_4_14_port,
mult_125_G3_ab_4_15_port, mult_125_G3_ab_5_0_port,
mult_125_G3_ab_5_1_port, mult_125_G3_ab_5_2_port, mult_125_G3_ab_5_3_port
, mult_125_G3_ab_5_4_port, mult_125_G3_ab_5_5_port,
mult_125_G3_ab_5_6_port, mult_125_G3_ab_5_7_port, mult_125_G3_ab_5_8_port
, mult_125_G3_ab_5_9_port, mult_125_G3_ab_5_10_port,
mult_125_G3_ab_5_11_port, mult_125_G3_ab_5_12_port,
mult_125_G3_ab_5_13_port, mult_125_G3_ab_5_14_port,
mult_125_G3_ab_5_15_port, mult_125_G3_ab_6_0_port,
mult_125_G3_ab_6_1_port, mult_125_G3_ab_6_2_port, mult_125_G3_ab_6_3_port
, mult_125_G3_ab_6_4_port, mult_125_G3_ab_6_5_port,
mult_125_G3_ab_6_6_port, mult_125_G3_ab_6_7_port, mult_125_G3_ab_6_8_port
, mult_125_G3_ab_6_9_port, mult_125_G3_ab_6_10_port,
mult_125_G3_ab_6_11_port, mult_125_G3_ab_6_12_port,
mult_125_G3_ab_6_13_port, mult_125_G3_ab_6_14_port,
mult_125_G3_ab_6_15_port, mult_125_G3_ab_7_0_port,
mult_125_G3_ab_7_1_port, mult_125_G3_ab_7_2_port, mult_125_G3_ab_7_3_port
, mult_125_G3_ab_7_4_port, mult_125_G3_ab_7_5_port,
mult_125_G3_ab_7_6_port, mult_125_G3_ab_7_7_port, mult_125_G3_ab_7_8_port
, mult_125_G3_ab_7_9_port, mult_125_G3_ab_7_10_port,
mult_125_G3_ab_7_11_port, mult_125_G3_ab_7_12_port,
mult_125_G3_ab_7_13_port, mult_125_G3_ab_7_14_port,
mult_125_G3_ab_7_15_port, mult_125_G3_ab_8_0_port,
mult_125_G3_ab_8_1_port, mult_125_G3_ab_8_2_port, mult_125_G3_ab_8_3_port
, mult_125_G3_ab_8_4_port, mult_125_G3_ab_8_5_port,
mult_125_G3_ab_8_6_port, mult_125_G3_ab_8_7_port, mult_125_G3_ab_8_8_port
, mult_125_G3_ab_8_9_port, mult_125_G3_ab_8_10_port,
mult_125_G3_ab_8_11_port, mult_125_G3_ab_8_12_port,
mult_125_G3_ab_8_13_port, mult_125_G3_ab_8_14_port,
mult_125_G3_ab_8_15_port, mult_125_G3_ab_9_0_port,
mult_125_G3_ab_9_1_port, mult_125_G3_ab_9_2_port, mult_125_G3_ab_9_3_port
, mult_125_G3_ab_9_4_port, mult_125_G3_ab_9_5_port,
mult_125_G3_ab_9_6_port, mult_125_G3_ab_9_7_port, mult_125_G3_ab_9_8_port
, mult_125_G3_ab_9_9_port, mult_125_G3_ab_9_10_port,
mult_125_G3_ab_9_11_port, mult_125_G3_ab_9_12_port,
mult_125_G3_ab_9_13_port, mult_125_G3_ab_9_14_port,
mult_125_G3_ab_9_15_port, mult_125_G3_ab_10_0_port,
mult_125_G3_ab_10_1_port, mult_125_G3_ab_10_2_port,
mult_125_G3_ab_10_3_port, mult_125_G3_ab_10_4_port,
mult_125_G3_ab_10_5_port, mult_125_G3_ab_10_6_port,
mult_125_G3_ab_10_7_port, mult_125_G3_ab_10_8_port,
mult_125_G3_ab_10_9_port, mult_125_G3_ab_10_10_port,
mult_125_G3_ab_10_11_port, mult_125_G3_ab_10_12_port,
mult_125_G3_ab_10_13_port, mult_125_G3_ab_10_14_port,
mult_125_G3_ab_10_15_port, mult_125_G3_ab_11_0_port,
mult_125_G3_ab_11_1_port, mult_125_G3_ab_11_2_port,
mult_125_G3_ab_11_3_port, mult_125_G3_ab_11_4_port,
mult_125_G3_ab_11_5_port, mult_125_G3_ab_11_6_port,
mult_125_G3_ab_11_7_port, mult_125_G3_ab_11_8_port,
mult_125_G3_ab_11_9_port, mult_125_G3_ab_11_10_port,
mult_125_G3_ab_11_11_port, mult_125_G3_ab_11_12_port,
mult_125_G3_ab_11_13_port, mult_125_G3_ab_11_14_port,
mult_125_G3_ab_11_15_port, mult_125_G3_ab_12_0_port,
mult_125_G3_ab_12_1_port, mult_125_G3_ab_12_2_port,
mult_125_G3_ab_12_3_port, mult_125_G3_ab_12_4_port,
mult_125_G3_ab_12_5_port, mult_125_G3_ab_12_6_port,
mult_125_G3_ab_12_7_port, mult_125_G3_ab_12_8_port,
mult_125_G3_ab_12_9_port, mult_125_G3_ab_12_10_port,
mult_125_G3_ab_12_11_port, mult_125_G3_ab_12_12_port,
mult_125_G3_ab_12_13_port, mult_125_G3_ab_12_14_port,
mult_125_G3_ab_12_15_port, mult_125_G3_ab_13_0_port,
mult_125_G3_ab_13_1_port, mult_125_G3_ab_13_2_port,
mult_125_G3_ab_13_3_port, mult_125_G3_ab_13_4_port,
mult_125_G3_ab_13_5_port, mult_125_G3_ab_13_6_port,
mult_125_G3_ab_13_7_port, mult_125_G3_ab_13_8_port,
mult_125_G3_ab_13_9_port, mult_125_G3_ab_13_10_port,
mult_125_G3_ab_13_11_port, mult_125_G3_ab_13_12_port,
mult_125_G3_ab_13_13_port, mult_125_G3_ab_13_14_port,
mult_125_G3_ab_13_15_port, mult_125_G3_ab_14_0_port,
mult_125_G3_ab_14_1_port, mult_125_G3_ab_14_2_port,
mult_125_G3_ab_14_3_port, mult_125_G3_ab_14_4_port,
mult_125_G3_ab_14_5_port, mult_125_G3_ab_14_6_port,
mult_125_G3_ab_14_7_port, mult_125_G3_ab_14_8_port,
mult_125_G3_ab_14_9_port, mult_125_G3_ab_14_10_port,
mult_125_G3_ab_14_11_port, mult_125_G3_ab_14_12_port,
mult_125_G3_ab_14_13_port, mult_125_G3_ab_14_14_port,
mult_125_G3_ab_14_15_port, mult_125_G3_ab_15_0_port,
mult_125_G3_ab_15_1_port, mult_125_G3_ab_15_2_port,
mult_125_G3_ab_15_3_port, mult_125_G3_ab_15_4_port,
mult_125_G3_ab_15_5_port, mult_125_G3_ab_15_6_port,
mult_125_G3_ab_15_7_port, mult_125_G3_ab_15_8_port,
mult_125_G3_ab_15_9_port, mult_125_G3_ab_15_10_port,
mult_125_G3_ab_15_11_port, mult_125_G3_ab_15_12_port,
mult_125_G3_ab_15_13_port, mult_125_G3_ab_15_14_port,
mult_125_G3_ab_15_15_port, mult_125_G3_B_not_0_port,
mult_125_G3_B_not_1_port, mult_125_G3_B_not_2_port,
mult_125_G3_B_not_3_port, mult_125_G3_B_not_4_port,
mult_125_G3_B_not_5_port, mult_125_G3_B_not_6_port,
mult_125_G3_B_not_7_port, mult_125_G3_B_not_8_port,
mult_125_G3_B_not_9_port, mult_125_G3_B_not_10_port,
mult_125_G3_B_not_11_port, mult_125_G3_B_not_12_port,
mult_125_G3_B_not_13_port, mult_125_G3_B_not_14_port,
mult_125_G3_B_not_15_port, mult_125_G3_A_not_0_port,
mult_125_G3_A_not_1_port, mult_125_G3_A_not_2_port,
mult_125_G3_A_not_3_port, mult_125_G3_A_not_4_port,
mult_125_G3_A_not_5_port, mult_125_G3_A_not_6_port,
mult_125_G3_A_not_7_port, mult_125_G3_A_not_8_port,
mult_125_G3_A_not_9_port, mult_125_G3_A_not_10_port,
mult_125_G3_A_not_11_port, mult_125_G3_A_not_12_port,
mult_125_G3_A_not_13_port, mult_125_G3_A_not_14_port,
mult_125_G3_A_not_15_port, mult_125_G2_FS_1_C_1_3_3_port,
mult_125_G2_FS_1_C_1_4_0_port, mult_125_G2_FS_1_C_1_4_1_port,
mult_125_G2_FS_1_C_1_4_2_port, mult_125_G2_FS_1_C_1_4_3_port,
mult_125_G2_FS_1_C_1_5_0_port, mult_125_G2_FS_1_C_1_5_1_port,
mult_125_G2_FS_1_C_1_5_2_port, mult_125_G2_FS_1_C_1_5_3_port,
mult_125_G2_FS_1_C_1_6_0_port, mult_125_G2_FS_1_C_1_6_1_port,
mult_125_G2_FS_1_C_1_6_2_port, mult_125_G2_FS_1_C_1_6_3_port,
mult_125_G2_FS_1_C_1_7_0_port, mult_125_G2_FS_1_C_1_7_1_port,
mult_125_G2_FS_1_P_0_0_1_port, mult_125_G2_FS_1_P_0_0_2_port,
mult_125_G2_FS_1_P_0_0_3_port, mult_125_G2_FS_1_P_0_1_1_port,
mult_125_G2_FS_1_P_0_1_2_port, mult_125_G2_FS_1_P_0_1_3_port,
mult_125_G2_FS_1_P_0_2_1_port, mult_125_G2_FS_1_P_0_2_2_port,
mult_125_G2_FS_1_P_0_2_3_port, mult_125_G2_FS_1_P_0_3_1_port,
mult_125_G2_FS_1_P_0_3_2_port, mult_125_G2_FS_1_P_0_3_3_port,
mult_125_G2_FS_1_P_0_4_1_port, mult_125_G2_FS_1_P_0_4_2_port,
mult_125_G2_FS_1_P_0_4_3_port, mult_125_G2_FS_1_P_0_5_1_port,
mult_125_G2_FS_1_P_0_5_2_port, mult_125_G2_FS_1_P_0_5_3_port,
mult_125_G2_FS_1_P_0_6_1_port, mult_125_G2_FS_1_P_0_6_2_port,
mult_125_G2_FS_1_P_0_6_3_port, mult_125_G2_FS_1_P_0_7_1_port,
mult_125_G2_FS_1_TEMP_P_0_0_0_port, mult_125_G2_FS_1_TEMP_P_0_1_0_port,
mult_125_G2_FS_1_TEMP_P_0_2_0_port, mult_125_G2_FS_1_TEMP_P_0_3_0_port,
mult_125_G2_FS_1_TEMP_P_0_4_0_port, mult_125_G2_FS_1_TEMP_P_0_4_1_port,
mult_125_G2_FS_1_TEMP_P_0_4_2_port, mult_125_G2_FS_1_TEMP_P_0_5_0_port,
mult_125_G2_FS_1_TEMP_P_0_5_1_port, mult_125_G2_FS_1_TEMP_P_0_5_2_port,
mult_125_G2_FS_1_TEMP_P_0_6_0_port, mult_125_G2_FS_1_TEMP_P_0_6_1_port,
mult_125_G2_FS_1_TEMP_P_0_6_2_port, mult_125_G2_FS_1_TEMP_P_0_7_0_port,
mult_125_G2_FS_1_G_1_0_3_port, mult_125_G2_FS_1_G_1_1_0_port,
mult_125_G2_FS_1_G_1_1_1_port, mult_125_G2_FS_1_G_1_1_2_port,
mult_125_G2_FS_1_G_2_0_0_port, mult_125_G2_FS_1_TEMP_G_0_3_2_port,
mult_125_G2_FS_1_TEMP_G_0_4_1_port, mult_125_G2_FS_1_TEMP_G_0_4_2_port,
mult_125_G2_FS_1_TEMP_G_0_5_1_port, mult_125_G2_FS_1_TEMP_G_0_5_2_port,
mult_125_G2_FS_1_TEMP_G_0_6_1_port, mult_125_G2_FS_1_TEMP_G_0_6_2_port,
mult_125_G2_FS_1_G_n_int_0_3_2_port, mult_125_G2_FS_1_G_n_int_0_3_3_port,
mult_125_G2_FS_1_G_n_int_0_4_0_port, mult_125_G2_FS_1_G_n_int_0_4_1_port,
mult_125_G2_FS_1_G_n_int_0_4_2_port, mult_125_G2_FS_1_G_n_int_0_4_3_port,
mult_125_G2_FS_1_G_n_int_0_5_0_port, mult_125_G2_FS_1_G_n_int_0_5_1_port,
mult_125_G2_FS_1_G_n_int_0_5_2_port, mult_125_G2_FS_1_G_n_int_0_5_3_port,
mult_125_G2_FS_1_G_n_int_0_6_0_port, mult_125_G2_FS_1_G_n_int_0_6_1_port,
mult_125_G2_FS_1_G_n_int_0_6_2_port, mult_125_G2_FS_1_G_n_int_0_6_3_port,
mult_125_G2_FS_1_G_n_int_0_7_0_port, mult_125_G2_FS_1_G_n_int_0_7_1_port,
mult_125_G2_FS_1_PG_int_0_3_3_port, mult_125_G2_FS_1_PG_int_0_4_0_port,
mult_125_G2_FS_1_PG_int_0_4_1_port, mult_125_G2_FS_1_PG_int_0_4_2_port,
mult_125_G2_FS_1_PG_int_0_4_3_port, mult_125_G2_FS_1_PG_int_0_5_0_port,
mult_125_G2_FS_1_PG_int_0_5_1_port, mult_125_G2_FS_1_PG_int_0_5_2_port,
mult_125_G2_FS_1_PG_int_0_5_3_port, mult_125_G2_FS_1_PG_int_0_6_0_port,
mult_125_G2_FS_1_PG_int_0_6_1_port, mult_125_G2_FS_1_PG_int_0_6_2_port,
mult_125_G2_FS_1_PG_int_0_6_3_port, mult_125_G2_FS_1_PG_int_0_7_0_port,
mult_125_G2_FS_1_PG_int_0_7_1_port, mult_125_G2_A2_14_port,
mult_125_G2_A2_15_port, mult_125_G2_A2_16_port, mult_125_G2_A2_17_port,
mult_125_G2_A2_18_port, mult_125_G2_A2_19_port, mult_125_G2_A2_20_port,
mult_125_G2_A2_21_port, mult_125_G2_A2_22_port, mult_125_G2_A2_23_port,
mult_125_G2_A2_24_port, mult_125_G2_A2_25_port, mult_125_G2_A2_26_port,
mult_125_G2_A2_27_port, mult_125_G2_A2_28_port, mult_125_G2_A2_29_port,
mult_125_G2_A1_0_port, mult_125_G2_A1_1_port, mult_125_G2_A1_2_port,
mult_125_G2_A1_3_port, mult_125_G2_A1_4_port, mult_125_G2_A1_5_port,
mult_125_G2_A1_6_port, mult_125_G2_A1_7_port, mult_125_G2_A1_8_port,
mult_125_G2_A1_9_port, mult_125_G2_A1_10_port, mult_125_G2_A1_11_port,
mult_125_G2_A1_12_port, mult_125_G2_A1_13_port, mult_125_G2_A1_14_port,
mult_125_G2_A1_15_port, mult_125_G2_A1_16_port, mult_125_G2_A1_17_port,
mult_125_G2_A1_18_port, mult_125_G2_A1_19_port, mult_125_G2_A1_20_port,
mult_125_G2_A1_21_port, mult_125_G2_A1_22_port, mult_125_G2_A1_23_port,
mult_125_G2_A1_24_port, mult_125_G2_A1_25_port, mult_125_G2_A1_26_port,
mult_125_G2_A1_27_port, mult_125_G2_A1_28_port, mult_125_G2_A1_29_port,
mult_125_G2_ZB, mult_125_G2_ZA, mult_125_G2_QB, mult_125_G2_QA,
mult_125_G2_A_notx_0_port, mult_125_G2_A_notx_1_port,
mult_125_G2_A_notx_2_port, mult_125_G2_A_notx_3_port,
mult_125_G2_A_notx_4_port, mult_125_G2_A_notx_5_port,
mult_125_G2_A_notx_6_port, mult_125_G2_A_notx_7_port,
mult_125_G2_A_notx_8_port, mult_125_G2_A_notx_9_port,
mult_125_G2_A_notx_10_port, mult_125_G2_A_notx_11_port,
mult_125_G2_A_notx_12_port, mult_125_G2_A_notx_13_port,
mult_125_G2_A_notx_14_port, mult_125_G2_B_notx_0_port,
mult_125_G2_B_notx_1_port, mult_125_G2_B_notx_2_port,
mult_125_G2_B_notx_3_port, mult_125_G2_B_notx_4_port,
mult_125_G2_B_notx_5_port, mult_125_G2_B_notx_6_port,
mult_125_G2_B_notx_7_port, mult_125_G2_B_notx_8_port,
mult_125_G2_B_notx_9_port, mult_125_G2_B_notx_10_port,
mult_125_G2_B_notx_11_port, mult_125_G2_B_notx_12_port,
mult_125_G2_B_notx_13_port, mult_125_G2_B_notx_14_port,
mult_125_G2_ab_0_1_port, mult_125_G2_ab_0_2_port, mult_125_G2_ab_0_3_port
, mult_125_G2_ab_0_4_port, mult_125_G2_ab_0_5_port,
mult_125_G2_ab_0_6_port, mult_125_G2_ab_0_7_port, mult_125_G2_ab_0_8_port
, mult_125_G2_ab_0_9_port, mult_125_G2_ab_0_10_port,
mult_125_G2_ab_0_11_port, mult_125_G2_ab_0_12_port,
mult_125_G2_ab_0_13_port, mult_125_G2_ab_0_14_port,
mult_125_G2_ab_0_15_port, mult_125_G2_ab_1_0_port,
mult_125_G2_ab_1_1_port, mult_125_G2_ab_1_2_port, mult_125_G2_ab_1_3_port
, mult_125_G2_ab_1_4_port, mult_125_G2_ab_1_5_port,
mult_125_G2_ab_1_6_port, mult_125_G2_ab_1_7_port, mult_125_G2_ab_1_8_port
, mult_125_G2_ab_1_9_port, mult_125_G2_ab_1_10_port,
mult_125_G2_ab_1_11_port, mult_125_G2_ab_1_12_port,
mult_125_G2_ab_1_13_port, mult_125_G2_ab_1_14_port,
mult_125_G2_ab_1_15_port, mult_125_G2_ab_2_0_port,
mult_125_G2_ab_2_1_port, mult_125_G2_ab_2_2_port, mult_125_G2_ab_2_3_port
, mult_125_G2_ab_2_4_port, mult_125_G2_ab_2_5_port,
mult_125_G2_ab_2_6_port, mult_125_G2_ab_2_7_port, mult_125_G2_ab_2_8_port
, mult_125_G2_ab_2_9_port, mult_125_G2_ab_2_10_port,
mult_125_G2_ab_2_11_port, mult_125_G2_ab_2_12_port,
mult_125_G2_ab_2_13_port, mult_125_G2_ab_2_14_port,
mult_125_G2_ab_2_15_port, mult_125_G2_ab_3_0_port,
mult_125_G2_ab_3_1_port, mult_125_G2_ab_3_2_port, mult_125_G2_ab_3_3_port
, mult_125_G2_ab_3_4_port, mult_125_G2_ab_3_5_port,
mult_125_G2_ab_3_6_port, mult_125_G2_ab_3_7_port, mult_125_G2_ab_3_8_port
, mult_125_G2_ab_3_9_port, mult_125_G2_ab_3_10_port,
mult_125_G2_ab_3_11_port, mult_125_G2_ab_3_12_port,
mult_125_G2_ab_3_13_port, mult_125_G2_ab_3_14_port,
mult_125_G2_ab_3_15_port, mult_125_G2_ab_4_0_port,
mult_125_G2_ab_4_1_port, mult_125_G2_ab_4_2_port, mult_125_G2_ab_4_3_port
, mult_125_G2_ab_4_4_port, mult_125_G2_ab_4_5_port,
mult_125_G2_ab_4_6_port, mult_125_G2_ab_4_7_port, mult_125_G2_ab_4_8_port
, mult_125_G2_ab_4_9_port, mult_125_G2_ab_4_10_port,
mult_125_G2_ab_4_11_port, mult_125_G2_ab_4_12_port,
mult_125_G2_ab_4_13_port, mult_125_G2_ab_4_14_port,
mult_125_G2_ab_4_15_port, mult_125_G2_ab_5_0_port,
mult_125_G2_ab_5_1_port, mult_125_G2_ab_5_2_port, mult_125_G2_ab_5_3_port
, mult_125_G2_ab_5_4_port, mult_125_G2_ab_5_5_port,
mult_125_G2_ab_5_6_port, mult_125_G2_ab_5_7_port, mult_125_G2_ab_5_8_port
, mult_125_G2_ab_5_9_port, mult_125_G2_ab_5_10_port,
mult_125_G2_ab_5_11_port, mult_125_G2_ab_5_12_port,
mult_125_G2_ab_5_13_port, mult_125_G2_ab_5_14_port,
mult_125_G2_ab_5_15_port, mult_125_G2_ab_6_0_port,
mult_125_G2_ab_6_1_port, mult_125_G2_ab_6_2_port, mult_125_G2_ab_6_3_port
, mult_125_G2_ab_6_4_port, mult_125_G2_ab_6_5_port,
mult_125_G2_ab_6_6_port, mult_125_G2_ab_6_7_port, mult_125_G2_ab_6_8_port
, mult_125_G2_ab_6_9_port, mult_125_G2_ab_6_10_port,
mult_125_G2_ab_6_11_port, mult_125_G2_ab_6_12_port,
mult_125_G2_ab_6_13_port, mult_125_G2_ab_6_14_port,
mult_125_G2_ab_6_15_port, mult_125_G2_ab_7_0_port,
mult_125_G2_ab_7_1_port, mult_125_G2_ab_7_2_port, mult_125_G2_ab_7_3_port
, mult_125_G2_ab_7_4_port, mult_125_G2_ab_7_5_port,
mult_125_G2_ab_7_6_port, mult_125_G2_ab_7_7_port, mult_125_G2_ab_7_8_port
, mult_125_G2_ab_7_9_port, mult_125_G2_ab_7_10_port,
mult_125_G2_ab_7_11_port, mult_125_G2_ab_7_12_port,
mult_125_G2_ab_7_13_port, mult_125_G2_ab_7_14_port,
mult_125_G2_ab_7_15_port, mult_125_G2_ab_8_0_port,
mult_125_G2_ab_8_1_port, mult_125_G2_ab_8_2_port, mult_125_G2_ab_8_3_port
, mult_125_G2_ab_8_4_port, mult_125_G2_ab_8_5_port,
mult_125_G2_ab_8_6_port, mult_125_G2_ab_8_7_port, mult_125_G2_ab_8_8_port
, mult_125_G2_ab_8_9_port, mult_125_G2_ab_8_10_port,
mult_125_G2_ab_8_11_port, mult_125_G2_ab_8_12_port,
mult_125_G2_ab_8_13_port, mult_125_G2_ab_8_14_port,
mult_125_G2_ab_8_15_port, mult_125_G2_ab_9_0_port,
mult_125_G2_ab_9_1_port, mult_125_G2_ab_9_2_port, mult_125_G2_ab_9_3_port
, mult_125_G2_ab_9_4_port, mult_125_G2_ab_9_5_port,
mult_125_G2_ab_9_6_port, mult_125_G2_ab_9_7_port, mult_125_G2_ab_9_8_port
, mult_125_G2_ab_9_9_port, mult_125_G2_ab_9_10_port,
mult_125_G2_ab_9_11_port, mult_125_G2_ab_9_12_port,
mult_125_G2_ab_9_13_port, mult_125_G2_ab_9_14_port,
mult_125_G2_ab_9_15_port, mult_125_G2_ab_10_0_port,
mult_125_G2_ab_10_1_port, mult_125_G2_ab_10_2_port,
mult_125_G2_ab_10_3_port, mult_125_G2_ab_10_4_port,
mult_125_G2_ab_10_5_port, mult_125_G2_ab_10_6_port,
mult_125_G2_ab_10_7_port, mult_125_G2_ab_10_8_port,
mult_125_G2_ab_10_9_port, mult_125_G2_ab_10_10_port,
mult_125_G2_ab_10_11_port, mult_125_G2_ab_10_12_port,
mult_125_G2_ab_10_13_port, mult_125_G2_ab_10_14_port,
mult_125_G2_ab_10_15_port, mult_125_G2_ab_11_0_port,
mult_125_G2_ab_11_1_port, mult_125_G2_ab_11_2_port,
mult_125_G2_ab_11_3_port, mult_125_G2_ab_11_4_port,
mult_125_G2_ab_11_5_port, mult_125_G2_ab_11_6_port,
mult_125_G2_ab_11_7_port, mult_125_G2_ab_11_8_port,
mult_125_G2_ab_11_9_port, mult_125_G2_ab_11_10_port,
mult_125_G2_ab_11_11_port, mult_125_G2_ab_11_12_port,
mult_125_G2_ab_11_13_port, mult_125_G2_ab_11_14_port,
mult_125_G2_ab_11_15_port, mult_125_G2_ab_12_0_port,
mult_125_G2_ab_12_1_port, mult_125_G2_ab_12_2_port,
mult_125_G2_ab_12_3_port, mult_125_G2_ab_12_4_port,
mult_125_G2_ab_12_5_port, mult_125_G2_ab_12_6_port,
mult_125_G2_ab_12_7_port, mult_125_G2_ab_12_8_port,
mult_125_G2_ab_12_9_port, mult_125_G2_ab_12_10_port,
mult_125_G2_ab_12_11_port, mult_125_G2_ab_12_12_port,
mult_125_G2_ab_12_13_port, mult_125_G2_ab_12_14_port,
mult_125_G2_ab_12_15_port, mult_125_G2_ab_13_0_port,
mult_125_G2_ab_13_1_port, mult_125_G2_ab_13_2_port,
mult_125_G2_ab_13_3_port, mult_125_G2_ab_13_4_port,
mult_125_G2_ab_13_5_port, mult_125_G2_ab_13_6_port,
mult_125_G2_ab_13_7_port, mult_125_G2_ab_13_8_port,
mult_125_G2_ab_13_9_port, mult_125_G2_ab_13_10_port,
mult_125_G2_ab_13_11_port, mult_125_G2_ab_13_12_port,
mult_125_G2_ab_13_13_port, mult_125_G2_ab_13_14_port,
mult_125_G2_ab_13_15_port, mult_125_G2_ab_14_0_port,
mult_125_G2_ab_14_1_port, mult_125_G2_ab_14_2_port,
mult_125_G2_ab_14_3_port, mult_125_G2_ab_14_4_port,
mult_125_G2_ab_14_5_port, mult_125_G2_ab_14_6_port,
mult_125_G2_ab_14_7_port, mult_125_G2_ab_14_8_port,
mult_125_G2_ab_14_9_port, mult_125_G2_ab_14_10_port,
mult_125_G2_ab_14_11_port, mult_125_G2_ab_14_12_port,
mult_125_G2_ab_14_13_port, mult_125_G2_ab_14_14_port,
mult_125_G2_ab_14_15_port, mult_125_G2_ab_15_0_port,
mult_125_G2_ab_15_1_port, mult_125_G2_ab_15_2_port,
mult_125_G2_ab_15_3_port, mult_125_G2_ab_15_4_port,
mult_125_G2_ab_15_5_port, mult_125_G2_ab_15_6_port,
mult_125_G2_ab_15_7_port, mult_125_G2_ab_15_8_port,
mult_125_G2_ab_15_9_port, mult_125_G2_ab_15_10_port,
mult_125_G2_ab_15_11_port, mult_125_G2_ab_15_12_port,
mult_125_G2_ab_15_13_port, mult_125_G2_ab_15_14_port,
mult_125_G2_ab_15_15_port, mult_125_G2_B_not_0_port,
mult_125_G2_B_not_1_port, mult_125_G2_B_not_2_port,
mult_125_G2_B_not_3_port, mult_125_G2_B_not_4_port,
mult_125_G2_B_not_5_port, mult_125_G2_B_not_6_port,
mult_125_G2_B_not_7_port, mult_125_G2_B_not_8_port,
mult_125_G2_B_not_9_port, mult_125_G2_B_not_10_port,
mult_125_G2_B_not_11_port, mult_125_G2_B_not_12_port,
mult_125_G2_B_not_13_port, mult_125_G2_B_not_14_port,
mult_125_G2_B_not_15_port, mult_125_G2_A_not_0_port,
mult_125_G2_A_not_1_port, mult_125_G2_A_not_2_port,
mult_125_G2_A_not_3_port, mult_125_G2_A_not_4_port,
mult_125_G2_A_not_5_port, mult_125_G2_A_not_6_port,
mult_125_G2_A_not_7_port, mult_125_G2_A_not_8_port,
mult_125_G2_A_not_9_port, mult_125_G2_A_not_10_port,
mult_125_G2_A_not_11_port, mult_125_G2_A_not_12_port,
mult_125_G2_A_not_13_port, mult_125_G2_A_not_14_port,
mult_125_G2_A_not_15_port, mult_125_FS_1_C_1_3_3_port,
mult_125_FS_1_C_1_4_0_port, mult_125_FS_1_C_1_4_1_port,
mult_125_FS_1_C_1_4_2_port, mult_125_FS_1_C_1_4_3_port,
mult_125_FS_1_C_1_5_0_port, mult_125_FS_1_C_1_5_1_port,
mult_125_FS_1_C_1_5_2_port, mult_125_FS_1_C_1_5_3_port,
mult_125_FS_1_C_1_6_0_port, mult_125_FS_1_C_1_6_1_port,
mult_125_FS_1_C_1_6_2_port, mult_125_FS_1_C_1_6_3_port,
mult_125_FS_1_C_1_7_0_port, mult_125_FS_1_C_1_7_1_port,
mult_125_FS_1_P_0_0_1_port, mult_125_FS_1_P_0_0_2_port,
mult_125_FS_1_P_0_0_3_port, mult_125_FS_1_P_0_1_1_port,
mult_125_FS_1_P_0_1_2_port, mult_125_FS_1_P_0_1_3_port,
mult_125_FS_1_P_0_2_1_port, mult_125_FS_1_P_0_2_2_port,
mult_125_FS_1_P_0_2_3_port, mult_125_FS_1_P_0_3_1_port,
mult_125_FS_1_P_0_3_2_port, mult_125_FS_1_P_0_3_3_port,
mult_125_FS_1_P_0_4_1_port, mult_125_FS_1_P_0_4_2_port,
mult_125_FS_1_P_0_4_3_port, mult_125_FS_1_P_0_5_1_port,
mult_125_FS_1_P_0_5_2_port, mult_125_FS_1_P_0_5_3_port,
mult_125_FS_1_P_0_6_1_port, mult_125_FS_1_P_0_6_2_port,
mult_125_FS_1_P_0_6_3_port, mult_125_FS_1_P_0_7_1_port,
mult_125_FS_1_TEMP_P_0_0_0_port, mult_125_FS_1_TEMP_P_0_1_0_port,
mult_125_FS_1_TEMP_P_0_2_0_port, mult_125_FS_1_TEMP_P_0_3_0_port,
mult_125_FS_1_TEMP_P_0_4_0_port, mult_125_FS_1_TEMP_P_0_4_1_port,
mult_125_FS_1_TEMP_P_0_4_2_port, mult_125_FS_1_TEMP_P_0_5_0_port,
mult_125_FS_1_TEMP_P_0_5_1_port, mult_125_FS_1_TEMP_P_0_5_2_port,
mult_125_FS_1_TEMP_P_0_6_0_port, mult_125_FS_1_TEMP_P_0_6_1_port,
mult_125_FS_1_TEMP_P_0_6_2_port, mult_125_FS_1_TEMP_P_0_7_0_port,
mult_125_FS_1_G_1_0_3_port, mult_125_FS_1_G_1_1_0_port,
mult_125_FS_1_G_1_1_1_port, mult_125_FS_1_G_1_1_2_port,
mult_125_FS_1_G_2_0_0_port, mult_125_FS_1_TEMP_G_0_3_2_port,
mult_125_FS_1_TEMP_G_0_4_1_port, mult_125_FS_1_TEMP_G_0_4_2_port,
mult_125_FS_1_TEMP_G_0_5_1_port, mult_125_FS_1_TEMP_G_0_5_2_port,
mult_125_FS_1_TEMP_G_0_6_1_port, mult_125_FS_1_TEMP_G_0_6_2_port,
mult_125_FS_1_G_n_int_0_3_2_port, mult_125_FS_1_G_n_int_0_3_3_port,
mult_125_FS_1_G_n_int_0_4_0_port, mult_125_FS_1_G_n_int_0_4_1_port,
mult_125_FS_1_G_n_int_0_4_2_port, mult_125_FS_1_G_n_int_0_4_3_port,
mult_125_FS_1_G_n_int_0_5_0_port, mult_125_FS_1_G_n_int_0_5_1_port,
mult_125_FS_1_G_n_int_0_5_2_port, mult_125_FS_1_G_n_int_0_5_3_port,
mult_125_FS_1_G_n_int_0_6_0_port, mult_125_FS_1_G_n_int_0_6_1_port,
mult_125_FS_1_G_n_int_0_6_2_port, mult_125_FS_1_G_n_int_0_6_3_port,
mult_125_FS_1_G_n_int_0_7_0_port, mult_125_FS_1_G_n_int_0_7_1_port,
mult_125_FS_1_PG_int_0_3_3_port, mult_125_FS_1_PG_int_0_4_0_port,
mult_125_FS_1_PG_int_0_4_1_port, mult_125_FS_1_PG_int_0_4_2_port,
mult_125_FS_1_PG_int_0_4_3_port, mult_125_FS_1_PG_int_0_5_0_port,
mult_125_FS_1_PG_int_0_5_1_port, mult_125_FS_1_PG_int_0_5_2_port,
mult_125_FS_1_PG_int_0_5_3_port, mult_125_FS_1_PG_int_0_6_0_port,
mult_125_FS_1_PG_int_0_6_1_port, mult_125_FS_1_PG_int_0_6_2_port,
mult_125_FS_1_PG_int_0_6_3_port, mult_125_FS_1_PG_int_0_7_0_port,
mult_125_FS_1_PG_int_0_7_1_port, mult_125_A2_14_port, mult_125_A2_15_port
, mult_125_A2_16_port, mult_125_A2_17_port, mult_125_A2_18_port,
mult_125_A2_19_port, mult_125_A2_20_port, mult_125_A2_21_port,
mult_125_A2_22_port, mult_125_A2_23_port, mult_125_A2_24_port,
mult_125_A2_25_port, mult_125_A2_26_port, mult_125_A2_27_port,
mult_125_A2_28_port, mult_125_A2_29_port, mult_125_A1_0_port,
mult_125_A1_1_port, mult_125_A1_2_port, mult_125_A1_3_port,
mult_125_A1_4_port, mult_125_A1_5_port, mult_125_A1_6_port,
mult_125_A1_7_port, mult_125_A1_8_port, mult_125_A1_9_port,
mult_125_A1_10_port, mult_125_A1_11_port, mult_125_A1_12_port,
mult_125_A1_13_port, mult_125_A1_14_port, mult_125_A1_15_port,
mult_125_A1_16_port, mult_125_A1_17_port, mult_125_A1_18_port,
mult_125_A1_19_port, mult_125_A1_20_port, mult_125_A1_21_port,
mult_125_A1_22_port, mult_125_A1_23_port, mult_125_A1_24_port,
mult_125_A1_25_port, mult_125_A1_26_port, mult_125_A1_27_port,
mult_125_A1_28_port, mult_125_A1_29_port, mult_125_ZB, mult_125_ZA,
mult_125_QB, mult_125_QA, mult_125_A_notx_0_port, mult_125_A_notx_1_port,
mult_125_A_notx_2_port, mult_125_A_notx_3_port, mult_125_A_notx_4_port,
mult_125_A_notx_5_port, mult_125_A_notx_6_port, mult_125_A_notx_7_port,
mult_125_A_notx_8_port, mult_125_A_notx_9_port, mult_125_A_notx_10_port,
mult_125_A_notx_11_port, mult_125_A_notx_12_port, mult_125_A_notx_13_port
, mult_125_A_notx_14_port, mult_125_B_notx_0_port, mult_125_B_notx_1_port
, mult_125_B_notx_2_port, mult_125_B_notx_3_port, mult_125_B_notx_4_port,
mult_125_B_notx_5_port, mult_125_B_notx_6_port, mult_125_B_notx_7_port,
mult_125_B_notx_8_port, mult_125_B_notx_9_port, mult_125_B_notx_10_port,
mult_125_B_notx_11_port, mult_125_B_notx_12_port, mult_125_B_notx_13_port
, mult_125_B_notx_14_port, mult_125_ab_0_1_port, mult_125_ab_0_2_port,
mult_125_ab_0_3_port, mult_125_ab_0_4_port, mult_125_ab_0_5_port,
mult_125_ab_0_6_port, mult_125_ab_0_7_port, mult_125_ab_0_8_port,
mult_125_ab_0_9_port, mult_125_ab_0_10_port, mult_125_ab_0_11_port,
mult_125_ab_0_12_port, mult_125_ab_0_13_port, mult_125_ab_0_14_port,
mult_125_ab_0_15_port, mult_125_ab_1_0_port, mult_125_ab_1_1_port,
mult_125_ab_1_2_port, mult_125_ab_1_3_port, mult_125_ab_1_4_port,
mult_125_ab_1_5_port, mult_125_ab_1_6_port, mult_125_ab_1_7_port,
mult_125_ab_1_8_port, mult_125_ab_1_9_port, mult_125_ab_1_10_port,
mult_125_ab_1_11_port, mult_125_ab_1_12_port, mult_125_ab_1_13_port,
mult_125_ab_1_14_port, mult_125_ab_1_15_port, mult_125_ab_2_0_port,
mult_125_ab_2_1_port, mult_125_ab_2_2_port, mult_125_ab_2_3_port,
mult_125_ab_2_4_port, mult_125_ab_2_5_port, mult_125_ab_2_6_port,
mult_125_ab_2_7_port, mult_125_ab_2_8_port, mult_125_ab_2_9_port,
mult_125_ab_2_10_port, mult_125_ab_2_11_port, mult_125_ab_2_12_port,
mult_125_ab_2_13_port, mult_125_ab_2_14_port, mult_125_ab_2_15_port,
mult_125_ab_3_0_port, mult_125_ab_3_1_port, mult_125_ab_3_2_port,
mult_125_ab_3_3_port, mult_125_ab_3_4_port, mult_125_ab_3_5_port,
mult_125_ab_3_6_port, mult_125_ab_3_7_port, mult_125_ab_3_8_port,
mult_125_ab_3_9_port, mult_125_ab_3_10_port, mult_125_ab_3_11_port,
mult_125_ab_3_12_port, mult_125_ab_3_13_port, mult_125_ab_3_14_port,
mult_125_ab_3_15_port, mult_125_ab_4_0_port, mult_125_ab_4_1_port,
mult_125_ab_4_2_port, mult_125_ab_4_3_port, mult_125_ab_4_4_port,
mult_125_ab_4_5_port, mult_125_ab_4_6_port, mult_125_ab_4_7_port,
mult_125_ab_4_8_port, mult_125_ab_4_9_port, mult_125_ab_4_10_port,
mult_125_ab_4_11_port, mult_125_ab_4_12_port, mult_125_ab_4_13_port,
mult_125_ab_4_14_port, mult_125_ab_4_15_port, mult_125_ab_5_0_port,
mult_125_ab_5_1_port, mult_125_ab_5_2_port, mult_125_ab_5_3_port,
mult_125_ab_5_4_port, mult_125_ab_5_5_port, mult_125_ab_5_6_port,
mult_125_ab_5_7_port, mult_125_ab_5_8_port, mult_125_ab_5_9_port,
mult_125_ab_5_10_port, mult_125_ab_5_11_port, mult_125_ab_5_12_port,
mult_125_ab_5_13_port, mult_125_ab_5_14_port, mult_125_ab_5_15_port,
mult_125_ab_6_0_port, mult_125_ab_6_1_port, mult_125_ab_6_2_port,
mult_125_ab_6_3_port, mult_125_ab_6_4_port, mult_125_ab_6_5_port,
mult_125_ab_6_6_port, mult_125_ab_6_7_port, mult_125_ab_6_8_port,
mult_125_ab_6_9_port, mult_125_ab_6_10_port, mult_125_ab_6_11_port,
mult_125_ab_6_12_port, mult_125_ab_6_13_port, mult_125_ab_6_14_port,
mult_125_ab_6_15_port, mult_125_ab_7_0_port, mult_125_ab_7_1_port,
mult_125_ab_7_2_port, mult_125_ab_7_3_port, mult_125_ab_7_4_port,
mult_125_ab_7_5_port, mult_125_ab_7_6_port, mult_125_ab_7_7_port,
mult_125_ab_7_8_port, mult_125_ab_7_9_port, mult_125_ab_7_10_port,
mult_125_ab_7_11_port, mult_125_ab_7_12_port, mult_125_ab_7_13_port,
mult_125_ab_7_14_port, mult_125_ab_7_15_port, mult_125_ab_8_0_port,
mult_125_ab_8_1_port, mult_125_ab_8_2_port, mult_125_ab_8_3_port,
mult_125_ab_8_4_port, mult_125_ab_8_5_port, mult_125_ab_8_6_port,
mult_125_ab_8_7_port, mult_125_ab_8_8_port, mult_125_ab_8_9_port,
mult_125_ab_8_10_port, mult_125_ab_8_11_port, mult_125_ab_8_12_port,
mult_125_ab_8_13_port, mult_125_ab_8_14_port, mult_125_ab_8_15_port,
mult_125_ab_9_0_port, mult_125_ab_9_1_port, mult_125_ab_9_2_port,
mult_125_ab_9_3_port, mult_125_ab_9_4_port, mult_125_ab_9_5_port,
mult_125_ab_9_6_port, mult_125_ab_9_7_port, mult_125_ab_9_8_port,
mult_125_ab_9_9_port, mult_125_ab_9_10_port, mult_125_ab_9_11_port,
mult_125_ab_9_12_port, mult_125_ab_9_13_port, mult_125_ab_9_14_port,
mult_125_ab_9_15_port, mult_125_ab_10_0_port, mult_125_ab_10_1_port,
mult_125_ab_10_2_port, mult_125_ab_10_3_port, mult_125_ab_10_4_port,
mult_125_ab_10_5_port, mult_125_ab_10_6_port, mult_125_ab_10_7_port,
mult_125_ab_10_8_port, mult_125_ab_10_9_port, mult_125_ab_10_10_port,
mult_125_ab_10_11_port, mult_125_ab_10_12_port, mult_125_ab_10_13_port,
mult_125_ab_10_14_port, mult_125_ab_10_15_port, mult_125_ab_11_0_port,
mult_125_ab_11_1_port, mult_125_ab_11_2_port, mult_125_ab_11_3_port,
mult_125_ab_11_4_port, mult_125_ab_11_5_port, mult_125_ab_11_6_port,
mult_125_ab_11_7_port, mult_125_ab_11_8_port, mult_125_ab_11_9_port,
mult_125_ab_11_10_port, mult_125_ab_11_11_port, mult_125_ab_11_12_port,
mult_125_ab_11_13_port, mult_125_ab_11_14_port, mult_125_ab_11_15_port,
mult_125_ab_12_0_port, mult_125_ab_12_1_port, mult_125_ab_12_2_port,
mult_125_ab_12_3_port, mult_125_ab_12_4_port, mult_125_ab_12_5_port,
mult_125_ab_12_6_port, mult_125_ab_12_7_port, mult_125_ab_12_8_port,
mult_125_ab_12_9_port, mult_125_ab_12_10_port, mult_125_ab_12_11_port,
mult_125_ab_12_12_port, mult_125_ab_12_13_port, mult_125_ab_12_14_port,
mult_125_ab_12_15_port, mult_125_ab_13_0_port, mult_125_ab_13_1_port,
mult_125_ab_13_2_port, mult_125_ab_13_3_port, mult_125_ab_13_4_port,
mult_125_ab_13_5_port, mult_125_ab_13_6_port, mult_125_ab_13_7_port,
mult_125_ab_13_8_port, mult_125_ab_13_9_port, mult_125_ab_13_10_port,
mult_125_ab_13_11_port, mult_125_ab_13_12_port, mult_125_ab_13_13_port,
mult_125_ab_13_14_port, mult_125_ab_13_15_port, mult_125_ab_14_0_port,
mult_125_ab_14_1_port, mult_125_ab_14_2_port, mult_125_ab_14_3_port,
mult_125_ab_14_4_port, mult_125_ab_14_5_port, mult_125_ab_14_6_port,
mult_125_ab_14_7_port, mult_125_ab_14_8_port, mult_125_ab_14_9_port,
mult_125_ab_14_10_port, mult_125_ab_14_11_port, mult_125_ab_14_12_port,
mult_125_ab_14_13_port, mult_125_ab_14_14_port, mult_125_ab_14_15_port,
mult_125_ab_15_0_port, mult_125_ab_15_1_port, mult_125_ab_15_2_port,
mult_125_ab_15_3_port, mult_125_ab_15_4_port, mult_125_ab_15_5_port,
mult_125_ab_15_6_port, mult_125_ab_15_7_port, mult_125_ab_15_8_port,
mult_125_ab_15_9_port, mult_125_ab_15_10_port, mult_125_ab_15_11_port,
mult_125_ab_15_12_port, mult_125_ab_15_13_port, mult_125_ab_15_14_port,
mult_125_ab_15_15_port, mult_125_B_not_0_port, mult_125_B_not_1_port,
mult_125_B_not_2_port, mult_125_B_not_3_port, mult_125_B_not_4_port,
mult_125_B_not_5_port, mult_125_B_not_6_port, mult_125_B_not_7_port,
mult_125_B_not_8_port, mult_125_B_not_9_port, mult_125_B_not_10_port,
mult_125_B_not_11_port, mult_125_B_not_12_port, mult_125_B_not_13_port,
mult_125_B_not_14_port, mult_125_B_not_15_port, mult_125_A_not_0_port,
mult_125_A_not_1_port, mult_125_A_not_2_port, mult_125_A_not_3_port,
mult_125_A_not_4_port, mult_125_A_not_5_port, mult_125_A_not_6_port,
mult_125_A_not_7_port, mult_125_A_not_8_port, mult_125_A_not_9_port,
mult_125_A_not_10_port, mult_125_A_not_11_port, mult_125_A_not_12_port,
mult_125_A_not_13_port, mult_125_A_not_14_port, mult_125_A_not_15_port,
mult_125_G4_FS_1_C_1_3_3_port, mult_125_G4_FS_1_C_1_4_0_port,
mult_125_G4_FS_1_C_1_4_1_port, mult_125_G4_FS_1_C_1_4_2_port,
mult_125_G4_FS_1_C_1_4_3_port, mult_125_G4_FS_1_C_1_5_0_port,
mult_125_G4_FS_1_C_1_5_1_port, mult_125_G4_FS_1_C_1_5_2_port,
mult_125_G4_FS_1_C_1_5_3_port, mult_125_G4_FS_1_C_1_6_0_port,
mult_125_G4_FS_1_C_1_6_1_port, mult_125_G4_FS_1_C_1_6_2_port,
mult_125_G4_FS_1_C_1_6_3_port, mult_125_G4_FS_1_C_1_7_0_port,
mult_125_G4_FS_1_C_1_7_1_port, mult_125_G4_FS_1_P_0_0_1_port,
mult_125_G4_FS_1_P_0_0_2_port, mult_125_G4_FS_1_P_0_0_3_port,
mult_125_G4_FS_1_P_0_1_1_port, mult_125_G4_FS_1_P_0_1_2_port,
mult_125_G4_FS_1_P_0_1_3_port, mult_125_G4_FS_1_P_0_2_1_port,
mult_125_G4_FS_1_P_0_2_2_port, mult_125_G4_FS_1_P_0_2_3_port,
mult_125_G4_FS_1_P_0_3_1_port, mult_125_G4_FS_1_P_0_3_2_port,
mult_125_G4_FS_1_P_0_3_3_port, mult_125_G4_FS_1_P_0_4_1_port,
mult_125_G4_FS_1_P_0_4_2_port, mult_125_G4_FS_1_P_0_4_3_port,
mult_125_G4_FS_1_P_0_5_1_port, mult_125_G4_FS_1_P_0_5_2_port,
mult_125_G4_FS_1_P_0_5_3_port, mult_125_G4_FS_1_P_0_6_1_port,
mult_125_G4_FS_1_P_0_6_2_port, mult_125_G4_FS_1_P_0_6_3_port,
mult_125_G4_FS_1_P_0_7_1_port, mult_125_G4_FS_1_TEMP_P_0_0_0_port,
mult_125_G4_FS_1_TEMP_P_0_1_0_port, mult_125_G4_FS_1_TEMP_P_0_2_0_port,
mult_125_G4_FS_1_TEMP_P_0_3_0_port, mult_125_G4_FS_1_TEMP_P_0_4_0_port,
mult_125_G4_FS_1_TEMP_P_0_4_1_port, mult_125_G4_FS_1_TEMP_P_0_4_2_port,
mult_125_G4_FS_1_TEMP_P_0_5_0_port, mult_125_G4_FS_1_TEMP_P_0_5_1_port,
mult_125_G4_FS_1_TEMP_P_0_5_2_port, mult_125_G4_FS_1_TEMP_P_0_6_0_port,
mult_125_G4_FS_1_TEMP_P_0_6_1_port, mult_125_G4_FS_1_TEMP_P_0_6_2_port,
mult_125_G4_FS_1_TEMP_P_0_7_0_port, mult_125_G4_FS_1_G_1_0_3_port,
mult_125_G4_FS_1_G_1_1_0_port, mult_125_G4_FS_1_G_1_1_1_port,
mult_125_G4_FS_1_G_1_1_2_port, mult_125_G4_FS_1_G_2_0_0_port,
mult_125_G4_FS_1_TEMP_G_0_3_2_port, mult_125_G4_FS_1_TEMP_G_0_4_1_port,
mult_125_G4_FS_1_TEMP_G_0_4_2_port, mult_125_G4_FS_1_TEMP_G_0_5_1_port,
mult_125_G4_FS_1_TEMP_G_0_5_2_port, mult_125_G4_FS_1_TEMP_G_0_6_1_port,
mult_125_G4_FS_1_TEMP_G_0_6_2_port, mult_125_G4_FS_1_G_n_int_0_3_2_port,
mult_125_G4_FS_1_G_n_int_0_3_3_port, mult_125_G4_FS_1_G_n_int_0_4_0_port,
mult_125_G4_FS_1_G_n_int_0_4_1_port, mult_125_G4_FS_1_G_n_int_0_4_2_port,
mult_125_G4_FS_1_G_n_int_0_4_3_port, mult_125_G4_FS_1_G_n_int_0_5_0_port,
mult_125_G4_FS_1_G_n_int_0_5_1_port, mult_125_G4_FS_1_G_n_int_0_5_2_port,
mult_125_G4_FS_1_G_n_int_0_5_3_port, mult_125_G4_FS_1_G_n_int_0_6_0_port,
mult_125_G4_FS_1_G_n_int_0_6_1_port, mult_125_G4_FS_1_G_n_int_0_6_2_port,
mult_125_G4_FS_1_G_n_int_0_6_3_port, mult_125_G4_FS_1_G_n_int_0_7_0_port,
mult_125_G4_FS_1_G_n_int_0_7_1_port, mult_125_G4_FS_1_PG_int_0_3_3_port,
mult_125_G4_FS_1_PG_int_0_4_0_port, mult_125_G4_FS_1_PG_int_0_4_1_port,
mult_125_G4_FS_1_PG_int_0_4_2_port, mult_125_G4_FS_1_PG_int_0_4_3_port,
mult_125_G4_FS_1_PG_int_0_5_0_port, mult_125_G4_FS_1_PG_int_0_5_1_port,
mult_125_G4_FS_1_PG_int_0_5_2_port, mult_125_G4_FS_1_PG_int_0_5_3_port,
mult_125_G4_FS_1_PG_int_0_6_0_port, mult_125_G4_FS_1_PG_int_0_6_1_port,
mult_125_G4_FS_1_PG_int_0_6_2_port, mult_125_G4_FS_1_PG_int_0_6_3_port,
mult_125_G4_FS_1_PG_int_0_7_0_port, mult_125_G4_FS_1_PG_int_0_7_1_port,
mult_125_G4_A2_14_port, mult_125_G4_A2_15_port, mult_125_G4_A2_16_port,
mult_125_G4_A2_17_port, mult_125_G4_A2_18_port, mult_125_G4_A2_19_port,
mult_125_G4_A2_20_port, mult_125_G4_A2_21_port, mult_125_G4_A2_22_port,
mult_125_G4_A2_23_port, mult_125_G4_A2_24_port, mult_125_G4_A2_25_port,
mult_125_G4_A2_26_port, mult_125_G4_A2_27_port, mult_125_G4_A2_28_port,
mult_125_G4_A2_29_port, mult_125_G4_A1_0_port, mult_125_G4_A1_1_port,
mult_125_G4_A1_2_port, mult_125_G4_A1_3_port, mult_125_G4_A1_4_port,
mult_125_G4_A1_5_port, mult_125_G4_A1_6_port, mult_125_G4_A1_7_port,
mult_125_G4_A1_8_port, mult_125_G4_A1_9_port, mult_125_G4_A1_10_port,
mult_125_G4_A1_11_port, mult_125_G4_A1_12_port, mult_125_G4_A1_13_port,
mult_125_G4_A1_14_port, mult_125_G4_A1_15_port, mult_125_G4_A1_16_port,
mult_125_G4_A1_17_port, mult_125_G4_A1_18_port, mult_125_G4_A1_19_port,
mult_125_G4_A1_20_port, mult_125_G4_A1_21_port, mult_125_G4_A1_22_port,
mult_125_G4_A1_23_port, mult_125_G4_A1_24_port, mult_125_G4_A1_25_port,
mult_125_G4_A1_26_port, mult_125_G4_A1_27_port, mult_125_G4_A1_28_port,
mult_125_G4_A1_29_port, mult_125_G4_ZB, mult_125_G4_ZA, mult_125_G4_QB,
mult_125_G4_QA, mult_125_G4_A_notx_0_port, mult_125_G4_A_notx_1_port,
mult_125_G4_A_notx_2_port, mult_125_G4_A_notx_3_port,
mult_125_G4_A_notx_4_port, mult_125_G4_A_notx_5_port,
mult_125_G4_A_notx_6_port, mult_125_G4_A_notx_7_port,
mult_125_G4_A_notx_8_port, mult_125_G4_A_notx_9_port,
mult_125_G4_A_notx_10_port, mult_125_G4_A_notx_11_port,
mult_125_G4_A_notx_12_port, mult_125_G4_A_notx_13_port,
mult_125_G4_A_notx_14_port, mult_125_G4_B_notx_0_port,
mult_125_G4_B_notx_1_port, mult_125_G4_B_notx_2_port,
mult_125_G4_B_notx_3_port, mult_125_G4_B_notx_4_port,
mult_125_G4_B_notx_5_port, mult_125_G4_B_notx_6_port,
mult_125_G4_B_notx_7_port, mult_125_G4_B_notx_8_port,
mult_125_G4_B_notx_9_port, mult_125_G4_B_notx_10_port,
mult_125_G4_B_notx_11_port, mult_125_G4_B_notx_12_port,
mult_125_G4_B_notx_13_port, mult_125_G4_B_notx_14_port,
mult_125_G4_ab_0_1_port, mult_125_G4_ab_0_2_port, mult_125_G4_ab_0_3_port
, mult_125_G4_ab_0_4_port, mult_125_G4_ab_0_5_port,
mult_125_G4_ab_0_6_port, mult_125_G4_ab_0_7_port, mult_125_G4_ab_0_8_port
, mult_125_G4_ab_0_9_port, mult_125_G4_ab_0_10_port,
mult_125_G4_ab_0_11_port, mult_125_G4_ab_0_12_port,
mult_125_G4_ab_0_13_port, mult_125_G4_ab_0_14_port,
mult_125_G4_ab_0_15_port, mult_125_G4_ab_1_0_port,
mult_125_G4_ab_1_1_port, mult_125_G4_ab_1_2_port, mult_125_G4_ab_1_3_port
, mult_125_G4_ab_1_4_port, mult_125_G4_ab_1_5_port,
mult_125_G4_ab_1_6_port, mult_125_G4_ab_1_7_port, mult_125_G4_ab_1_8_port
, mult_125_G4_ab_1_9_port, mult_125_G4_ab_1_10_port,
mult_125_G4_ab_1_11_port, mult_125_G4_ab_1_12_port,
mult_125_G4_ab_1_13_port, mult_125_G4_ab_1_14_port,
mult_125_G4_ab_1_15_port, mult_125_G4_ab_2_0_port,
mult_125_G4_ab_2_1_port, mult_125_G4_ab_2_2_port, mult_125_G4_ab_2_3_port
, mult_125_G4_ab_2_4_port, mult_125_G4_ab_2_5_port,
mult_125_G4_ab_2_6_port, mult_125_G4_ab_2_7_port, mult_125_G4_ab_2_8_port
, mult_125_G4_ab_2_9_port, mult_125_G4_ab_2_10_port,
mult_125_G4_ab_2_11_port, mult_125_G4_ab_2_12_port,
mult_125_G4_ab_2_13_port, mult_125_G4_ab_2_14_port,
mult_125_G4_ab_2_15_port, mult_125_G4_ab_3_0_port,
mult_125_G4_ab_3_1_port, mult_125_G4_ab_3_2_port, mult_125_G4_ab_3_3_port
, mult_125_G4_ab_3_4_port, mult_125_G4_ab_3_5_port,
mult_125_G4_ab_3_6_port, mult_125_G4_ab_3_7_port, mult_125_G4_ab_3_8_port
, mult_125_G4_ab_3_9_port, mult_125_G4_ab_3_10_port,
mult_125_G4_ab_3_11_port, mult_125_G4_ab_3_12_port,
mult_125_G4_ab_3_13_port, mult_125_G4_ab_3_14_port,
mult_125_G4_ab_3_15_port, mult_125_G4_ab_4_0_port,
mult_125_G4_ab_4_1_port, mult_125_G4_ab_4_2_port, mult_125_G4_ab_4_3_port
, mult_125_G4_ab_4_4_port, mult_125_G4_ab_4_5_port,
mult_125_G4_ab_4_6_port, mult_125_G4_ab_4_7_port, mult_125_G4_ab_4_8_port
, mult_125_G4_ab_4_9_port, mult_125_G4_ab_4_10_port,
mult_125_G4_ab_4_11_port, mult_125_G4_ab_4_12_port,
mult_125_G4_ab_4_13_port, mult_125_G4_ab_4_14_port,
mult_125_G4_ab_4_15_port, mult_125_G4_ab_5_0_port,
mult_125_G4_ab_5_1_port, mult_125_G4_ab_5_2_port, mult_125_G4_ab_5_3_port
, mult_125_G4_ab_5_4_port, mult_125_G4_ab_5_5_port,
mult_125_G4_ab_5_6_port, mult_125_G4_ab_5_7_port, mult_125_G4_ab_5_8_port
, mult_125_G4_ab_5_9_port, mult_125_G4_ab_5_10_port,
mult_125_G4_ab_5_11_port, mult_125_G4_ab_5_12_port,
mult_125_G4_ab_5_13_port, mult_125_G4_ab_5_14_port,
mult_125_G4_ab_5_15_port, mult_125_G4_ab_6_0_port,
mult_125_G4_ab_6_1_port, mult_125_G4_ab_6_2_port, mult_125_G4_ab_6_3_port
, mult_125_G4_ab_6_4_port, mult_125_G4_ab_6_5_port,
mult_125_G4_ab_6_6_port, mult_125_G4_ab_6_7_port, mult_125_G4_ab_6_8_port
, mult_125_G4_ab_6_9_port, mult_125_G4_ab_6_10_port,
mult_125_G4_ab_6_11_port, mult_125_G4_ab_6_12_port,
mult_125_G4_ab_6_13_port, mult_125_G4_ab_6_14_port,
mult_125_G4_ab_6_15_port, mult_125_G4_ab_7_0_port,
mult_125_G4_ab_7_1_port, mult_125_G4_ab_7_2_port, mult_125_G4_ab_7_3_port
, mult_125_G4_ab_7_4_port, mult_125_G4_ab_7_5_port,
mult_125_G4_ab_7_6_port, mult_125_G4_ab_7_7_port, mult_125_G4_ab_7_8_port
, mult_125_G4_ab_7_9_port, mult_125_G4_ab_7_10_port,
mult_125_G4_ab_7_11_port, mult_125_G4_ab_7_12_port,
mult_125_G4_ab_7_13_port, mult_125_G4_ab_7_14_port,
mult_125_G4_ab_7_15_port, mult_125_G4_ab_8_0_port,
mult_125_G4_ab_8_1_port, mult_125_G4_ab_8_2_port, mult_125_G4_ab_8_3_port
, mult_125_G4_ab_8_4_port, mult_125_G4_ab_8_5_port,
mult_125_G4_ab_8_6_port, mult_125_G4_ab_8_7_port, mult_125_G4_ab_8_8_port
, mult_125_G4_ab_8_9_port, mult_125_G4_ab_8_10_port,
mult_125_G4_ab_8_11_port, mult_125_G4_ab_8_12_port,
mult_125_G4_ab_8_13_port, mult_125_G4_ab_8_14_port,
mult_125_G4_ab_8_15_port, mult_125_G4_ab_9_0_port,
mult_125_G4_ab_9_1_port, mult_125_G4_ab_9_2_port, mult_125_G4_ab_9_3_port
, mult_125_G4_ab_9_4_port, mult_125_G4_ab_9_5_port,
mult_125_G4_ab_9_6_port, mult_125_G4_ab_9_7_port, mult_125_G4_ab_9_8_port
, mult_125_G4_ab_9_9_port, mult_125_G4_ab_9_10_port,
mult_125_G4_ab_9_11_port, mult_125_G4_ab_9_12_port,
mult_125_G4_ab_9_13_port, mult_125_G4_ab_9_14_port,
mult_125_G4_ab_9_15_port, mult_125_G4_ab_10_0_port,
mult_125_G4_ab_10_1_port, mult_125_G4_ab_10_2_port,
mult_125_G4_ab_10_3_port, mult_125_G4_ab_10_4_port,
mult_125_G4_ab_10_5_port, mult_125_G4_ab_10_6_port,
mult_125_G4_ab_10_7_port, mult_125_G4_ab_10_8_port,
mult_125_G4_ab_10_9_port, mult_125_G4_ab_10_10_port,
mult_125_G4_ab_10_11_port, mult_125_G4_ab_10_12_port,
mult_125_G4_ab_10_13_port, mult_125_G4_ab_10_14_port,
mult_125_G4_ab_10_15_port, mult_125_G4_ab_11_0_port,
mult_125_G4_ab_11_1_port, mult_125_G4_ab_11_2_port,
mult_125_G4_ab_11_3_port, mult_125_G4_ab_11_4_port,
mult_125_G4_ab_11_5_port, mult_125_G4_ab_11_6_port,
mult_125_G4_ab_11_7_port, mult_125_G4_ab_11_8_port,
mult_125_G4_ab_11_9_port, mult_125_G4_ab_11_10_port,
mult_125_G4_ab_11_11_port, mult_125_G4_ab_11_12_port,
mult_125_G4_ab_11_13_port, mult_125_G4_ab_11_14_port,
mult_125_G4_ab_11_15_port, mult_125_G4_ab_12_0_port,
mult_125_G4_ab_12_1_port, mult_125_G4_ab_12_2_port,
mult_125_G4_ab_12_3_port, mult_125_G4_ab_12_4_port,
mult_125_G4_ab_12_5_port, mult_125_G4_ab_12_6_port,
mult_125_G4_ab_12_7_port, mult_125_G4_ab_12_8_port,
mult_125_G4_ab_12_9_port, mult_125_G4_ab_12_10_port,
mult_125_G4_ab_12_11_port, mult_125_G4_ab_12_12_port,
mult_125_G4_ab_12_13_port, mult_125_G4_ab_12_14_port,
mult_125_G4_ab_12_15_port, mult_125_G4_ab_13_0_port,
mult_125_G4_ab_13_1_port, mult_125_G4_ab_13_2_port,
mult_125_G4_ab_13_3_port, mult_125_G4_ab_13_4_port,
mult_125_G4_ab_13_5_port, mult_125_G4_ab_13_6_port,
mult_125_G4_ab_13_7_port, mult_125_G4_ab_13_8_port,
mult_125_G4_ab_13_9_port, mult_125_G4_ab_13_10_port,
mult_125_G4_ab_13_11_port, mult_125_G4_ab_13_12_port,
mult_125_G4_ab_13_13_port, mult_125_G4_ab_13_14_port,
mult_125_G4_ab_13_15_port, mult_125_G4_ab_14_0_port,
mult_125_G4_ab_14_1_port, mult_125_G4_ab_14_2_port,
mult_125_G4_ab_14_3_port, mult_125_G4_ab_14_4_port,
mult_125_G4_ab_14_5_port, mult_125_G4_ab_14_6_port,
mult_125_G4_ab_14_7_port, mult_125_G4_ab_14_8_port,
mult_125_G4_ab_14_9_port, mult_125_G4_ab_14_10_port,
mult_125_G4_ab_14_11_port, mult_125_G4_ab_14_12_port,
mult_125_G4_ab_14_13_port, mult_125_G4_ab_14_14_port,
mult_125_G4_ab_14_15_port, mult_125_G4_ab_15_0_port,
mult_125_G4_ab_15_1_port, mult_125_G4_ab_15_2_port,
mult_125_G4_ab_15_3_port, mult_125_G4_ab_15_4_port,
mult_125_G4_ab_15_5_port, mult_125_G4_ab_15_6_port,
mult_125_G4_ab_15_7_port, mult_125_G4_ab_15_8_port,
mult_125_G4_ab_15_9_port, mult_125_G4_ab_15_10_port,
mult_125_G4_ab_15_11_port, mult_125_G4_ab_15_12_port,
mult_125_G4_ab_15_13_port, mult_125_G4_ab_15_14_port,
mult_125_G4_ab_15_15_port, mult_125_G4_B_not_0_port,
mult_125_G4_B_not_1_port, mult_125_G4_B_not_2_port,
mult_125_G4_B_not_3_port, mult_125_G4_B_not_4_port,
mult_125_G4_B_not_5_port, mult_125_G4_B_not_6_port,
mult_125_G4_B_not_7_port, mult_125_G4_B_not_8_port,
mult_125_G4_B_not_9_port, mult_125_G4_B_not_10_port,
mult_125_G4_B_not_11_port, mult_125_G4_B_not_12_port,
mult_125_G4_B_not_13_port, mult_125_G4_B_not_14_port,
mult_125_G4_B_not_15_port, mult_125_G4_A_not_0_port,
mult_125_G4_A_not_1_port, mult_125_G4_A_not_2_port,
mult_125_G4_A_not_3_port, mult_125_G4_A_not_4_port,
mult_125_G4_A_not_5_port, mult_125_G4_A_not_6_port,
mult_125_G4_A_not_7_port, mult_125_G4_A_not_8_port,
mult_125_G4_A_not_9_port, mult_125_G4_A_not_10_port,
mult_125_G4_A_not_11_port, mult_125_G4_A_not_12_port,
mult_125_G4_A_not_13_port, mult_125_G4_A_not_14_port,
mult_125_G4_A_not_15_port, n240, n241, n242, n243, n244, n245, n246, n247
, n248, n249, n250, n251, n252, n253, n254, n255, n256, n257, n258, n259,
n260, n261, n262, n263, n264, n265, n266, n267, n268, n269, n270, n271,
n272, n273, n274, n275, n276, n277, n278, n279, n280, n281, n282, n283,
n284, n285, n286, n287, n288, n289, n290, n291, n292, n293, n294, n295,
n296, n297, n298, n299, n300, n301, n302, n303, n304, n305, n306, n307,
n308, n309, n310, n311, n312, n313, n314, n315, n316, n317, n318, n319,
n320, n321, n322, n323, n324, n325, n326, n327, n328, n329, n330, n331,
n332, n333, n334, n335, n336, n337, n338, n339, n340, n341, n342, n343,
n344, n345, n346, n347, n348, n349, n350, n351, n352, n353, n354, n355,
n356, n357, n358, n359, n360, n361, n362, n363, n364, n365, n366, n367,
n368, n369, n370, n371, n372, n373, n374, n375, n376, n377, n378, n379,
n380, n381, n382, n383, n384, n385, n386, n387, n388, n389, n390, n391,
n392, n393, n394, n395, n396, n397, n398, n399, n400, n401, n402, n403,
n404, n405, n406, n407, n408, n409, n410, n411, n412, n413, n414, n415,
n416, n417, n418, n419, n420, n421, n422, n423, n424, n425, n426, n427,
n428, n429, n430, n431, n432, n433, n434, n435, n436, n437, n438, n439,
n440, n441, n442, n443, n444, n445, n446, n447, n448, n449, n450, n451,
n452, n453, n454, n455, n456, n457, n458, n459, n460, n461, n462, n463,
n464, n465, n466, n467, n468, n469, n470, n471, n472, n473, n474, n475,
n476, n477, n478, n479, n480, n481, n482, n483, n484, n485, n486, n487,
n488, n489, n490, n491, n492, n493, n494, n495, n496, n497, n498, n499,
n500, n501, n502, n503, n504, n505, n506, n507, n508, n509, n510, n511,
n512, n513, n514, n515, n516, n517, n518, n519, n520, n521, n522, n523,
n524, n525, n526, n527, n528, n529, n530, n531, n532, n533, n534, n535,
n536, n537, n538, n539, n540, n541, n542, n543, n544, n545, n546, n547,
n548, n549, n550, n551, n552, n553, n554, n555, n556, n557, n558, n559,
n560, n561, n562, n563, n564, n565, n566, n567, n568, n569, n570, n571,
n572, n573, n574, n575, n576, n577, n578, n579, n580, n581, n582, n583,
n584, n585, n586, n587, n588, n589, n590, n591, n592, n593, n594, n595,
n596, n597, n598, n599, n600, n601, n602, n603, n604, n605, n606, n607,
n608, n609, n610, n611, n612, n613, n614, n615, n616, n617, n618, n619,
n620, n621, n622, n623, n624, n625, n626, n627, n628, n629, n630, n631,
n632, n633, n634, n635, n636, n637, n638, n639, n640, n641, n642, n643,
n644, n645, n646, n647, n648, n649, n650, n651, n652, n653, n654, n655,
n656, n657, n658, n659, n660, n661, n662, n663, n664, n665, n666, n667,
n668, n669, n670, n671, n672, n673, n674, n675, n676, n677, n678, n679,
n680, n681, n682, n683, n684, n685, n686, n687, n688, n689, n690, n691,
n692, n693, n694, n695, n696, n697, n698, n699, n700, n701, n702, n703,
n704, n705, n706, n707, n708, n709, n710, n711, n712, n713, n714, n715,
n716, n717, n718, n719, n720, n721, n722, n723, n724, n725, n726, n727,
n728, n729, n730, n731, n732, n733, n734, n735, n736, n737, n738, n739,
n740, n741, n742, n743, n744, n745, n746, n747, n748, n749, n750, n751,
n752, n753, n754, n755, n756, n757, n758, n759, n760, n761, n762, n763,
n764, n765, n766, n767, n768, n769, n770, n771, n772, n773, n774, n775,
n776, n777, n778, n779, n780, n781, n782, n783, n784, n785, n786, n787,
n788, n789, n790, n791, n792, n793, n794, n795, n796, n797, n798, n799,
n800, n801, n802, n803, n804, n805, n806, n807, n808, n809, n810, n811,
n812, n813, n814, n815, n816, n817, n818, n819, n820, n821, n822, n823,
n824, n825, n826, n827, n828, n829, n830, n831, n832, n833, n834, n835,
n836, n837, n838, n839, n840, n841, n842, n843, n844, n845, n846, n847,
n848, n849, n850, n851, n852, n853, n854, n855, n856, n857, n858, n859,
n860, n861, n862, n863, n864, n865, n866, n867, n868, n869, n870, n871,
n872, n873, n874, n875, n876, n877, n878, n879, n880, n881, n882, n883,
n884, n885, n886, n887, n888, n889, n890, n891, n892, n893, n894, n895,
n896, n897, n898, n899, n900, n901, n902, n903, n904, n905, n906, n907,
n908, n909, n910, n911, n912, n913, n914, n915, n916, n917, n918, n919,
n920, n921, n922, n923, n924, n925, n926, n927, n928, n929, n930, n931,
n932, n933, n934, n935, n936, n937, n938, n939, n940, n941, n942, n943,
n944, n945, n946, n947, n948, n949, n950, n951, n952, n953, n954, n955,
n956, n957, n958, n959, n960, n961, n962, n963, n964, n965, n966, n967,
n968, n969, n970, n971, n972, n973, n974, n975, n976, n977, n978, n979,
n980, n981, n982, n983, n984, n985, n986, n987, n988, n989, n990, n991,
n992, n993, n994, n995, n996, n997, n998, n999, n1000, n1001, n1002,
n1003, n1004, n1005, n1006, n1007, n1008, n1009, n1010, n1011, n1012,
n1013, n1014, n1015, n1016, n1017, n1018, n1019, n1020, n1021, n1022,
n1023, n1024, n1025, n1026, n1027, n1028, n1029, n1030, n1031, n1032,
n1033, n1034, n1035, n1036, n1037, n1038, n1039, n1040, n1041, n1042,
n1043, n1044, n1045, n1046, n1047, n1048, n1049, n1050, n1051, n1052,
n1053, n1054, n1055, n1056, n1057, n1058, n1059, n1060, n1061, n1062,
n1063, n1064, n1065, n1066, n1067, n1068, n1069, n1070, n1071, n1072,
n1073, n1074, n1075, n1076, n1077, n1078, n1079, n1080, n1081, n1082,
n1083, n1084, n1085, n1086, n1087, n1088, n1089, n1090, n1091, n1092,
n1093, n1094, n1095, n1096, n1097, n1098, n1099, n1100, n1101, n1102,
n1103, n1104, n1105, n1106, n1107, n1108, n1109, n1110, n1111, n1112,
n1113, n1114, n1115, n1116, n1117, n1118, n1119, n1120, n1121, n1122,
n1123, n1124, n1125, n1126, n1127, n1128, n1129, n1130, n1131, n1132,
n1133, n1134, n1135, n1136, n1137, n1138, n1139, n1140, n1141, n1142,
n1143, n1144, n1145, n1146, n1147, n1148, n1149, n1150, n1151, n1152,
n1153, n1154, n1155, n1156, n1157, n1158, n1159, n1160, n1161, n1162,
n1163, n1164, n1165, n1166, n1167, n1168, n1169, n1170, n1171, n1172,
n1173, n1174, n1175, n1176, n1177, n1178, n1179, n1180, n1181, n1182,
n1183, n1184, n1185, n1186, n1187, n1188, n1189, n1190, n1191, n1192,
n1193, n1194, n1195, n1196, n1197, n1198, n1199, n1200, n1201, n1202,
n1203, n1204, n1205, n1206, n1207, n1208, n1209, n1210, n1211, n1212,
n1213, n1214, n1215, n1216, n1217, n1218, n1219, n1220, n1221, n1222,
n1223, n1224, n1225, n1226, n1227, n1228, n1229, n1230, n1231, n1232,
n1233, n1234, n1235, n1236, n1237, n1238, n1239, n1240, n1241, n1242,
n1243, n1244, n1245, n1246, n1247, n1248, n1249, n1250, n1251, n1252,
n1253, n1254, n1255, n1256, n1257, n1258, n1259, n1260, n1261, n1262,
n1263, n1264, n1265, n1266, n1267, n1268, n1269, n1270, n1271, n1272,
n1273, n1274, n1275, n1276, n1277, n1278, n1279, n1280, n1281, n1282,
n1283, n1284, n1285, n1286, n1287, n1288, n1289, n1290, n1291, n1292,
n1293, n1294, n1295, n1296, n1297, n1298, n1299, n1300, n1301, n1302,
n1303, n1304, n1305, n1306, n1307, n1308, n1309, n1310, n1311, n1312,
n1313, n1314, n1315, n1316, n1317, n1318, n1319, n1320, n1321, n1322,
n1323, n1324, n1325, n1326, n1327, n1328, n1329, n1330, n1331, n1332,
n1333, n1334, n1335, n1336, n1337, n1338, n1339, n1340, n1341, n1342,
n1343, n1344, n1345, n1346, n1347, n1348, n1349, n1350, n1351, n1352,
n1353, n1354, n1355, n1356, n1357, n1358, n1359, n1360, n1361, n1362,
n1363, n1364, n1365, n1366, n1367, n1368, n1369, n1370, n1371, n1372,
n1373, n1374, n1375, n1376, n1377, n1378, n1379, n1380, n1381, n1382,
n1383, n1384, n1385, n1386, n1387, n1388, n1389, n1390, n1391, n1392,
n1393, n1394, n1395, n1396, n1397, n1398, n1399, n1400, n1401, n1402,
n1403, n1404, n1405, n1406, n1407, n1408, n1409, n1410, n1411, n1412,
n1413, n1414, n1415, n1416, n1417, n1418, n1419, n1420, n1421, n1422,
n1423, n1424, n1425, n1426, n1427, n1428, n1429, n1430, n1431, n1432,
n1433, n1434, n1435, n1436, n1437, n1438, n1439, n1440, n1441, n1442,
n1443, n1444, n1445, n1446, n1447, n1448, n1449, n1450, n1451, n1452,
n1453, n1454, n1455, n1456, n1457, n1458, n1459, n1460, n1461, n1462,
n1463, n1464, n1465, n1466, n1467, n1468, n1469, n1470, n1471, n1472,
n1473, n1474, n1475, n1476, n1477, n1478, n1479, n1480, n1481, n1482,
n1483, n1484, n1485, n1486, n1487, n1488, n1489, n1490, n1491, n1492,
n1493, n1494, n1495, n1496, n1497, n1498, n1499, n1500, n1501, n1502,
n1503, n1504, n1505, n1506, n1507, n1508, n1509, n1510, n1511, n1512,
n1513, n1514, n1515, n1516, n1517, n1518, n1519, n1520, n1521, n1522,
n1523, n1524, n1525, n1526, n1527, n1528, n1529, n1530, n1531, n1532,
n1533, n1534, n1535, n1536, n1537, n1538, n1539, n1540, n1541, n1542,
n1543, n1544, n1545, n1546, n1547, n1548, n1549, n1550, n1551, n1552,
n1553, n1554, n1555, n1556, n1557, n1558, n1559, n1560, n1561, n1562,
n1563, n1564, n1565, n1566, n1567, n1568, n1569, n1570, n1571, n1572,
n1573, n1574, n1575, n1576, n1577, n1578, n1579, n1580, n1581, n1582,
n1583, n1584, n1585, n1586, n1587, n1588, n1589, n1590, n1591, n1592,
n1593, n1594, n1595, n1596, n1597, n1598, n1599, n1600, n1601, n1602,
n1603, n1604, n1605, n1606, n1607, n1608, n1609, n1610, n1611, n1612,
n1613, n1614, n1615, n1616, n1617, n1618, n1619, n1620, n1621, n1622,
n1623, n1624, n1625, n1626, n1627, n1628, n1629, n1630, n1631, n1632,
n1633, n1634, n1635, n1636, n1637, n1638, n1639, n1640, n1641, n1642,
n1643, n1644, n1645, n1646, n1647, n1648, n1649, n1650, n1651, n1652,
n1653, n1654, n1655, n1656, n1657, n1658, n1659, n1660, n1661, n1662,
n1663, n1664, n1665, n1666, n1667, n1668, n1669, n1670, n1671, n1672,
n1673, n1674, n1675, n1676, n1677, n1678, n1679, n1680, n1681, n1682,
n1683, n1684, n1685, n1686, n1687, n1688, n1689, n1690, n1691, n1692,
n1693, n1694, n1695, n1696, n1697, n1698, n1699, n1700, n1701, n1702,
n1703, n1704, n1705, n1706, n1707, n1708, n1709, n1710, n1711, n1712,
n1713, n1714, n1715, n1716, n1717, n1718, n1719, n1720, n1721, n1722,
n1723, n1724, n1725, n1726, n1727, n1728, n1729, n1730, n1731, n1732,
n1733, n1734, n1735, n1736, n1737, n1738, n1739, n1740, n1741, n1742,
n1743, n1744, n1745, n1746, n1747, n1748, n1749, n1750, n1751, n1752,
n1753, n1754, n1755, n1756, n1757, n1758, n1759, n1760, n1761, n1762,
n1763, n1764, n1765, n1766, n1767, n1768, n1769, n1770, n1771, n1772,
n1773, n1774, n1775, n1776, n1777, n1778, n1779, n1780, n1781, n1782,
n1783, n1784, n1785, n1786, n1787, n1788, n1789, n1790, n1791, n1792,
n1793, n1794, n1795, n1796, n1797, n1798, n1799, n1800, n1801, n1802,
n1803, n1804, n1805, n1806, n1807, n1808, n1809, n1810, n1811, n1812,
n1813, n1814, n1815, n1816, n1817, n1818, n1819, n1820, n1821, n1822,
n1823, n1824, n1825, n1826, n1827, n1828, n1829, n1830, n1831, n1832,
n1833, n1834, n1835, n1836, n1837, n1838, n1839, n1840, n1841, n1842,
n1843, n1844, n1845, n1846, n1847, n1848, n1849, n1850, n1851, n1852,
n1853, n1854, n1855, n1856, n1857, n1858, n1859, n1860, n1861, n1862,
n1863, n1864, n1865, n1866, n1867, n1868, n1869, n1870, n1871, n1872,
n1873, n1874, n1875, n1876, n1877, n1878, n1879, n1880, n1881, n1882,
n1883, n1884, n1885, n1886, n1887, n1888, n1889, n1890, n1891, n1892,
n1893, n1894, n1895, n1896, n1897, n1898, n1899, n1900, n1901, n1902,
n1903, n1904, n1905, n1906, n1907, n1908, n1909, n1910, n1911, n1912,
n1913, n1914, n1915, n1916, n1917, n1918, n1919, n1920, n1921, n1922,
n1923, n1924, n1925, n1926, n1927, n1928, n1929, n1930, n1931, n1932,
n1933, n1934, n1935, n1936, n1937, n1938, n1939, n1940, n1941, n1942,
n1943, n1944, n1945, n1946, n1947, n1948, n1949, n1950, n1951, n1952,
n1953, n1954, n1955, n1956, n1957, n1958, n1959, n1960, n1961, n1962,
n1963, n1964, n1965, n1966, n1967, n1968, n1969, n1970, n1971, n1972,
n1973, n1974, n1975, n1976, n1977, n1978, n1979, n1980, n1981, n1982,
n1983, n1984, n1985, n1986, n1987, n1988, n1989, n1990, n1991, n1992,
n1993, n1994, n1995, n1996, n1997, n1998, n1999, n2000, n2001, n2002,
n2003, n2004, n2005, n2006, n2007, n2008, n2009, n2010, n2011, n2012,
n2013, n2014, n2015, n2016, n2017, n2018, n2019, n2020, n2021, n2022,
n2023, n2024, n2025, n2026, n2027, n2028, n2029, n2030, n2031, n2032,
n2033, n2034, n2035, n2036, n2037, n2038, n2039, n2040, n2041, n2042,
n2043, n2044, n2045, n2046, n2047, n2048, n2049, n2050, n2051, n2052,
n2053, n2054, n2055, n2056, n2057, n2058, n2059, n2060, n2061, n2062,
n2063, n2064, n2065, n2066, n2067, n2068, n2069, n2070, n2071, n2072,
n2073, n2074, n2075, n2076, n2077, n2078, n2079, n2080, n2081, n2082,
n2083, n2084, n2085, n2086, n2087, n2088, n2089, n2090, n2091, n2092,
n2093, n2094, n2095, n2096, n2097, n2098, n2099, n2100, n2101, n2102,
n2103, n2104, n2105, n2106, n2107, n2108, n2109, n2110, n2111, n2112,
n2113, n2114, n2115, n2116, n2117, n2118, n2119, n2120, n2121, n2122,
n2123, n2124, n2125, n2126, n2127, n2128, n2129, n2130, n2131, n2132,
n2133, n2134, n2135, n2136, n2137, n2138, n2139, n2140, n2141, n2142,
n2143, n2144, n2145, n2146, n2147, n2148, n2149, n2150, n2151, n2152,
n2153, n2154, n2155, n2156, n2157, n2158, n2159, n2160, n2161, n2162,
n2163, n2164, n2165, n2166, n2167, n2168, n2169, n2170, n2171, n2172,
n2173, n2174, n2175, n2176, n2177, n2178, n2179, n2180, n2181, n2182,
n2183, n2184, n2185, n2186, n2187, n2188, n2189, n2190, n2191, n2192,
n2193, n2194, n2195, n2196, n2197, n2198, n2199, n2200, n2201, n2202,
n2203, n2204, n2205, n2206, n2207, n2208, n2209, n2210, n2211, n2212,
n2213, n2214, n2215, n2216, n2217, n2218, n2219, n2220, n2221, n2222,
n2223, n2224, n2225, n2226, n2227, n2228, n2229, n2230, n2231, n2232,
n2233, n2234, n2235, n2236, n2237, n2238, n2239, n2240, n2241, n2242,
n2243, n2244, n2245, n2246, n2247, n2248, n2249, n2250, n2251, n2252,
n2253, n2254, n2255, n2256, n2257, n2258, n2259, n2260, n2261, n2262,
n2263, n2264, n2265, n2266, n2267, n2268, n2269, n2270, n2271, n2272,
n2273, n2274, n2275, n2276, n2277, n2278, n2279, n2280, n2281, n2282,
n2283, n2284, n2285, n2286, n2287, n2288, n2289, n2290, n2291, n2292,
n2293, n2294, n2295, n2296, n2297, n2298, n2299, n2300, n2301, n2302,
n2303, n2304, n2305, n2306, n2307, n2308, n2309, n2310, n2311, n2312,
n2313, n2314, n2315, n2316, n2317, n2318, n2319, n2320, n2321, n2322,
n2323, n2324, n2325, n2326, n2327, n2328, n2329, n2330, n2331, n2332,
n2333, n2334, n2335, n2336, n2337, n2338, n2339, n2340, n2341, n2342,
n2343, n2344, n2345, n2346, n2347, n2348, n2349, n2350, n2351, n2352,
n2353, n2354, n2355, n2356, n2357, n2358, n2359, n2360, n2361, n2362,
n2363, n2364, n2365, n2366, n2367, n2368, n2369, n2370, n2371, n2372,
n2373, n2374, n2375, n2376, n2377, n2378, n2379, n2380, n2381, n2382,
n2383, n2384, n2385, n2386, n2387, n2388, n2389, n2390, n2391, n2392,
n2393, n2394, n2395, n2396, n2397, n2398, n2399, n2400, n2401, n2402,
n2403, n2404, n2405, n2406, n2407, n2408, n2409, n2410, n2411, n2412,
n2413, n2414, n2415, n2416, n2417, n2418, n2419, n2420, n2421, n2422,
n2423, n2424, n2425, n2426, n2427, n2428, n2429, n2430, n2431, n2432,
n2433, n2434, n2435, n2436, n2437, n2438, n2439, n2440, n2441, n2442,
n2443, n2444, n2445, n2446, n2447, n2448, n2449, n2450, n2451, n2452,
n2453, n2454, n2455, n2456, n2457, n2458, n2459, n2460, n2461, n2462,
n2463, n2464, n2465, n2466, n2467, n2468, n2469, n2470, n2471, n2472,
n2473, n2474, n2475, n2476, n2477, n2478, n2479, n2480, n2481, n2482,
n2483, n2484, n2485, n2486, n2487, n2488, n2489, n2490, n2491, n2492,
n2493, n2494, n2495, n2496, n2497, n2498, n2499, n2500, n2501, n2502,
n2503, n2504, n2505, n2506, n2507, n2508, n2509, n2510, n2511, n2512,
n2513, n2514, n2515, n2516, n2517, n2518, n2519, n2520, n2521, n2522,
n2523, n2524, n2525, n2526, n2527, n2528, n2529, n2530, n2531, n2532,
n2533, n2534, n2535, n2536, n2537, n2538, n2539, n2540, n2541, n2542,
n2543, n2544, n2545, n2546, n2547, n2548, n2549, n2550, n2551, n2552,
n2553, n2554, n2555, n2556, n2557, n2558, n2559, n2560, n2561, n2562,
n2563, n2564, n2565, n2566, n2567, n2568, n2569, n2570, n2571, n2572,
n2573, n2574, n2575, n2576, n2577, n2578, n2579, n2580, n2581, n2582,
n2583, n2584, n2585, n2586, n2587, n2588, n2589, n2590, n2591, n2592,
n2593, n2594, n2595, n2596, n2597, n2598, n2599, n2600, n2601, n2602,
n2603, n2604, n2605, n2606, n2607, n2608, n2609, n2610, n2611, n2612,
n2613, n2614, n2615, n2616, n2617, n2618, n2619, n2620, n2621, n2622,
n2623, n2624, n2625, n2626, n2627, n2628, n2629, n2630, n2631, n2632,
n2633, n2634, n2635, n2636, n2637, n2638, n2639, n2640, n2641, n2642,
n2643, n2644, n2645, n2646, n2647, n2648, n2649, n2650, n2651, n2652,
n2653, n2654, n2655, n2656, n2657, n2658, n2659, n2660, n2661, n2662,
n2663, n2664, n2665, n2666, n2667, n2668, n2669, n2670, n2671, n2672,
n2673, n2674, n2675, n2676, n2677, n2678, n2679, n2680, n2681, n2682,
n2683, n2684, n2685, n2686, n2687, n2688, n2689, n2690, n2691, n2692,
n2693, n2694, n2695, n2696, n2697, n2698, n2699, n2700, n2701, n2702,
n2703, n2704, n2705, n2706, n2707, n2708, n2709, n2710, n2711, n2712,
n2713, n2714, n2715, n2716, n2717, n2718, n2719, n2720, n2721, n2722,
n2723, n2724, n2725, n2726, n2727, n2728, n2729, n2730, n2731, n2732,
n2733, n2734, n2735, n2736, n2737, n2738, n2739, n2740, n2741, n2742,
n2743, n2744, n2745, n2746, n2747, n2748, n2749, n2750, n2751, n2752,
n2753, n2754, n2755, n2756, n2757, n2758, n2759, n2760, n2761, n2762,
n2763, n2764, n2765, n2766, n2767, n2768, n2769, n2770, n2771, n2772,
n2773, n2774, n2775, n2776, n2777, n2778, n2779, n2780, n2781, n2782,
n2783, n2784, n2785, n2786, n2787, n2788, n2789, n2790, n2791, n2792,
n2793, n2794, n2795, n2796, n2797, n2798, n2799, n2800, n2801, n2802,
n2803, n2804, n2805, n2806, n2807, n2808, n2809, n2810, n2811, n2812,
n2813, n2814, n2815, n2816, n2817, n2818, n2819, n2820, n2821, n2822,
n2823, n2824, n2825, n2826, n2827, n2828, n2829, n2830, n2831, n2832,
n2833, n2834, n2835, n2836, n2837, n2838, n2839, n2840, n2841, n2842,
n2843, n2844, n2845, n2846, n2847, n2848, n2849, n2850, n2851, n2852,
n2853, n2854, n2855, n2856, n2857, n2858, n2859, n2860, n2861, n2862,
n2863, n2864, n2865, n2866, n2867, n2868, n2869, n2870, n2871, n2872,
n2873, n2874, n2875, n2876, n2877, n2878, n2879, n2880, n2881, n2882,
n2883, n2884, n2885, n2886, n2887, n2888, n2889, n2890, n2891, n2892,
n2893, n2894, n2895, n2896, n2897, n2898, n2899, n2900, n2901, n2902,
n2903, n2904, n2905, n2906, n2907, n2908, n2909, n2910, n2911, n2912,
n2913, n2914, n2915, n2916, n2917, n2918, n2919, n2920, n2921, n2922,
n2923, n2924, n2925, n2926, n2927, n2928, n2929, n2930, n2931, n2932,
n2933, n2934, n2935, n2936, n2937, n2938, n2939, n2940, n2941, n2942,
n2943, n2944, n2945, n2946, n2947, n2948, n2949, n2950, n2951, n2952,
n2953, n2954, n2955, n2956, n2957, n2958, n2959, n2960, n2961, n2962,
n2963, n2964, n2965, n2966, n2967, n2968, n2969, n2970, n2971, n2972,
n2973, n2974, n2975, n2976, n2977, n2978, n2979, n2980, n2981, n2982,
n2983, n2984, n2985, n2986, n2987, n2988, n2989, n2990, n2991, n2992,
n2993, n2994, n2995, n2996, n2997, n2998, n2999, n3000, n3001, n3002,
n3003, n3004, n3005, n3006, n3007, n3008, n3009, n3010, n3011, n3012,
n3013, n3014, n3015, n3016, n3017, n3018, n3019, n3020, n3021, n3022,
n3023, n3024, n3025, n3026, n3027, n3028, n3029, n3030, n3031, n3032,
n3033, n3034, n3035, n3036, n3037, n3038, n3039, n3040, n3041, n3042,
n3043, n3044, n3045, n3046, n3047, n3048, n3049, n3050, n3051, n3052,
n3053, n3054, n3055, n3056, n3057, n3058, n3059, n3060, n3061, n3062,
n3063, n3064, n3065, n3066, n3067, n3068, n3069, n3070, n3071, n3072,
n3073, n3074, n3075, n3076, n3077, n3078, n3079, n3080, n3081, n3082,
n3083, n3084, n3085, n3086, n3087, n3088, n3089, n3090, n3091, n3092,
n3093, n3094, n3095, n3096, n3097, n3098, n3099, n3100, n3101, n3102,
n3103, n3104, n3105, n3106, n3107, n3108, n3109, n3110, n3111, n3112,
n3113, n3114, n3115, n3116, n3117, n3118, n3119, n3120, n3121, n3122,
n3123, n3124, n3125, n3126, n3127, n3128, n3129, n3130, n3131, n3132,
n3133, n3134, n3135, n3136, n3137, n3138, n3139, n3140, n3141, n3142,
n3143, n3144, n3145, n3146, n3147, n3148, n3149, n3150, n3151, n3152,
n3153, n3154, n3155, n3156, n3157, n3158, n3159, n3160, n3161, n3162,
n3163, n3164, n3165, n3166, n3167, n3168, n3169, n3170, n3171, n3172,
n3173, n3174, n3175, n3176, n3177, n3178, n3179, n3180, n3181, n3182,
n3183, n3184, n3185, n3186, n3187, n3188, n3189, n3190, n3191, n3192,
n3193, n3194, n3195, n3196, n3197, n3198, n3199, n3200, n3201, n3202,
n3203, n3204, n3205, n3206, n3207, n3208, n3209, n3210, n3211, n3212,
n3213, n3214, n3215, n3216, n3217, n3218, n3219, n3220, n3221, n3222,
n3223, n3224, n3225, n3226, n3227, n3228, n3229, n3230, n3231, n3232,
n3233, n3234, n3235, n3236, n3237, n3238, n3239, n3240, n3241, n3242,
n3243, n3244, n3245, n3246, n3247, n3248, n3249, n3250, n3251, n3252,
n3253, n3254, n3255, n3256, n3257, n3258, n3259, n3260, n3261, n3262,
n3263, n3264, n3265, n3266, n3267, n3268, n3269, n3270, n3271, n3272,
n3273, n3274, n3275, n3276, n3277, n3278, n3279, n3280, n3281, n3282,
n3283, n3284, n3285, n3286, n3287, n3288, n3289, n3290, n3291, n3292,
n3293, n3294, n3295, n3296, n3297, n3298, n3299, n3300, n3301, n3302,
n3303, n3304, n3305, n3306, n3307, n3308, n3309, n3310, n3311, n3312,
n3313, n3314, n3315, n3316, n3317, n3318, n3319, n3320, n3321, n3322,
n3323, n3324, n3325, n3326, n3327, n3328, n3329, n3330, n3331, n3332,
n3333, n3334, n3335, n3336, n3337, n3338, n3339, n3340, n3341, n3342,
n3343, n3344, n3345, n3346, n3347, n3348, n3349, n3350, n3351, n3352,
n3353, n3354, n3355, n3356, n3357, n3358, n3359, n3360, n3361, n3362,
n3363, n3364, n3365, n3366, n3367, n3368, n3369, n3370, n3371, n3372,
n3373, n3374, n3375, n3376, n3377, n3378, n3379, n3380, n3381, n3382,
n3383, n3384, n3385, n3386, n3387, n3388, n3389, n3390, n3391, n3392,
n3393, n3394, n3395, n3396, n3397, n3398, n3399, n3400, n3401, n3402,
n3403, n3404, n3405, n3406, n3407, n3408, n3409, n3410, n3411, n3412,
n3413, n3414, n3415, n3416, n3417, n3418, n3419, n3420, n3421, n3422,
n3423, n3424, n3425, n3426, n3427, n3428, n3429, n3430, n3431, n3432,
n3433, n3434, n3435, n3436, n3437, n3438, n3439, n3440, n3441, n3442,
n3443, n3444, n3445, n3446, n3447, n3448, n3449, n3450, n3451, n3452,
n3453, n3454, n3455, n3456, n3457, n3458, n3459, n3460, n3461, n3462,
n3463, n3464, n3465, n3466, n3467, n3468, n3469, n3470, n3471, n3472,
n3473, n3474, n3475, n3476, n3477, n3478, n3479, n3480, n3481, n3482,
n3483, n3484, n3485, n3486, n3487, n3488, n3489, n3490, n3491, n3492,
n3493, n3494, n3495, n3496, n3497, n3498, n3499, n3500, n3501, n3502,
n3503, n3504, n3505, n3506, n3507, n3508, n3509, n3510, n3511, n3512,
n3513, n3514, n3515, n3516, n3517, n3518, n3519, n3520, n3521, n3522,
n3523, n3524, n3525, n3526, n3527, n3528, n3529, n3530, n3531, n3532,
n3533, n3534, n3535, n3536, n3537, n3538, n3539, n3540, n3541, n3542,
n3543, n3544, n3545, n3546, n3547, n3548, n3549, n3550, n3551, n3552,
n3553, n3554, n3555, n3556, n3557, n3558, n3559, n3560, n3561, n3562,
n3563, n3564, n3565, n3566, n3567, n3568, n3569, n3570, n3571, n3572,
n3573, n3574, n3575, n3576, n3577, n3578, n3579, n3580, n3581, n3582,
n3583, n3584, n3585, n3586, n3587, n3588, n3589, n3590, n3591, n3592,
n3593, n3594, n3595, n3596, n3597, n3598, n3599, n3600, n3601, n3602,
n3603, n3604, n3605, n3606, n3607, n3608, n3609, n3610, n3611, n3612,
n3613, n3614, n3615, n3616, n3617, n3618, n3619, n3620, n3621, n3622,
n3623, n3624, n3625, n3626, n3627, n3628, n3629, n3630, n3631, n3632,
n3633, n3634, n3635, n3636, n3637, n3638, n3639, n3640, n3641, n3642,
n3643, n3644, n3645, n3646, n3647, n3648, n3649, n3650, n3651, n3652,
n3653, n3654, n3655, n3656, n3657, n3658, n3659, n3660, n3661, n3662,
n3663, n3664, n3665, n3666, n3667, n3668, n3669, n3670, n3671, n3672,
n3673, n3674, n3675, n3676, n3677, n3678, n3679, n3680, n3681, n3682,
n3683, n3684, n3685, n3686, n3687, n3688, n3689, n3690, n3691, n3692,
n3693, n3694, n3695, n3696, n3697, n3698, n3699, n3700, n3701, n3702,
n3703, n3704, n3705, n3706, n3707, n3708, n3709, n3710, n3711, n3712,
n3713, n3714, n3715, n3716, n3717, n3718, n3719, n3720, n3721, n3722,
n3723, n3724, n3725, n3726, n3727, n3728, n3729, n3730, n3731, n3732,
n3733, n3734, n3735, n3736, n3737, n3738, n3739, n3740, n3741, n3742,
n3743, n3744, n3745, n3746, n3747, n3748, n3749, n3750, n3751, n3752,
n3753, n3754, n3755, n3756, n3757, n3758, n3759, n3760, n3761, n3762,
n3763, n3764, n3765, n3766, n3767, n3768, n3769, n3770, n3771, n3772,
n3773, n3774, n3775, n3776, n3777, n3778, n3779, n3780, n3781, n3782,
n3783, n3784, n3785, n3786, n3787, n3788, n3789, n3790, n3791, n3792,
n3793, n3794, n3795, n3796, n3797, n3798, n3799, n3800, n3801, n3802,
n3803, n3804, n3805, n3806, n3807, n3808, n3809, n3810, n3811, n3812,
n3813, n3814, n3815, n3816, n3817, n3818, n3819, n3820, n3821, n3822,
n3823, n3824, n3825, n3826, n3827, n3828, n3829, n3830, n3831, n3832,
n3833, n3834, n3835, n3836, n3837, n3838, n3839, n3840, n3841, n3842,
n3843, n3844, n3845, n3846, n3847, n3848, n3849, n3850, n3851, n3852,
n3853, n3854, n3855, n3856, n3857, n3858, n3859, n3860, n3861, n3862,
n3863, n3864, n3865, n3866, n3867, n3868, n3869, n3870, n3871, n3872,
n3873, n3874, n3875, n3876, n3877, n3878, n3879, n3880, n3881, n3882,
n3883, n3884, n3885, n3886, n3887, n3888, n3889, n3890, n3891, n3892,
n3893, n3894, n3895, n3896, n3897, n3898, n3899, n3900, n3901, n3902,
n3903, n3904, n3905, n3906, n3907, n3908, n3909, n3910, n3911, n3912,
n3913, n3914, n3915, n3916, n3917, n3918, n3919, n3920, n3921, n3922,
n3923, n3924, n3925, n3926, n3927, n3928, n3929, n3930, n3931, n3932,
n3933, n3934, n3935, n3936, n3937, n3938, n3939, n3940, n3941, n3942,
n3943, n3944, n3945, n3946, n3947, n3948, n3949, n3950, n3951, n3952,
n3953, n3954, n3955, n3956, n3957, n3958, n3959, n3960, n3961, n3962,
n3963, n3964, n3965, n3966, n3967, n3968, n3969, n3970, n3971, n3972,
n3973, n3974, n3975, n3976, n3977, n3978, n3979, n3980, n3981, n3982,
n3983, n3984, n3985, n3986, n3987, n3988, n3989, n3990, n3991, n3992,
n3993, n3994, n3995, n3996, n3997, n3998, n3999, n4000, n4001, n4002,
n4003, n4004, n4005, n4006, n4007, n4008, n4009, n4010, n4011, n4012,
n4013, n4014, n4015, n4016, n4017, n4018, n4019, n4020, n4021, n4022,
n4023, n4024, n4025, n4026, n4027, n4028, n4029, n4030, n4031, n4032,
n4033, n4034, n4035, n4036, n4037, n4038, n4039, n4040, n4041, n4042,
n4043, n4044, n4045, n4046, n4047, n4048, n4049, n4050, n4051, n4052,
n4053, n4054, n4055, n4056, n4057, n4058, n4059, n4060, n4061, n4062,
n4063, n4064, n4065, n4066, n4067, n4068, n4069, n4070, n4071, n4072,
n4073, n4074, n4075, n4076, n4077, n4078, n4079, n4080, n4081, n4082,
n4083, n4084, n4085, n4086, n4087, n4088, n4089, n4090, n4091, n4092,
n4093, n4094, n4095, n4096, n4097, n4098, n4099, n4100, n4101, n4102,
n4103, n4104, n4105, n4106, n4107, n4108, n4109, n4110, n4111, n4112,
n4113, n4114, n4115, n4116, n4117, n4118, n4119, n4120, n4121, n4122,
n4123, n4124, n4125, n4126, n4127, n4128, n4129, n4130, n4131, n4132,
n4133, n4134, n4135, n4136, n4137, n4138, n4139, n4140, n4141, n4142,
n4143, n4144, n4145, n4146, n4147, n4148, n4149, n4150, n4151, n4152,
n4153, n4154, n4155, n4156, n4157, n4158, n4159, n4160, n4161, n4162,
n4163, n4164, n4165, n4166, n4167, n4168, n4169, n4170, n4171, n4172,
n4173, n4174, n4175, n4176, n4177, n4178, n4179, n4180, n4181, n4182,
n4183, n4184, n4185, n4186, n4187, n4188, n4189, n4190, n4191, n4192,
n4193, n4194, n4195, n4196, n4197, n4198, n4199, n4200, n4201, n4202,
n4203, n4204, n4205, n4206, n4207, n4208, n4209, n4210, n4211, n4212,
n4213, n4214, n4215, n4216, n4217, n4218, n4219, n4220, n4221, n4222,
n4223, n4224, n4225, n4226, n4227, n4228, n4229, n4230, n4231, n4232,
n4233, n4234, n4235, n4236, n4237, n4238, n4239, n4240, n4241, n4242,
n4243, n4244, n4245, n4246, n4247, n4248, n4249, n4250, n4251, n4252,
n4253, n4254, n4255, n4256, n4257, n4258, n4259, n4260, n4261, n4262,
n4263, n4264, n4265, n4266, n4267, n4268, n4269, n4270, n4271, n4272,
n4273, n4274, n4275, n4276, n4277, n4278, n4279, n4280, n4281, n4282,
n4283, n4284, n4285, n4286, n4287, n4288, n4289, n4290, n4291, n4292,
n4293, n4294, n4295, n4296, n4297, n4298, n4299, n4300, n4301, n4302,
n4303, n4304, n4305, n4306, n4307, n4308, n4309, n4310, n4311, n4312,
n4313, n4314, n4315, n4316, n4317, n4318, n4319, n4320, n4321, n4322,
n4323, n4324, n4325, n4326, n4327, n4328, n4329, n4330, n4331, n4332,
n4333, n4334, n4335, n4336, n4337, n4338, n4339, n4340, n4341, n4342,
n4343, n4344, n4345, n4346, n4347, n4348, n4349, n4350, n4351, n4352,
n4353, n4354, n4355, n4356, n4357, n4358, n4359, n4360, n4361, n4362,
n4363, n4364, n4365, n4366, n4367, n4368, n4369, n4370, n4371, n4372,
n4373, n4374, n4375, n4376, n4377, n4378, n4379, n4380, n4381, n4382,
n4383, n4384, n4385, n4386, n4387, n4388, n4389, n4390, n4391, n4392,
n4393, n4394, n4395, n4396, n4397, n4398, n4399, n4400, n4401, n4402,
n4403, n4404, n4405, n4406, n4407, n4408, n4409, n4410, n4411, n4412,
n4413, n4414, n4415, n4416, n4417, n4418, n4419, n4420, n4421, n4422,
n4423, n4424, n4425, n4426, n4427, n4428, n4429, n4430, n4431, n4432,
n4433, n4434, n4435, n4436, n4437, n4438, n4439, n4440, n4441, n4442,
n4443, n4444, n4445, n4446, n4447, n4448, n4449, n4450, n4451, n4452,
n4453, n4454, n4455, n4456, n4457, n4458, n4459, n4460, n4461, n4462,
n4463, n4464, n4465, n4466, n4467, n4468, n4469, n4470, n4471, n4472,
n4473, n4474, n4475, n4476, n4477, n4478, n4479, n4480, n4481, n4482,
n4483, n4484, n4485, n4486, n4487, n4488, n4489, n4490, n4491, n4492,
n4493, n4494, n4495, n4496, n4497, n4498, n4499, n4500, n4501, n4502,
n4503, n4504, n4505, n4506, n4507, n4508, n4509, n4510, n4511, n4512,
n4513, n4514, n4515, n4516, n4517, n4518, n4519, n4520, n4521, n4522,
n4523, n4524, n4525, n4526, n4527, n4528, n4529, n4530, n4531, n4532,
n4533, n4534, n4535, n4536, n4537, n4538, n4539, n4540, n4541, n4542,
n4543, n4544, n4545, n4546, n4547, n4548, n4549, n4550, n4551, n4552,
n4553, n4554, n4555, n4556, n4557, n4558, n4559, n4560, n4561, n4562,
n4563, n4564, n4565, n4566, n4567, n4568, n4569, n4570, n4571, n4572,
n4573, n4574, n4575, n4576, n4577, n4578, n4579, n4580, n4581, n4582,
n4583, n4584, n4585, n4586, n4587, n4588, n4589, n4590, n4591, n4592,
n4593, n4594, n4595, n4596, n4597, n4598, n4599, n4600, n4601, n4602,
n4603, n4604, n4605, n4606, n4607, n4608, n4609, n4610, n4611, n4612,
n4613, n4614, n4615, n4616, n4617, n4618, n4619, n4620, n4621, n4622,
n4623, n4624, n4625, n4626, n4627, n4628, n4629, n4630, n4631, n4632,
n4633, n4634, n4635, n4636, n4637, n4638, n4639, n4640, n4641, n4642,
n4643, n4644, n4645, n4646, n4647, n4648, n4649, n4650, n4651, n4652,
n4653, n4654, n4655, n4656, n4657, n4658, n4659, n4660, n4661, n4662,
n4663, n4664, n4665, n4666, n4667, n4668, n4669, n4670, n4671, n4672,
n4673, n4674, n4675, n4676, n4677, n4678, n4679, n4680, n4681, n4682,
n4683, n4684, n4685, n4686, n4687, n4688, n4689, n4690, n4691, n4692,
n4693, n4694, n4695, n4696, n4697, n4698, n4699, n4700, n4701, n4702,
n4703, n4704, n4705, n4706, n4707, n4708, n4709, n4710, n4711, n4712,
n4713, n4714, n4715, n4716, n4717, n4718, n4719, n4720, n4721, n4722,
n4723, n4724, n4725, n4726, n4727, n4728, n4729, n4730, n4731, n4732,
n4733, n4734, n4735, n4736, n4737, n4738, n4739, n4740, n4741, n4742,
n4743, n4744, n4745, n4746, n4747, n4748, n4749, n4750, n4751, n4752,
n4753, n4754, n4755, n4756, n4757, n4758, n4759, n4760, n4761, n4762,
n4763, n4764, n4765, n4766, n4767, n4768, n4769, n4770, n4771, n4772,
n4773, n4774, n4775, n4776, n4777, n4778, n4779, n4780, n4781, n4782,
n4783, n4784, n4785, n4786, n4787, n4788, n4789, n4790, n4791, n4792,
n4793, n4794, n4795, n4796, n4797, n4798, n4799, n4800, n4801, n4802,
n4803, n4804, n4805, n4806, n4807, n4808, n4809, n4810, n4811, n4812,
n4813, n4814, n4815, n4816, n4817, n4818, n4819, n4820, n4821, n4822,
n4823, n4824, n4825, n4826, n4827, n4828, n4829, n4830, n4831, n4832,
n4833, n4834, n4835, n4836, n4837, n4838, n4839, n4840, n4841, n4842,
n4843, n4844, n4845, n4846, n4847, n4848, n4849, n4850, n4851, n4852,
n4853, n4854, n4855, n4856, n4857, n4858, n4859, n4860, n4861, n4862,
n4863, n4864, n4865, n4866, n4867, n4868, n4869, n4870, n4871, n4872,
n4873, n4874, n4875, n4876, n4877, n4878, n4879, n4880, n4881, n4882,
n4883, n4884, n4885, n4886, n4887, n4888, n4889, n4890, n4891, n4892,
n4893, n4894, n4895, n4896, n4897, n4898, n4899, n4900, n4901, n4902,
n4903, n4904, n4905, n4906, n4907, n4908, n4909, n4910, n4911, n4912,
n4913, n4914, n4915, n4916, n4917, n4918, n4919, n4920, n4921, n4922,
n4923, n4924, n4925, n4926, n4927, n4928, n4929, n4930, n4931, n4932,
n4933, n4934, n4935, n4936, n4937, n4938, n4939, n4940, n4941, n4942,
n4943, n4944, n4945, n4946, n4947, n4948, n4949, n4950, n4951, n4952,
n4953, n4954, n4955, n4956, n4957, n4958, n4959, n4960, n4961, n4962,
n4963, n4964, n4965, n4966, n4967, n4968, n4969, n4970, n4971, n4972,
n4973, n4974, n4975, n4976, n4977, n4978, n4979, n4980, n4981, n4982,
n4983, n4984, n4985, n4986, n4987, n4988, n4989, n4990, n4991, n4992,
n4993, n4994, n4995, n4996, n4997, n4998, n4999, n5000, n5001, n5002,
n5003, n5004, n5005, n5006, n5007, n5008, n5009, n5010, n5011, n5012,
n5013, n5014, n5015, n5016, n5017, n5018, n5019, n5020, n5021, n5022,
n5023, n5024, n5025, n5026, n5027, n5028, n5029, n5030, n5031, n5032,
n5033, n5034, n5035, n5036, n5037, n5038, n5039, n5040, n5041, n5042,
n5043, n5044, n5045, n5046, n5047, n5048, n5049, n5050, n5051, n5052,
n5053, n5054, n5055, n5056, n5057, n5058, n5059, n5060, n5061, n5062,
n5063, n5064, n5065, n5066, n5067, n5068, n5069, n5070, n5071, n5072,
n5073, n5074, n5075, n5076, n5077, n5078, n5079, n5080, n5081, n5082,
n5083, n5084, n5085, n5086, n5087, n5088, n5089, n5090, n5091, n5092,
n5093, n5094, n5095, n5096, n5097, n5098, n5099, n5100, n5101, n5102,
n5103, n5104, n5105, n5106, n5107, n5108, n5109, n5110, n5111, n5112,
n5113, n5114, n5115, n5116, n5117, n5118, n5119, n5120, n5121, n5122,
n5123, n5124, n5125, n5126, n5127, n5128, n5129, n5130, n5131, n5132,
n5133, n5134, n5135, n5136, n5137, n5138, n5139, n5140, n5141, n5142,
n5143, n5144, n5145, n5146, n5147, n5148, n5149, n5150, n5151, n5152,
n5153, n5154, n5155, n5156, n5157, n5158, n5159, n5160, n5161, n5162,
n5163, n5164, n5165, n5166, n5167, n5168, n5169, n5170, n5171, n5172,
n5173, n5174, n5175, n5176, n5177, n5178, n5179, n5180, n5181, n5182,
n5183, n5184, n5185, n5186, n5187, n5188, n5189, n5190, n5191, n5192,
n5193, n5194, n5195, n5196, n5197, n5198, n5199, n5200, n5201, n5202,
n5203, n5204, n5205, n5206, n5207, n5208, n5209, n5210, n5211, n5212,
n5213, n5214, n5215, n5216, n5217, n5218, n5219, n5220, n5221, n5222,
n5223, n5224, n5225, n5226, n5227, n5228, n5229, n5230, n5231, n5232,
n5233, n5234, n5235, n5236, n5237, n5238, n5239, n5240, n5241, n5242,
n5243, n5244, n5245, n5246, n5247, n5248, n5249, n5250, n5251, n5252,
n5253, n5254, n5255, n5256, n5257, n5258, n5259, n5260, n5261, n5262,
n5263, n5264, n5265, n5266, n5267, n5268, n5269, n5270, n5271, n5272,
n5273, n5274, n5275, n5276, n5277, n5278, n5279, n5280, n5281, n5282,
n5283, n5284, n5285, n5286, n5287, n5288, n5289, n5290, n5291, n5292,
n5293, n5294, n5295, n5296, n5297, n5298, n5299, n5300, n5301, n5302,
n5303, n5304, n5305, n5306, n5307, n5308, n5309, n5310, n5311, n5312,
n5313, n5314, n5315, n5316, n5317, n5318, n5319, n5320, n5321, n5322,
n5323, n5324, n5325, n5326, n5327, n5328, n5329, n5330, n5331, n5332,
n5333, n5334, n5335, n5336, n5337, n5338, n5339, n5340, n5341, n5342,
n5343, n5344, n5345, n5346, n5347, n5348, n5349, n5350, n5351, n5352,
n5353, n5354, n5355, n5356, n5357, n5358, n5359, n5360, n5361, n5362,
n5363, n5364, n5365, n5366, n5367, n5368, n5369, n5370, n5371, n5372,
n5373, n5374, n5375, n5376, n5377, n5378, n5379, n5380, n5381, n5382,
n5383, n5384, n5385, n5386, n5387, n5388, n5389, n5390, n5391, n5392,
n5393, n5394, n5395, n5396, n5397, n5398, n5399, n5400, n5401, n5402,
n5403, n5404, n5405, n5406, n5407, n5408, n5409, n5410, n5411, n5412,
n5413, n5414, n5415, n5416, n5417, n5418, n5419, n5420, n5421, n5422,
n5423, n5424, n5425, n5426, n5427, n5428, n5429, n5430, n5431, n5432,
n5433, n5434, n5435, n5436, n5437, n5438, n5439, n5440, n5441, n5442,
n5443, n5444, n5445, n5446, n5447, n5448, n5449, n5450, n5451, n5452,
n5453, n5454, n5455, n5456, n5457, n5458, n5459, n5460, n5461, n5462,
n5463, n5464, n5465, n5466, n5467, n5468, n5469, n5470, n5471, n5472,
n5473, n5474, n5475, n5476, n5477, n5478, n5479, n5480, n5481, n5482,
n5483, n5484, n5485, n5486, n5487, n5488, n5489, n5490, n5491, n5492,
n5493, n5494, n5495, n5496, n5497, n5498, n5499, n5500, n5501, n5502,
n5503, n5504, n5505, n5506, n5507, n5508, n5509, n5510, n5511, n5512,
n5513, n5514, n5515, n5516, n5517, n5518, n5519, n5520, n5521, n5522,
n5523, n5524, n5525, n5526, n5527, n5528, n5529, n5530, n5531, n5532,
n5533, n5534, n5535, n5536, n5537, n5538, n5539, n5540, n5541, n5542,
n5543, n5544, n5545, n5546, n5547, n5548, n5549, n5550, n5551, n5552,
n5553, n5554, n5555, n5556, n5557, n5558, n5559, n5560, n5561, n5562,
n5563, n5564, n5565, n5566, n5567, n5568, n5569, n5570, n5571, n5572,
n5573, n5574, n5575, n5576, n5577, n5578, n5579, n5580, n5581, n5582,
n5583, n5584, n5585, n5586, n5587, n5588, n5589, n5590, n5591, n5592,
n5593, n5594, n5595, n5596, n5597, n5598, n5599, n5600, n5601, n5602,
n5603, n5604, n5605, n5606, n5607, n5608, n5609, n5610, n5611, n5612,
n5613, n5614, n5615, n5616, n5617, n5618, n5619, n5620, n5621, n5622,
n5623, n5624, n5625, n5626, n5627, n5628, n5629, n5630, n5631, n5632,
n5633, n5634, n5635, n5636, n5637, n5638, n5639, n5640, n5641, n5642,
n5643, n5644, n5645, n5646, n5647, n5648, n5649, n5650, n5651, n5652,
n5653, n5654, n5655, n5656, n5657, n5658, n5659, n5660, n5661, n5662,
n5663, n5664, n5665, n5666, n5667, n5668, n5669, n5670, n5671, n5672,
n5673, n5674, n5675, n5676, n5677, n5678, n5679, n5680, n5681, n5682,
n5683, n5684, n5685, n5686, n5687, n5688, n5689, n5690, n5691, n5692,
n5693, n5694, n5695, n5696, n5697, n5698, n5699, n5700, n5701, n5702,
n5703, n5704, n5705, n5706, n5707, n5708, n5709, n5710, n5711, n5712,
n5713, n5714, n5715, n5716, n5717, n5718, n5719, n5720, n5721, n5722,
n5723, n5724, n5725, n5726, n5727, n5728, n5729, n5730, n5731, n5732,
n5733, n5734, n5735, n5736, n5737, n5738, n5739, n5740, n5741, n5742,
n5743, n5744, n5745, n5746, n5747, n5748, n5749, n5750, n5751, n5752,
n5753, n5754, n5755, n5756, n5757, n5758, n5759, n5760, n5761, n5762,
n5763, n5764, n5765, n5766, n5767, n5768, n5769, n5770, n5771, n5772,
n5773, n5774, n5775, n5776, n5777, n5778, n5779, n5780, n5781, n5782,
n5783, n5784, n5785, n5786, n5787, n5788, n5789, n5790, n5791, n5792,
n5793, n5794, n5795, n5796, n5797, n5798, n5799, n5800, n5801, n5802,
n5803, n5804, n5805, n5806, n5807, n5808, n5809, n5810, n5811, n5812,
n5813, n5814, n5815, n5816, n5817, n5818, n5819, n5820, n5821, n5822,
n5823, n5824, n5825, n5826, n5827, n5828, n5829, n5830, n5831, n5832,
n5833, n5834, n5835, n5836, n5837, n5838, n5839, n5840, n5841, n5842,
n5843, n5844, n5845, n5846, n5847, n5848, n5849, n5850, n5851, n5852,
n5853, n5854, n5855, n5856, n5857, n5858, n5859, n5860, n5861, n5862,
n5863, n5864, n5865, n5866, n5867, n5868, n5869, n5870, n5871, n5872,
n5873, n5874, n5875, n5876, n5877, n5878, n5879, n5880, n5881, n5882,
n5883, n5884, n5885, n5886, n5887, n5888, n5889, n5890, n5891, n5892,
n5893, n5894, n5895, n5896, n5897, n5898, n5899, n5900, n5901, n5902,
n5903, n5904, n5905, n5906, n5907, n5908, n5909, n5910, n5911, n5912,
n5913, n5914, n5915, n5916, n5917, n5918, n5919, n5920, n5921, n5922,
n5923, n5924, n5925, n5926, n5927, n5928, n5929, n5930, n5931, n5932,
n5933, n5934, n5935, n5936, n5937, n5938, n5939, n5940, n5941, n5942,
n5943, n5944, n5945, n5946, n5947, n5948, n5949, n5950, n5951, n5952,
n5953, n5954, n5955, n5956, n5957, n5958, n5959, n5960, n5961, n5962,
n5963, n5964, n5965, n5966, n5967, n5968, n5969, n5970, n5971, n5972,
n5973, n5974, n5975, n5976, n5977, n5978, n5979, n5980, n5981, n5982,
n5983, n5984, n5985, n5986, n5987, n5988, n5989, n5990, n5991, n5992,
n5993, n5994, n5995, n5996, n5997, n5998, n5999, n6000, n6001, n6002,
n6003, n6004, n6005, n6006, n6007, n6008, n6009, n6010, n6011, n6012,
n6013, n6014, n6015, n6016, n6017, n6018, n6019, n6020, n6021, n6022,
n6023, n6024, n6025, n6026, n6027, n6028, n6029, n6030, n6031, n6032,
n6033, n6034, n6035 : std_logic;
begin
ready_x_out <= ready_x_out_port;
ready_h_out <= ready_h_out_port;
valid_out <= valid_out_port;
adder_mem_array_reg_3_0_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_0_port, gclk => clk, asyncrsthl =>
rst, q => adder_mem_array_3_0_port);
adder_mem_array_reg_3_1_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_1_port, gclk => clk, asyncrsthl =>
rst, q => adder_mem_array_3_1_port);
adder_mem_array_reg_3_2_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_2_port, gclk => clk, asyncrsthl =>
rst, q => adder_mem_array_3_2_port);
adder_mem_array_reg_3_3_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_3_port, gclk => clk, asyncrsthl =>
rst, q => adder_mem_array_3_3_port);
adder_mem_array_reg_3_4_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_4_port, gclk => clk, asyncrsthl =>
rst, q => adder_mem_array_3_4_port);
adder_mem_array_reg_3_5_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_5_port, gclk => clk, asyncrsthl =>
rst, q => adder_mem_array_3_5_port);
adder_mem_array_reg_3_6_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_6_port, gclk => clk, asyncrsthl =>
rst, q => adder_mem_array_3_6_port);
adder_mem_array_reg_3_7_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_7_port, gclk => clk, asyncrsthl =>
rst, q => adder_mem_array_3_7_port);
adder_mem_array_reg_3_8_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_8_port, gclk => clk, asyncrsthl =>
rst, q => adder_mem_array_3_8_port);
adder_mem_array_reg_3_9_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_9_port, gclk => clk, asyncrsthl =>
rst, q => adder_mem_array_3_9_port);
adder_mem_array_reg_3_10_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_10_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_10_port);
adder_mem_array_reg_3_11_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_11_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_11_port);
adder_mem_array_reg_3_12_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_12_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_12_port);
adder_mem_array_reg_3_13_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_13_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_13_port);
adder_mem_array_reg_3_14_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_14_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_14_port);
adder_mem_array_reg_3_15_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_15_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_15_port);
adder_mem_array_reg_3_16_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_16_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_16_port);
adder_mem_array_reg_3_17_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_17_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_17_port);
adder_mem_array_reg_3_18_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_18_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_18_port);
adder_mem_array_reg_3_19_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_19_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_19_port);
adder_mem_array_reg_3_20_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_20_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_20_port);
adder_mem_array_reg_3_21_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_21_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_21_port);
adder_mem_array_reg_3_22_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_22_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_22_port);
adder_mem_array_reg_3_23_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_23_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_23_port);
adder_mem_array_reg_3_24_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_24_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_24_port);
adder_mem_array_reg_3_25_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_25_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_25_port);
adder_mem_array_reg_3_26_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_26_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_26_port);
adder_mem_array_reg_3_27_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_27_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_27_port);
adder_mem_array_reg_3_28_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_28_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_28_port);
adder_mem_array_reg_3_29_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_29_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_29_port);
adder_mem_array_reg_3_30_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_30_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_30_port);
adder_mem_array_reg_3_32_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_31_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_32_port);
adder_mem_array_reg_3_31_inst : dff_asyncrsthl port map( d =>
multiplier_sigs_3_31_port, gclk => clk, asyncrsthl
=> rst, q => adder_mem_array_3_31_port);
adder_mem_array_reg_2_0_inst : dff_asyncrsthl port map( d => N72, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_0_port);
adder_mem_array_reg_2_1_inst : dff_asyncrsthl port map( d => N73, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_1_port);
adder_mem_array_reg_2_2_inst : dff_asyncrsthl port map( d => N74, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_2_port);
adder_mem_array_reg_2_3_inst : dff_asyncrsthl port map( d => N75, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_3_port);
adder_mem_array_reg_2_4_inst : dff_asyncrsthl port map( d => N76, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_4_port);
adder_mem_array_reg_2_5_inst : dff_asyncrsthl port map( d => N77, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_5_port);
adder_mem_array_reg_2_6_inst : dff_asyncrsthl port map( d => N78, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_6_port);
adder_mem_array_reg_2_7_inst : dff_asyncrsthl port map( d => N79, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_7_port);
adder_mem_array_reg_2_8_inst : dff_asyncrsthl port map( d => N80, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_8_port);
adder_mem_array_reg_2_9_inst : dff_asyncrsthl port map( d => N81, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_9_port);
adder_mem_array_reg_2_10_inst : dff_asyncrsthl port map( d => N82, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_10_port);
adder_mem_array_reg_2_11_inst : dff_asyncrsthl port map( d => N83, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_11_port);
adder_mem_array_reg_2_12_inst : dff_asyncrsthl port map( d => N84, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_12_port);
adder_mem_array_reg_2_13_inst : dff_asyncrsthl port map( d => N85, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_13_port);
adder_mem_array_reg_2_14_inst : dff_asyncrsthl port map( d => N86, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_14_port);
adder_mem_array_reg_2_15_inst : dff_asyncrsthl port map( d => N87, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_15_port);
adder_mem_array_reg_2_16_inst : dff_asyncrsthl port map( d => N88, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_16_port);
adder_mem_array_reg_2_17_inst : dff_asyncrsthl port map( d => N89, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_17_port);
adder_mem_array_reg_2_18_inst : dff_asyncrsthl port map( d => N90, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_18_port);
adder_mem_array_reg_2_19_inst : dff_asyncrsthl port map( d => N91, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_19_port);
adder_mem_array_reg_2_20_inst : dff_asyncrsthl port map( d => N92, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_20_port);
adder_mem_array_reg_2_21_inst : dff_asyncrsthl port map( d => N93, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_21_port);
adder_mem_array_reg_2_22_inst : dff_asyncrsthl port map( d => N94, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_22_port);
adder_mem_array_reg_2_23_inst : dff_asyncrsthl port map( d => N95, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_23_port);
adder_mem_array_reg_2_24_inst : dff_asyncrsthl port map( d => N96, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_24_port);
adder_mem_array_reg_2_25_inst : dff_asyncrsthl port map( d => N97, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_25_port);
adder_mem_array_reg_2_26_inst : dff_asyncrsthl port map( d => N98, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_26_port);
adder_mem_array_reg_2_27_inst : dff_asyncrsthl port map( d => N99, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_27_port);
adder_mem_array_reg_2_28_inst : dff_asyncrsthl port map( d => N100, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_28_port);
adder_mem_array_reg_2_29_inst : dff_asyncrsthl port map( d => N101, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_29_port);
adder_mem_array_reg_2_30_inst : dff_asyncrsthl port map( d => N102, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_30_port);
adder_mem_array_reg_2_31_inst : dff_asyncrsthl port map( d => N103, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_31_port);
adder_mem_array_reg_2_32_inst : dff_asyncrsthl port map( d => N104, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_2_32_port);
adder_mem_array_reg_1_0_inst : dff_asyncrsthl port map( d => N39, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_0_port);
adder_mem_array_reg_1_1_inst : dff_asyncrsthl port map( d => N40, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_1_port);
adder_mem_array_reg_1_2_inst : dff_asyncrsthl port map( d => N41, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_2_port);
adder_mem_array_reg_1_3_inst : dff_asyncrsthl port map( d => N42, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_3_port);
adder_mem_array_reg_1_4_inst : dff_asyncrsthl port map( d => N43, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_4_port);
adder_mem_array_reg_1_5_inst : dff_asyncrsthl port map( d => N44, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_5_port);
adder_mem_array_reg_1_6_inst : dff_asyncrsthl port map( d => N45, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_6_port);
adder_mem_array_reg_1_7_inst : dff_asyncrsthl port map( d => N46, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_7_port);
adder_mem_array_reg_1_8_inst : dff_asyncrsthl port map( d => N47, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_8_port);
adder_mem_array_reg_1_9_inst : dff_asyncrsthl port map( d => N48, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_9_port);
adder_mem_array_reg_1_10_inst : dff_asyncrsthl port map( d => N49, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_10_port);
adder_mem_array_reg_1_11_inst : dff_asyncrsthl port map( d => N50, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_11_port);
adder_mem_array_reg_1_12_inst : dff_asyncrsthl port map( d => N51, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_12_port);
adder_mem_array_reg_1_13_inst : dff_asyncrsthl port map( d => N52, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_13_port);
adder_mem_array_reg_1_14_inst : dff_asyncrsthl port map( d => N53, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_14_port);
adder_mem_array_reg_1_15_inst : dff_asyncrsthl port map( d => N54, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_15_port);
adder_mem_array_reg_1_16_inst : dff_asyncrsthl port map( d => N55, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_16_port);
adder_mem_array_reg_1_17_inst : dff_asyncrsthl port map( d => N56, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_17_port);
adder_mem_array_reg_1_18_inst : dff_asyncrsthl port map( d => N57, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_18_port);
adder_mem_array_reg_1_19_inst : dff_asyncrsthl port map( d => N58, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_19_port);
adder_mem_array_reg_1_20_inst : dff_asyncrsthl port map( d => N59, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_20_port);
adder_mem_array_reg_1_21_inst : dff_asyncrsthl port map( d => N60, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_21_port);
adder_mem_array_reg_1_22_inst : dff_asyncrsthl port map( d => N61, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_22_port);
adder_mem_array_reg_1_23_inst : dff_asyncrsthl port map( d => N62, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_23_port);
adder_mem_array_reg_1_24_inst : dff_asyncrsthl port map( d => N63, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_24_port);
adder_mem_array_reg_1_25_inst : dff_asyncrsthl port map( d => N64, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_25_port);
adder_mem_array_reg_1_26_inst : dff_asyncrsthl port map( d => N65, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_26_port);
adder_mem_array_reg_1_27_inst : dff_asyncrsthl port map( d => N66, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_27_port);
adder_mem_array_reg_1_28_inst : dff_asyncrsthl port map( d => N67, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_28_port);
adder_mem_array_reg_1_29_inst : dff_asyncrsthl port map( d => N68, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_29_port);
adder_mem_array_reg_1_30_inst : dff_asyncrsthl port map( d => N69, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_30_port);
adder_mem_array_reg_1_31_inst : dff_asyncrsthl port map( d => N70, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_31_port);
adder_mem_array_reg_1_32_inst : dff_asyncrsthl port map( d => N71, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_1_32_port);
adder_mem_array_reg_0_0_inst : dff_asyncrsthl port map( d => N6, gclk => clk
, asyncrsthl => rst, q => adder_mem_array_0_0_port);
adder_mem_array_reg_0_1_inst : dff_asyncrsthl port map( d => N7, gclk => clk
, asyncrsthl => rst, q => adder_mem_array_0_1_port);
adder_mem_array_reg_0_2_inst : dff_asyncrsthl port map( d => N8, gclk => clk
, asyncrsthl => rst, q => adder_mem_array_0_2_port);
adder_mem_array_reg_0_3_inst : dff_asyncrsthl port map( d => N9, gclk => clk
, asyncrsthl => rst, q => adder_mem_array_0_3_port);
adder_mem_array_reg_0_4_inst : dff_asyncrsthl port map( d => N10, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_4_port);
adder_mem_array_reg_0_5_inst : dff_asyncrsthl port map( d => N11, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_5_port);
adder_mem_array_reg_0_6_inst : dff_asyncrsthl port map( d => N12, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_6_port);
adder_mem_array_reg_0_7_inst : dff_asyncrsthl port map( d => N13, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_7_port);
adder_mem_array_reg_0_8_inst : dff_asyncrsthl port map( d => N14, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_8_port);
adder_mem_array_reg_0_9_inst : dff_asyncrsthl port map( d => N15, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_9_port);
adder_mem_array_reg_0_10_inst : dff_asyncrsthl port map( d => N16, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_10_port);
adder_mem_array_reg_0_11_inst : dff_asyncrsthl port map( d => N17, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_11_port);
adder_mem_array_reg_0_12_inst : dff_asyncrsthl port map( d => N18, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_12_port);
adder_mem_array_reg_0_13_inst : dff_asyncrsthl port map( d => N19, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_13_port);
adder_mem_array_reg_0_14_inst : dff_asyncrsthl port map( d => N20, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_14_port);
adder_mem_array_reg_0_15_inst : dff_asyncrsthl port map( d => N21, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_15_port);
adder_mem_array_reg_0_16_inst : dff_asyncrsthl port map( d => N22, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_16_port);
adder_mem_array_reg_0_17_inst : dff_asyncrsthl port map( d => N23, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_17_port);
adder_mem_array_reg_0_18_inst : dff_asyncrsthl port map( d => N24, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_18_port);
adder_mem_array_reg_0_19_inst : dff_asyncrsthl port map( d => N25, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_19_port);
adder_mem_array_reg_0_20_inst : dff_asyncrsthl port map( d => N26, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_20_port);
adder_mem_array_reg_0_21_inst : dff_asyncrsthl port map( d => N27, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_21_port);
adder_mem_array_reg_0_22_inst : dff_asyncrsthl port map( d => N28, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_22_port);
adder_mem_array_reg_0_23_inst : dff_asyncrsthl port map( d => N29, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_23_port);
adder_mem_array_reg_0_24_inst : dff_asyncrsthl port map( d => N30, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_24_port);
adder_mem_array_reg_0_25_inst : dff_asyncrsthl port map( d => N31, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_25_port);
adder_mem_array_reg_0_26_inst : dff_asyncrsthl port map( d => N32, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_26_port);
adder_mem_array_reg_0_27_inst : dff_asyncrsthl port map( d => N33, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_27_port);
adder_mem_array_reg_0_28_inst : dff_asyncrsthl port map( d => N34, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_28_port);
adder_mem_array_reg_0_29_inst : dff_asyncrsthl port map( d => N35, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_29_port);
adder_mem_array_reg_0_30_inst : dff_asyncrsthl port map( d => N36, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_30_port);
adder_mem_array_reg_0_31_inst : dff_asyncrsthl port map( d => N37, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_31_port);
adder_mem_array_reg_0_32_inst : dff_asyncrsthl port map( d => N38, gclk =>
clk, asyncrsthl => rst, q =>
adder_mem_array_0_32_port);
coeff_cnt_reg_0_inst : dff port map( d => n206, gclk => clk, rnot => n121, q
=> coeff_cnt_0_port);
ready_h_out_reg_reg : dff_asyncprehh port map( d => n205, gclk => clk,
asyncprehh => rst, q => ready_h_out_port);
coeff_cnt_reg_1_inst : dff port map( d => n204, gclk => clk, rnot => n121, q
=> coeff_cnt_1_port);
coefficient_mem_array_reg_3_0_inst : dff port map( d => n203, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_0_port);
coefficient_mem_array_reg_2_0_inst : dff port map( d => n202, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_0_port);
coefficient_mem_array_reg_1_0_inst : dff port map( d => n201, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_0_port);
coefficient_mem_array_reg_0_0_inst : dff port map( d => n200, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_0_port);
coefficient_mem_array_reg_3_15_inst : dff port map( d => n199, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_15_port);
coefficient_mem_array_reg_2_15_inst : dff port map( d => n198, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_15_port);
coefficient_mem_array_reg_1_15_inst : dff port map( d => n197, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_15_port);
coefficient_mem_array_reg_0_15_inst : dff port map( d => n196, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_15_port);
coefficient_mem_array_reg_3_14_inst : dff port map( d => n195, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_14_port);
coefficient_mem_array_reg_2_14_inst : dff port map( d => n194, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_14_port);
coefficient_mem_array_reg_1_14_inst : dff port map( d => n193, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_14_port);
coefficient_mem_array_reg_0_14_inst : dff port map( d => n192, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_14_port);
coefficient_mem_array_reg_3_13_inst : dff port map( d => n191, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_13_port);
coefficient_mem_array_reg_2_13_inst : dff port map( d => n190, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_13_port);
coefficient_mem_array_reg_1_13_inst : dff port map( d => n189, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_13_port);
coefficient_mem_array_reg_0_13_inst : dff port map( d => n188, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_13_port);
coefficient_mem_array_reg_3_12_inst : dff port map( d => n187, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_12_port);
coefficient_mem_array_reg_2_12_inst : dff port map( d => n186, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_12_port);
coefficient_mem_array_reg_1_12_inst : dff port map( d => n185, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_12_port);
coefficient_mem_array_reg_0_12_inst : dff port map( d => n184, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_12_port);
coefficient_mem_array_reg_3_11_inst : dff port map( d => n183, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_11_port);
coefficient_mem_array_reg_2_11_inst : dff port map( d => n182, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_11_port);
coefficient_mem_array_reg_1_11_inst : dff port map( d => n181, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_11_port);
coefficient_mem_array_reg_0_11_inst : dff port map( d => n180, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_11_port);
coefficient_mem_array_reg_3_10_inst : dff port map( d => n179, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_10_port);
coefficient_mem_array_reg_2_10_inst : dff port map( d => n178, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_10_port);
coefficient_mem_array_reg_1_10_inst : dff port map( d => n177, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_10_port);
coefficient_mem_array_reg_0_10_inst : dff port map( d => n176, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_10_port);
coefficient_mem_array_reg_3_9_inst : dff port map( d => n175, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_9_port);
coefficient_mem_array_reg_2_9_inst : dff port map( d => n174, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_9_port);
coefficient_mem_array_reg_1_9_inst : dff port map( d => n173, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_9_port);
coefficient_mem_array_reg_0_9_inst : dff port map( d => n172, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_9_port);
coefficient_mem_array_reg_3_8_inst : dff port map( d => n171, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_8_port);
coefficient_mem_array_reg_2_8_inst : dff port map( d => n170, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_8_port);
coefficient_mem_array_reg_1_8_inst : dff port map( d => n169, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_8_port);
coefficient_mem_array_reg_0_8_inst : dff port map( d => n168, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_8_port);
coefficient_mem_array_reg_3_7_inst : dff port map( d => n167, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_7_port);
coefficient_mem_array_reg_2_7_inst : dff port map( d => n166, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_7_port);
coefficient_mem_array_reg_1_7_inst : dff port map( d => n165, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_7_port);
coefficient_mem_array_reg_0_7_inst : dff port map( d => n164, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_7_port);
coefficient_mem_array_reg_3_6_inst : dff port map( d => n163, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_6_port);
coefficient_mem_array_reg_2_6_inst : dff port map( d => n162, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_6_port);
coefficient_mem_array_reg_1_6_inst : dff port map( d => n161, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_6_port);
coefficient_mem_array_reg_0_6_inst : dff port map( d => n160, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_6_port);
coefficient_mem_array_reg_3_5_inst : dff port map( d => n159, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_5_port);
coefficient_mem_array_reg_2_5_inst : dff port map( d => n158, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_5_port);
coefficient_mem_array_reg_1_5_inst : dff port map( d => n157, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_5_port);
coefficient_mem_array_reg_0_5_inst : dff port map( d => n156, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_5_port);
coefficient_mem_array_reg_3_4_inst : dff port map( d => n155, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_4_port);
coefficient_mem_array_reg_2_4_inst : dff port map( d => n154, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_4_port);
coefficient_mem_array_reg_1_4_inst : dff port map( d => n153, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_4_port);
coefficient_mem_array_reg_0_4_inst : dff port map( d => n152, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_4_port);
coefficient_mem_array_reg_3_3_inst : dff port map( d => n151, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_3_port);
coefficient_mem_array_reg_2_3_inst : dff port map( d => n150, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_3_port);
coefficient_mem_array_reg_1_3_inst : dff port map( d => n149, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_3_port);
coefficient_mem_array_reg_0_3_inst : dff port map( d => n148, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_3_port);
coefficient_mem_array_reg_3_2_inst : dff port map( d => n147, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_2_port);
coefficient_mem_array_reg_2_2_inst : dff port map( d => n146, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_2_port);
coefficient_mem_array_reg_1_2_inst : dff port map( d => n145, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_2_port);
coefficient_mem_array_reg_0_2_inst : dff port map( d => n144, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_2_port);
coefficient_mem_array_reg_3_1_inst : dff port map( d => n143, gclk => clk,
rnot => n121, q => coefficient_mem_array_3_1_port);
coefficient_mem_array_reg_2_1_inst : dff port map( d => n142, gclk => clk,
rnot => n121, q => coefficient_mem_array_2_1_port);
coefficient_mem_array_reg_1_1_inst : dff port map( d => n141, gclk => clk,
rnot => n121, q => coefficient_mem_array_1_1_port);
coefficient_mem_array_reg_0_1_inst : dff port map( d => n140, gclk => clk,
rnot => n121, q => coefficient_mem_array_0_1_port);
valid_out_reg_reg : dff port map( d => n139, gclk => clk, rnot => n121, q =>
valid_out_port);
ready_x_out_reg_reg : dff port map( d => n138, gclk => clk, rnot => n121, q
=> ready_x_out_port);
input_sample_mem_reg_0_inst : dff port map( d => n137, gclk => clk, rnot =>
n121, q => input_sample_mem_0_port);
input_sample_mem_reg_15_inst : dff port map( d => n136, gclk => clk, rnot =>
n121, q => input_sample_mem_15_port);
input_sample_mem_reg_14_inst : dff port map( d => n135, gclk => clk, rnot =>
n121, q => input_sample_mem_14_port);
input_sample_mem_reg_13_inst : dff port map( d => n134, gclk => clk, rnot =>
n121, q => input_sample_mem_13_port);
input_sample_mem_reg_12_inst : dff port map( d => n133, gclk => clk, rnot =>
n121, q => input_sample_mem_12_port);
input_sample_mem_reg_11_inst : dff port map( d => n132, gclk => clk, rnot =>
n121, q => input_sample_mem_11_port);
input_sample_mem_reg_10_inst : dff port map( d => n131, gclk => clk, rnot =>
n121, q => input_sample_mem_10_port);
input_sample_mem_reg_9_inst : dff port map( d => n130, gclk => clk, rnot =>
n121, q => input_sample_mem_9_port);
input_sample_mem_reg_8_inst : dff port map( d => n129, gclk => clk, rnot =>
n121, q => input_sample_mem_8_port);
input_sample_mem_reg_7_inst : dff port map( d => n128, gclk => clk, rnot =>
n121, q => input_sample_mem_7_port);
input_sample_mem_reg_6_inst : dff port map( d => n127, gclk => clk, rnot =>
n121, q => input_sample_mem_6_port);
input_sample_mem_reg_5_inst : dff port map( d => n126, gclk => clk, rnot =>
n121, q => input_sample_mem_5_port);
input_sample_mem_reg_4_inst : dff port map( d => n125, gclk => clk, rnot =>
n121, q => input_sample_mem_4_port);
input_sample_mem_reg_3_inst : dff port map( d => n124, gclk => clk, rnot =>
n121, q => input_sample_mem_3_port);
input_sample_mem_reg_2_inst : dff port map( d => n123, gclk => clk, rnot =>
n121, q => input_sample_mem_2_port);
input_sample_mem_reg_1_inst : dff port map( d => n122, gclk => clk, rnot =>
n121, q => input_sample_mem_1_port);
U3 : inv port map( inb => n16_port, outb => n139);
U4 : aoi12 port map( b => coeff_cnt_0_port, c => coeff_cnt_1_port, a =>
valid_out_port, outb => n16_port);
U12 : inv port map( inb => n17_port, outb => n138);
U13 : aoi12 port map( b => coeff_cnt_0_port, c => coeff_cnt_1_port, a =>
ready_x_out_port, outb => n17_port);
U14 : aoi12 port map( b => coeff_cnt_1_port, c => coeff_cnt_0_port, a =>
n18_port, outb => n205);
U15 : inv port map( inb => ready_h_out_port, outb => n18_port);
U16 : inv port map( inb => n19_port, outb => n130);
U17 : aoi22 port map( a => x_data_in(9), b => n20_port, c =>
input_sample_mem_9_port, d => n21_port, outb =>
n19_port);
U18 : inv port map( inb => n22_port, outb => n129);
U19 : aoi22 port map( a => x_data_in(8), b => n20_port, c =>
input_sample_mem_8_port, d => n21_port, outb =>
n22_port);
U20 : inv port map( inb => n23_port, outb => n128);
U21 : aoi22 port map( a => x_data_in(7), b => n20_port, c =>
input_sample_mem_7_port, d => n21_port, outb =>
n23_port);
U22 : inv port map( inb => n24_port, outb => n127);
U23 : aoi22 port map( a => x_data_in(6), b => n20_port, c =>
input_sample_mem_6_port, d => n21_port, outb =>
n24_port);
U24 : inv port map( inb => n25_port, outb => n126);
U25 : aoi22 port map( a => x_data_in(5), b => n20_port, c =>
input_sample_mem_5_port, d => n21_port, outb =>
n25_port);
U26 : inv port map( inb => n26_port, outb => n125);
U27 : aoi22 port map( a => x_data_in(4), b => n20_port, c =>
input_sample_mem_4_port, d => n21_port, outb =>
n26_port);
U28 : inv port map( inb => n27_port, outb => n124);
U29 : aoi22 port map( a => x_data_in(3), b => n20_port, c =>
input_sample_mem_3_port, d => n21_port, outb =>
n27_port);
U30 : inv port map( inb => n28_port, outb => n123);
U31 : aoi22 port map( a => x_data_in(2), b => n20_port, c =>
input_sample_mem_2_port, d => n21_port, outb =>
n28_port);
U32 : inv port map( inb => n29_port, outb => n122);
U33 : aoi22 port map( a => x_data_in(1), b => n20_port, c =>
input_sample_mem_1_port, d => n21_port, outb =>
n29_port);
U34 : inv port map( inb => n30_port, outb => n136);
U35 : aoi22 port map( a => x_data_in(15), b => n20_port, c =>
input_sample_mem_15_port, d => n21_port, outb =>
n30_port);
U36 : inv port map( inb => n31_port, outb => n135);
U37 : aoi22 port map( a => x_data_in(14), b => n20_port, c =>
input_sample_mem_14_port, d => n21_port, outb =>
n31_port);
U38 : inv port map( inb => n32_port, outb => n134);
U39 : aoi22 port map( a => x_data_in(13), b => n20_port, c =>
input_sample_mem_13_port, d => n21_port, outb =>
n32_port);
U40 : inv port map( inb => n33_port, outb => n133);
U41 : aoi22 port map( a => x_data_in(12), b => n20_port, c =>
input_sample_mem_12_port, d => n21_port, outb =>
n33_port);
U42 : inv port map( inb => n34_port, outb => n132);
U43 : aoi22 port map( a => x_data_in(11), b => n20_port, c =>
input_sample_mem_11_port, d => n21_port, outb =>
n34_port);
U44 : inv port map( inb => n35_port, outb => n131);
U45 : aoi22 port map( a => x_data_in(10), b => n20_port, c =>
input_sample_mem_10_port, d => n21_port, outb =>
n35_port);
U46 : inv port map( inb => n36_port, outb => n137);
U47 : aoi22 port map( a => x_data_in(0), b => n20_port, c =>
input_sample_mem_0_port, d => n21_port, outb =>
n36_port);
U48 : inv port map( inb => n21_port, outb => n20_port);
U49 : nand2 port map( a => valid_x_in, b => ready_x_out_port, outb =>
n21_port);
U50 : inv port map( inb => n37_port, outb => n175);
U51 : aoi22 port map( a => n38_port, b => h_data_in(9), c => n39_port, d =>
coefficient_mem_array_3_9_port, outb => n37_port);
U52 : inv port map( inb => n40_port, outb => n171);
U53 : aoi22 port map( a => n38_port, b => h_data_in(8), c => n39_port, d =>
coefficient_mem_array_3_8_port, outb => n40_port);
U54 : inv port map( inb => n41_port, outb => n167);
U55 : aoi22 port map( a => n38_port, b => h_data_in(7), c => n39_port, d =>
coefficient_mem_array_3_7_port, outb => n41_port);
U56 : inv port map( inb => n42_port, outb => n163);
U57 : aoi22 port map( a => n38_port, b => h_data_in(6), c => n39_port, d =>
coefficient_mem_array_3_6_port, outb => n42_port);
U58 : inv port map( inb => n43_port, outb => n159);
U59 : aoi22 port map( a => n38_port, b => h_data_in(5), c => n39_port, d =>
coefficient_mem_array_3_5_port, outb => n43_port);
U60 : inv port map( inb => n44_port, outb => n155);
U61 : aoi22 port map( a => n38_port, b => h_data_in(4), c => n39_port, d =>
coefficient_mem_array_3_4_port, outb => n44_port);
U62 : inv port map( inb => n45_port, outb => n151);
U63 : aoi22 port map( a => n38_port, b => h_data_in(3), c => n39_port, d =>
coefficient_mem_array_3_3_port, outb => n45_port);
U64 : inv port map( inb => n46_port, outb => n147);
U65 : aoi22 port map( a => n38_port, b => h_data_in(2), c => n39_port, d =>
coefficient_mem_array_3_2_port, outb => n46_port);
U66 : inv port map( inb => n47_port, outb => n143);
U67 : aoi22 port map( a => n38_port, b => h_data_in(1), c => n39_port, d =>
coefficient_mem_array_3_1_port, outb => n47_port);
U68 : inv port map( inb => n48_port, outb => n199);
U69 : aoi22 port map( a => n38_port, b => h_data_in(15), c => n39_port, d =>
coefficient_mem_array_3_15_port, outb => n48_port);
U70 : inv port map( inb => n49_port, outb => n195);
U71 : aoi22 port map( a => n38_port, b => h_data_in(14), c => n39_port, d =>
coefficient_mem_array_3_14_port, outb => n49_port);
U72 : inv port map( inb => n50_port, outb => n191);
U73 : aoi22 port map( a => n38_port, b => h_data_in(13), c => n39_port, d =>
coefficient_mem_array_3_13_port, outb => n50_port);
U74 : inv port map( inb => n51_port, outb => n187);
U75 : aoi22 port map( a => n38_port, b => h_data_in(12), c => n39_port, d =>
coefficient_mem_array_3_12_port, outb => n51_port);
U76 : inv port map( inb => n52_port, outb => n183);
U77 : aoi22 port map( a => n38_port, b => h_data_in(11), c => n39_port, d =>
coefficient_mem_array_3_11_port, outb => n52_port);
U78 : inv port map( inb => n53_port, outb => n179);
U79 : aoi22 port map( a => n38_port, b => h_data_in(10), c => n39_port, d =>
coefficient_mem_array_3_10_port, outb => n53_port);
U80 : inv port map( inb => n54_port, outb => n203);
U81 : aoi22 port map( a => n38_port, b => h_data_in(0), c => n39_port, d =>
coefficient_mem_array_3_0_port, outb => n54_port);
U82 : oai22 port map( a => n55_port, b => n39_port, c => n38_port, d =>
n56_port, outb => n174);
U83 : inv port map( inb => coefficient_mem_array_3_9_port, outb => n55_port)
;
U84 : oai22 port map( a => n39_port, b => n57_port, c => n38_port, d =>
n58_port, outb => n170);
U85 : inv port map( inb => coefficient_mem_array_3_8_port, outb => n57_port)
;
U86 : oai22 port map( a => n39_port, b => n59_port, c => n38_port, d =>
n60_port, outb => n166);
U87 : inv port map( inb => coefficient_mem_array_3_7_port, outb => n59_port)
;
U88 : oai22 port map( a => n39_port, b => n61_port, c => n38_port, d =>
n62_port, outb => n162);
U89 : inv port map( inb => coefficient_mem_array_3_6_port, outb => n61_port)
;
U90 : oai22 port map( a => n39_port, b => n63_port, c => n38_port, d =>
n64_port, outb => n158);
U91 : inv port map( inb => coefficient_mem_array_3_5_port, outb => n63_port)
;
U92 : oai22 port map( a => n39_port, b => n65_port, c => n38_port, d =>
n66_port, outb => n154);
U93 : inv port map( inb => coefficient_mem_array_3_4_port, outb => n65_port)
;
U94 : oai22 port map( a => n39_port, b => n67_port, c => n38_port, d =>
n68_port, outb => n150);
U95 : inv port map( inb => coefficient_mem_array_3_3_port, outb => n67_port)
;
U96 : oai22 port map( a => n39_port, b => n69_port, c => n38_port, d =>
n70_port, outb => n146);
U97 : inv port map( inb => coefficient_mem_array_3_2_port, outb => n69_port)
;
U98 : oai22 port map( a => n39_port, b => n71_port, c => n38_port, d =>
n72_port, outb => n142);
U99 : inv port map( inb => coefficient_mem_array_3_1_port, outb => n71_port)
;
U100 : oai22 port map( a => n39_port, b => n73_port, c => n38_port, d =>
n74_port, outb => n198);
U101 : inv port map( inb => coefficient_mem_array_3_15_port, outb =>
n73_port);
U102 : oai22 port map( a => n39_port, b => n75_port, c => n38_port, d =>
n76_port, outb => n194);
U103 : inv port map( inb => coefficient_mem_array_3_14_port, outb =>
n75_port);
U104 : oai22 port map( a => n39_port, b => n77_port, c => n38_port, d =>
n78_port, outb => n190);
U105 : inv port map( inb => coefficient_mem_array_3_13_port, outb =>
n77_port);
U106 : oai22 port map( a => n39_port, b => n79_port, c => n38_port, d =>
n80_port, outb => n186);
U107 : inv port map( inb => coefficient_mem_array_3_12_port, outb =>
n79_port);
U108 : oai22 port map( a => n39_port, b => n81_port, c => n38_port, d =>
n82_port, outb => n182);
U109 : inv port map( inb => coefficient_mem_array_3_11_port, outb =>
n81_port);
U110 : oai22 port map( a => n39_port, b => n83_port, c => n38_port, d =>
n84_port, outb => n178);
U111 : inv port map( inb => coefficient_mem_array_3_10_port, outb =>
n83_port);
U112 : oai22 port map( a => n39_port, b => n85_port, c => n38_port, d =>
n86_port, outb => n202);
U113 : inv port map( inb => coefficient_mem_array_3_0_port, outb => n85_port
);
U114 : oai22 port map( a => n39_port, b => n56_port, c => n38_port, d =>
n87_port, outb => n173);
U115 : inv port map( inb => coefficient_mem_array_2_9_port, outb => n56_port
);
U116 : oai22 port map( a => n39_port, b => n58_port, c => n38_port, d =>
n88_port, outb => n169);
U117 : inv port map( inb => coefficient_mem_array_2_8_port, outb => n58_port
);
U118 : oai22 port map( a => n39_port, b => n60_port, c => n38_port, d =>
n89_port, outb => n165);
U119 : inv port map( inb => coefficient_mem_array_2_7_port, outb => n60_port
);
U120 : oai22 port map( a => n39_port, b => n62_port, c => n38_port, d =>
n90_port, outb => n161);
U121 : inv port map( inb => coefficient_mem_array_2_6_port, outb => n62_port
);
U122 : oai22 port map( a => n39_port, b => n64_port, c => n38_port, d =>
n91_port, outb => n157);
U123 : inv port map( inb => coefficient_mem_array_2_5_port, outb => n64_port
);
U124 : oai22 port map( a => n39_port, b => n66_port, c => n38_port, d =>
n92_port, outb => n153);
U125 : inv port map( inb => coefficient_mem_array_2_4_port, outb => n66_port
);
U126 : oai22 port map( a => n39_port, b => n68_port, c => n38_port, d =>
n93_port, outb => n149);
U127 : inv port map( inb => coefficient_mem_array_2_3_port, outb => n68_port
);
U128 : oai22 port map( a => n39_port, b => n70_port, c => n38_port, d =>
n94_port, outb => n145);
U129 : inv port map( inb => coefficient_mem_array_2_2_port, outb => n70_port
);
U130 : oai22 port map( a => n39_port, b => n72_port, c => n38_port, d =>
n95_port, outb => n141);
U131 : inv port map( inb => coefficient_mem_array_2_1_port, outb => n72_port
);
U132 : oai22 port map( a => n39_port, b => n74_port, c => n38_port, d =>
n96_port, outb => n197);
U133 : inv port map( inb => coefficient_mem_array_2_15_port, outb =>
n74_port);
U134 : oai22 port map( a => n39_port, b => n76_port, c => n38_port, d =>
n97_port, outb => n193);
U135 : inv port map( inb => coefficient_mem_array_2_14_port, outb =>
n76_port);
U136 : oai22 port map( a => n39_port, b => n78_port, c => n38_port, d =>
n98_port, outb => n189);
U137 : inv port map( inb => coefficient_mem_array_2_13_port, outb =>
n78_port);
U138 : oai22 port map( a => n39_port, b => n80_port, c => n38_port, d =>
n99_port, outb => n185);
U139 : inv port map( inb => coefficient_mem_array_2_12_port, outb =>
n80_port);
U140 : oai22 port map( a => n39_port, b => n82_port, c => n38_port, d =>
n100_port, outb => n181);
U141 : inv port map( inb => coefficient_mem_array_2_11_port, outb =>
n82_port);
U142 : oai22 port map( a => n39_port, b => n84_port, c => n38_port, d =>
n101_port, outb => n177);
U143 : inv port map( inb => coefficient_mem_array_2_10_port, outb =>
n84_port);
U144 : oai22 port map( a => n39_port, b => n86_port, c => n38_port, d =>
n102_port, outb => n201);
U145 : inv port map( inb => coefficient_mem_array_2_0_port, outb => n86_port
);
U146 : oai12 port map( b => n39_port, c => n87_port, a => n103_port, outb =>
n172);
U147 : nand2 port map( a => coefficient_mem_array_0_9_port, b => n39_port,
outb => n103_port);
U148 : inv port map( inb => coefficient_mem_array_1_9_port, outb => n87_port
);
U149 : oai12 port map( b => n39_port, c => n88_port, a => n104_port, outb =>
n168);
U150 : nand2 port map( a => coefficient_mem_array_0_8_port, b => n39_port,
outb => n104_port);
U151 : inv port map( inb => coefficient_mem_array_1_8_port, outb => n88_port
);
U152 : oai12 port map( b => n39_port, c => n89_port, a => n105, outb => n164
);
U153 : nand2 port map( a => coefficient_mem_array_0_7_port, b => n39_port,
outb => n105);
U154 : inv port map( inb => coefficient_mem_array_1_7_port, outb => n89_port
);
U155 : oai12 port map( b => n39_port, c => n90_port, a => n106, outb => n160
);
U156 : nand2 port map( a => coefficient_mem_array_0_6_port, b => n39_port,
outb => n106);
U157 : inv port map( inb => coefficient_mem_array_1_6_port, outb => n90_port
);
U158 : oai12 port map( b => n39_port, c => n91_port, a => n107, outb => n156
);
U159 : nand2 port map( a => coefficient_mem_array_0_5_port, b => n39_port,
outb => n107);
U160 : inv port map( inb => coefficient_mem_array_1_5_port, outb => n91_port
);
U161 : oai12 port map( b => n39_port, c => n92_port, a => n108, outb => n152
);
U162 : nand2 port map( a => coefficient_mem_array_0_4_port, b => n39_port,
outb => n108);
U163 : inv port map( inb => coefficient_mem_array_1_4_port, outb => n92_port
);
U164 : oai12 port map( b => n39_port, c => n93_port, a => n109, outb => n148
);
U165 : nand2 port map( a => coefficient_mem_array_0_3_port, b => n39_port,
outb => n109);
U166 : inv port map( inb => coefficient_mem_array_1_3_port, outb => n93_port
);
U167 : oai12 port map( b => n39_port, c => n94_port, a => n110, outb => n144
);
U168 : nand2 port map( a => coefficient_mem_array_0_2_port, b => n39_port,
outb => n110);
U169 : inv port map( inb => coefficient_mem_array_1_2_port, outb => n94_port
);
U170 : oai12 port map( b => n39_port, c => n95_port, a => n111, outb => n140
);
U171 : nand2 port map( a => coefficient_mem_array_0_1_port, b => n39_port,
outb => n111);
U172 : inv port map( inb => coefficient_mem_array_1_1_port, outb => n95_port
);
U173 : oai12 port map( b => n39_port, c => n96_port, a => n112, outb => n196
);
U174 : nand2 port map( a => coefficient_mem_array_0_15_port, b => n39_port,
outb => n112);
U175 : inv port map( inb => coefficient_mem_array_1_15_port, outb =>
n96_port);
U176 : oai12 port map( b => n39_port, c => n97_port, a => n113, outb => n192
);
U177 : nand2 port map( a => coefficient_mem_array_0_14_port, b => n39_port,
outb => n113);
U178 : inv port map( inb => coefficient_mem_array_1_14_port, outb =>
n97_port);
U179 : oai12 port map( b => n39_port, c => n98_port, a => n114, outb => n188
);
U180 : nand2 port map( a => coefficient_mem_array_0_13_port, b => n39_port,
outb => n114);
U181 : inv port map( inb => coefficient_mem_array_1_13_port, outb =>
n98_port);
U182 : oai12 port map( b => n39_port, c => n99_port, a => n115, outb => n184
);
U183 : nand2 port map( a => coefficient_mem_array_0_12_port, b => n39_port,
outb => n115);
U184 : inv port map( inb => coefficient_mem_array_1_12_port, outb =>
n99_port);
U185 : oai12 port map( b => n39_port, c => n100_port, a => n116, outb =>
n180);
U186 : nand2 port map( a => coefficient_mem_array_0_11_port, b => n39_port,
outb => n116);
U187 : inv port map( inb => coefficient_mem_array_1_11_port, outb =>
n100_port);
U188 : oai12 port map( b => n39_port, c => n101_port, a => n117, outb =>
n176);
U189 : nand2 port map( a => coefficient_mem_array_0_10_port, b => n39_port,
outb => n117);
U190 : inv port map( inb => coefficient_mem_array_1_10_port, outb =>
n101_port);
U191 : oai12 port map( b => n39_port, c => n102_port, a => n118, outb =>
n200);
U192 : nand2 port map( a => coefficient_mem_array_0_0_port, b => n39_port,
outb => n118);
U193 : inv port map( inb => coefficient_mem_array_1_0_port, outb =>
n102_port);
U194 : xor2 port map( a => n119, b => n120, outb => n204);
U195 : nand2 port map( a => coeff_cnt_0_port, b => n38_port, outb => n120);
U196 : inv port map( inb => coeff_cnt_1_port, outb => n119);
U197 : inv port map( inb => rst, outb => n121);
U198 : xor2 port map( a => coeff_cnt_0_port, b => n38_port, outb => n206);
U199 : inv port map( inb => n39_port, outb => n38_port);
U200 : nand2 port map( a => valid_h_in, b => ready_h_out_port, outb =>
n39_port);
U201 : inv port map( inb => n207, outb => y_data_out(9));
U202 : nand2 port map( a => valid_out_port, b => adder_mem_array_0_9_port,
outb => n207);
U203 : inv port map( inb => n208, outb => y_data_out(8));
U204 : nand2 port map( a => adder_mem_array_0_8_port, b => valid_out_port,
outb => n208);
U205 : inv port map( inb => n209, outb => y_data_out(7));
U206 : nand2 port map( a => adder_mem_array_0_7_port, b => valid_out_port,
outb => n209);
U207 : inv port map( inb => n210, outb => y_data_out(6));
U208 : nand2 port map( a => adder_mem_array_0_6_port, b => valid_out_port,
outb => n210);
U209 : inv port map( inb => n211, outb => y_data_out(5));
U210 : nand2 port map( a => adder_mem_array_0_5_port, b => valid_out_port,
outb => n211);
U211 : inv port map( inb => n212, outb => y_data_out(4));
U212 : nand2 port map( a => adder_mem_array_0_4_port, b => valid_out_port,
outb => n212);
U213 : inv port map( inb => n213, outb => y_data_out(3));
U214 : nand2 port map( a => adder_mem_array_0_3_port, b => valid_out_port,
outb => n213);
U215 : inv port map( inb => n214, outb => y_data_out(32));
U216 : nand2 port map( a => adder_mem_array_0_32_port, b => valid_out_port,
outb => n214);
U217 : inv port map( inb => n215, outb => y_data_out(31));
U218 : nand2 port map( a => adder_mem_array_0_31_port, b => valid_out_port,
outb => n215);
U219 : inv port map( inb => n216, outb => y_data_out(30));
U220 : nand2 port map( a => adder_mem_array_0_30_port, b => valid_out_port,
outb => n216);
U221 : inv port map( inb => n217, outb => y_data_out(2));
U222 : nand2 port map( a => adder_mem_array_0_2_port, b => valid_out_port,
outb => n217);
U223 : inv port map( inb => n218, outb => y_data_out(29));
U224 : nand2 port map( a => adder_mem_array_0_29_port, b => valid_out_port,
outb => n218);
U225 : inv port map( inb => n219, outb => y_data_out(28));
U226 : nand2 port map( a => adder_mem_array_0_28_port, b => valid_out_port,
outb => n219);
U227 : inv port map( inb => n220, outb => y_data_out(27));
U228 : nand2 port map( a => adder_mem_array_0_27_port, b => valid_out_port,
outb => n220);
U229 : inv port map( inb => n221, outb => y_data_out(26));
U230 : nand2 port map( a => adder_mem_array_0_26_port, b => valid_out_port,
outb => n221);
U231 : inv port map( inb => n222, outb => y_data_out(25));
U232 : nand2 port map( a => adder_mem_array_0_25_port, b => valid_out_port,
outb => n222);
U233 : inv port map( inb => n223, outb => y_data_out(24));
U234 : nand2 port map( a => adder_mem_array_0_24_port, b => valid_out_port,
outb => n223);
U235 : inv port map( inb => n224, outb => y_data_out(23));
U236 : nand2 port map( a => adder_mem_array_0_23_port, b => valid_out_port,
outb => n224);
U237 : inv port map( inb => n225, outb => y_data_out(22));
U238 : nand2 port map( a => adder_mem_array_0_22_port, b => valid_out_port,
outb => n225);
U239 : inv port map( inb => n226, outb => y_data_out(21));
U240 : nand2 port map( a => adder_mem_array_0_21_port, b => valid_out_port,
outb => n226);
U241 : inv port map( inb => n227, outb => y_data_out(20));
U242 : nand2 port map( a => adder_mem_array_0_20_port, b => valid_out_port,
outb => n227);
U243 : inv port map( inb => n228, outb => y_data_out(1));
U244 : nand2 port map( a => adder_mem_array_0_1_port, b => valid_out_port,
outb => n228);
U245 : inv port map( inb => n229, outb => y_data_out(19));
U246 : nand2 port map( a => adder_mem_array_0_19_port, b => valid_out_port,
outb => n229);
U247 : inv port map( inb => n230, outb => y_data_out(18));
U248 : nand2 port map( a => adder_mem_array_0_18_port, b => valid_out_port,
outb => n230);
U249 : inv port map( inb => n231, outb => y_data_out(17));
U250 : nand2 port map( a => adder_mem_array_0_17_port, b => valid_out_port,
outb => n231);
U251 : inv port map( inb => n232, outb => y_data_out(16));
U252 : nand2 port map( a => adder_mem_array_0_16_port, b => valid_out_port,
outb => n232);
U253 : inv port map( inb => n233, outb => y_data_out(15));
U254 : nand2 port map( a => adder_mem_array_0_15_port, b => valid_out_port,
outb => n233);
U255 : inv port map( inb => n234, outb => y_data_out(14));
U256 : nand2 port map( a => adder_mem_array_0_14_port, b => valid_out_port,
outb => n234);
U257 : inv port map( inb => n235, outb => y_data_out(13));
U258 : nand2 port map( a => adder_mem_array_0_13_port, b => valid_out_port,
outb => n235);
U259 : inv port map( inb => n236, outb => y_data_out(12));
U260 : nand2 port map( a => adder_mem_array_0_12_port, b => valid_out_port,
outb => n236);
U261 : inv port map( inb => n237, outb => y_data_out(11));
U262 : nand2 port map( a => adder_mem_array_0_11_port, b => valid_out_port,
outb => n237);
U263 : inv port map( inb => n238, outb => y_data_out(10));
U264 : nand2 port map( a => adder_mem_array_0_10_port, b => valid_out_port,
outb => n238);
U265 : inv port map( inb => n239, outb => y_data_out(0));
U266 : nand2 port map( a => adder_mem_array_0_0_port, b => valid_out_port,
outb => n239);
mult_125_G3_FS_1_U6_1_1_3 : oai12 port map( b => n6032, c => n6033, a =>
n6034, outb => mult_125_G3_FS_1_C_1_7_0_port);
mult_125_G3_FS_1_U6_1_1_2 : oai12 port map( b => n6029, c => n6030, a =>
n6031, outb => mult_125_G3_FS_1_C_1_6_0_port);
mult_125_G3_FS_1_U6_1_1_1 : oai12 port map( b => n6026, c => n6027, a =>
n6028, outb => mult_125_G3_FS_1_C_1_5_0_port);
mult_125_G3_FS_1_U6_0_7_1 : oai12 port map( b => n6023, c => n6024, a =>
mult_125_G3_FS_1_G_n_int_0_7_0_port, outb =>
mult_125_G3_FS_1_C_1_7_1_port);
mult_125_G3_FS_1_U3_C_0_7_1 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_7_1_port, b =>
mult_125_G3_FS_1_C_1_7_1_port, outb =>
multiplier_sigs_2_31_port);
mult_125_G3_FS_1_U3_B_0_7_1 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_7_1_port, b =>
mult_125_G3_FS_1_P_0_7_1_port, outb => n6022);
mult_125_G3_FS_1_U2_0_7_1 : nand2 port map( a => mult_125_G3_A1_29_port, b
=> mult_125_G3_A2_29_port, outb =>
mult_125_G3_FS_1_G_n_int_0_7_1_port);
mult_125_G3_FS_1_U1_0_7_1 : nand2 port map( a => n6020, b => n6021, outb =>
mult_125_G3_FS_1_P_0_7_1_port);
mult_125_G3_FS_1_U3_C_0_7_0 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_7_0_port, b =>
mult_125_G3_FS_1_C_1_7_0_port, outb =>
multiplier_sigs_2_30_port);
mult_125_G3_FS_1_U3_B_0_7_0 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_7_0_port, b =>
mult_125_G3_FS_1_TEMP_P_0_7_0_port, outb => n6019);
mult_125_G3_FS_1_U2_0_7_0 : nand2 port map( a => mult_125_G3_A1_28_port, b
=> mult_125_G3_A2_28_port, outb =>
mult_125_G3_FS_1_G_n_int_0_7_0_port);
mult_125_G3_FS_1_U1_0_7_0 : nand2 port map( a => n6017, b => n6018, outb =>
mult_125_G3_FS_1_TEMP_P_0_7_0_port);
mult_125_G3_FS_1_U6_0_6_3 : oai12 port map( b => n6015, c => n6016, a =>
mult_125_G3_FS_1_G_n_int_0_6_2_port, outb =>
mult_125_G3_FS_1_C_1_6_3_port);
mult_125_G3_FS_1_U5_0_6_3 : oai12 port map( b => n6013, c => n6014, a =>
mult_125_G3_FS_1_G_n_int_0_6_3_port, outb =>
mult_125_G3_FS_1_G_1_1_2_port);
mult_125_G3_FS_1_U4_0_6_3 : nand2 port map( a =>
mult_125_G3_FS_1_TEMP_P_0_6_2_port, b =>
mult_125_G3_FS_1_P_0_6_3_port, outb => n6033);
mult_125_G3_FS_1_U3_C_0_6_3 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_6_3_port, b =>
mult_125_G3_FS_1_C_1_6_3_port, outb =>
multiplier_sigs_2_29_port);
mult_125_G3_FS_1_U3_B_0_6_3 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_6_3_port, b =>
mult_125_G3_FS_1_P_0_6_3_port, outb => n6012);
mult_125_G3_FS_1_U2_0_6_3 : nand2 port map( a => mult_125_G3_A1_27_port, b
=> mult_125_G3_A2_27_port, outb =>
mult_125_G3_FS_1_G_n_int_0_6_3_port);
mult_125_G3_FS_1_U1_0_6_3 : nand2 port map( a => n6010, b => n6011, outb =>
mult_125_G3_FS_1_P_0_6_3_port);
mult_125_G3_FS_1_U6_0_6_2 : oai12 port map( b => n6008, c => n6009, a =>
mult_125_G3_FS_1_G_n_int_0_6_1_port, outb =>
mult_125_G3_FS_1_C_1_6_2_port);
mult_125_G3_FS_1_U5_0_6_2 : oai12 port map( b => n6007, c => n6016, a =>
mult_125_G3_FS_1_G_n_int_0_6_2_port, outb =>
mult_125_G3_FS_1_TEMP_G_0_6_2_port);
mult_125_G3_FS_1_U4_0_6_2 : nand2 port map( a =>
mult_125_G3_FS_1_TEMP_P_0_6_1_port, b =>
mult_125_G3_FS_1_P_0_6_2_port, outb => n6006);
mult_125_G3_FS_1_U3_C_0_6_2 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_6_2_port, b =>
mult_125_G3_FS_1_C_1_6_2_port, outb =>
multiplier_sigs_2_28_port);
mult_125_G3_FS_1_U3_B_0_6_2 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_6_2_port, b =>
mult_125_G3_FS_1_P_0_6_2_port, outb => n6005);
mult_125_G3_FS_1_U2_0_6_2 : nand2 port map( a => mult_125_G3_A1_26_port, b
=> mult_125_G3_A2_26_port, outb =>
mult_125_G3_FS_1_G_n_int_0_6_2_port);
mult_125_G3_FS_1_U1_0_6_2 : nand2 port map( a => n6003, b => n6004, outb =>
mult_125_G3_FS_1_P_0_6_2_port);
mult_125_G3_FS_1_U6_0_6_1 : oai12 port map( b => n6032, c => n6002, a =>
mult_125_G3_FS_1_G_n_int_0_6_0_port, outb =>
mult_125_G3_FS_1_C_1_6_1_port);
mult_125_G3_FS_1_U5_0_6_1 : oai12 port map( b =>
mult_125_G3_FS_1_G_n_int_0_6_0_port, c => n6009, a
=> mult_125_G3_FS_1_G_n_int_0_6_1_port, outb =>
mult_125_G3_FS_1_TEMP_G_0_6_1_port);
mult_125_G3_FS_1_U4_0_6_1 : nand2 port map( a =>
mult_125_G3_FS_1_TEMP_P_0_6_0_port, b =>
mult_125_G3_FS_1_P_0_6_1_port, outb => n6001);
mult_125_G3_FS_1_U3_C_0_6_1 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_6_1_port, b =>
mult_125_G3_FS_1_C_1_6_1_port, outb =>
multiplier_sigs_2_27_port);
mult_125_G3_FS_1_U3_B_0_6_1 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_6_1_port, b =>
mult_125_G3_FS_1_P_0_6_1_port, outb => n6000);
mult_125_G3_FS_1_U2_0_6_1 : nand2 port map( a => mult_125_G3_A1_25_port, b
=> mult_125_G3_A2_25_port, outb =>
mult_125_G3_FS_1_G_n_int_0_6_1_port);
mult_125_G3_FS_1_U1_0_6_1 : nand2 port map( a => n5998, b => n5999, outb =>
mult_125_G3_FS_1_P_0_6_1_port);
mult_125_G3_FS_1_U3_C_0_6_0 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_6_0_port, b =>
mult_125_G3_FS_1_C_1_6_0_port, outb =>
multiplier_sigs_2_26_port);
mult_125_G3_FS_1_U3_B_0_6_0 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_6_0_port, b =>
mult_125_G3_FS_1_TEMP_P_0_6_0_port, outb => n5997);
mult_125_G3_FS_1_U2_0_6_0 : nand2 port map( a => mult_125_G3_A1_24_port, b
=> mult_125_G3_A2_24_port, outb =>
mult_125_G3_FS_1_G_n_int_0_6_0_port);
mult_125_G3_FS_1_U1_0_6_0 : nand2 port map( a => n5995, b => n5996, outb =>
mult_125_G3_FS_1_TEMP_P_0_6_0_port);
mult_125_G3_FS_1_U6_0_5_3 : oai12 port map( b => n5993, c => n5994, a =>
mult_125_G3_FS_1_G_n_int_0_5_2_port, outb =>
mult_125_G3_FS_1_C_1_5_3_port);
mult_125_G3_FS_1_U5_0_5_3 : oai12 port map( b => n5991, c => n5992, a =>
mult_125_G3_FS_1_G_n_int_0_5_3_port, outb =>
mult_125_G3_FS_1_G_1_1_1_port);
mult_125_G3_FS_1_U4_0_5_3 : nand2 port map( a =>
mult_125_G3_FS_1_TEMP_P_0_5_2_port, b =>
mult_125_G3_FS_1_P_0_5_3_port, outb => n6030);
mult_125_G3_FS_1_U3_C_0_5_3 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_5_3_port, b =>
mult_125_G3_FS_1_C_1_5_3_port, outb =>
multiplier_sigs_2_25_port);
mult_125_G3_FS_1_U3_B_0_5_3 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_5_3_port, b =>
mult_125_G3_FS_1_P_0_5_3_port, outb => n5990);
mult_125_G3_FS_1_U2_0_5_3 : nand2 port map( a => mult_125_G3_A1_23_port, b
=> mult_125_G3_A2_23_port, outb =>
mult_125_G3_FS_1_G_n_int_0_5_3_port);
mult_125_G3_FS_1_U1_0_5_3 : nand2 port map( a => n5988, b => n5989, outb =>
mult_125_G3_FS_1_P_0_5_3_port);
mult_125_G3_FS_1_U6_0_5_2 : oai12 port map( b => n5986, c => n5987, a =>
mult_125_G3_FS_1_G_n_int_0_5_1_port, outb =>
mult_125_G3_FS_1_C_1_5_2_port);
mult_125_G3_FS_1_U5_0_5_2 : oai12 port map( b => n5985, c => n5994, a =>
mult_125_G3_FS_1_G_n_int_0_5_2_port, outb =>
mult_125_G3_FS_1_TEMP_G_0_5_2_port);
mult_125_G3_FS_1_U4_0_5_2 : nand2 port map( a =>
mult_125_G3_FS_1_TEMP_P_0_5_1_port, b =>
mult_125_G3_FS_1_P_0_5_2_port, outb => n5984);
mult_125_G3_FS_1_U3_C_0_5_2 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_5_2_port, b =>
mult_125_G3_FS_1_C_1_5_2_port, outb =>
multiplier_sigs_2_24_port);
mult_125_G3_FS_1_U3_B_0_5_2 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_5_2_port, b =>
mult_125_G3_FS_1_P_0_5_2_port, outb => n5983);
mult_125_G3_FS_1_U2_0_5_2 : nand2 port map( a => mult_125_G3_A1_22_port, b
=> mult_125_G3_A2_22_port, outb =>
mult_125_G3_FS_1_G_n_int_0_5_2_port);
mult_125_G3_FS_1_U1_0_5_2 : nand2 port map( a => n5981, b => n5982, outb =>
mult_125_G3_FS_1_P_0_5_2_port);
mult_125_G3_FS_1_U6_0_5_1 : oai12 port map( b => n6029, c => n5980, a =>
mult_125_G3_FS_1_G_n_int_0_5_0_port, outb =>
mult_125_G3_FS_1_C_1_5_1_port);
mult_125_G3_FS_1_U5_0_5_1 : oai12 port map( b =>
mult_125_G3_FS_1_G_n_int_0_5_0_port, c => n5987, a
=> mult_125_G3_FS_1_G_n_int_0_5_1_port, outb =>
mult_125_G3_FS_1_TEMP_G_0_5_1_port);
mult_125_G3_FS_1_U4_0_5_1 : nand2 port map( a =>
mult_125_G3_FS_1_TEMP_P_0_5_0_port, b =>
mult_125_G3_FS_1_P_0_5_1_port, outb => n5979);
mult_125_G3_FS_1_U3_C_0_5_1 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_5_1_port, b =>
mult_125_G3_FS_1_C_1_5_1_port, outb =>
multiplier_sigs_2_23_port);
mult_125_G3_FS_1_U3_B_0_5_1 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_5_1_port, b =>
mult_125_G3_FS_1_P_0_5_1_port, outb => n5978);
mult_125_G3_FS_1_U2_0_5_1 : nand2 port map( a => mult_125_G3_A1_21_port, b
=> mult_125_G3_A2_21_port, outb =>
mult_125_G3_FS_1_G_n_int_0_5_1_port);
mult_125_G3_FS_1_U1_0_5_1 : nand2 port map( a => n5976, b => n5977, outb =>
mult_125_G3_FS_1_P_0_5_1_port);
mult_125_G3_FS_1_U3_C_0_5_0 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_5_0_port, b =>
mult_125_G3_FS_1_C_1_5_0_port, outb =>
multiplier_sigs_2_22_port);
mult_125_G3_FS_1_U3_B_0_5_0 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_5_0_port, b =>
mult_125_G3_FS_1_TEMP_P_0_5_0_port, outb => n5975);
mult_125_G3_FS_1_U2_0_5_0 : nand2 port map( a => mult_125_G3_A1_20_port, b
=> mult_125_G3_A2_20_port, outb =>
mult_125_G3_FS_1_G_n_int_0_5_0_port);
mult_125_G3_FS_1_U1_0_5_0 : nand2 port map( a => n5973, b => n5974, outb =>
mult_125_G3_FS_1_TEMP_P_0_5_0_port);
mult_125_G3_FS_1_U6_0_4_3 : oai12 port map( b => n5971, c => n5972, a =>
mult_125_G3_FS_1_G_n_int_0_4_2_port, outb =>
mult_125_G3_FS_1_C_1_4_3_port);
mult_125_G3_FS_1_U5_0_4_3 : oai12 port map( b => n5969, c => n5970, a =>
mult_125_G3_FS_1_G_n_int_0_4_3_port, outb =>
mult_125_G3_FS_1_G_1_1_0_port);
mult_125_G3_FS_1_U4_0_4_3 : nand2 port map( a =>
mult_125_G3_FS_1_TEMP_P_0_4_2_port, b =>
mult_125_G3_FS_1_P_0_4_3_port, outb => n6027);
mult_125_G3_FS_1_U3_C_0_4_3 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_4_3_port, b =>
mult_125_G3_FS_1_C_1_4_3_port, outb =>
multiplier_sigs_2_21_port);
mult_125_G3_FS_1_U3_B_0_4_3 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_4_3_port, b =>
mult_125_G3_FS_1_P_0_4_3_port, outb => n5968);
mult_125_G3_FS_1_U2_0_4_3 : nand2 port map( a => mult_125_G3_A1_19_port, b
=> mult_125_G3_A2_19_port, outb =>
mult_125_G3_FS_1_G_n_int_0_4_3_port);
mult_125_G3_FS_1_U1_0_4_3 : nand2 port map( a => n5966, b => n5967, outb =>
mult_125_G3_FS_1_P_0_4_3_port);
mult_125_G3_FS_1_U6_0_4_2 : oai12 port map( b => n5964, c => n5965, a =>
mult_125_G3_FS_1_G_n_int_0_4_1_port, outb =>
mult_125_G3_FS_1_C_1_4_2_port);
mult_125_G3_FS_1_U5_0_4_2 : oai12 port map( b => n5963, c => n5972, a =>
mult_125_G3_FS_1_G_n_int_0_4_2_port, outb =>
mult_125_G3_FS_1_TEMP_G_0_4_2_port);
mult_125_G3_FS_1_U4_0_4_2 : nand2 port map( a =>
mult_125_G3_FS_1_TEMP_P_0_4_1_port, b =>
mult_125_G3_FS_1_P_0_4_2_port, outb => n5962);
mult_125_G3_FS_1_U3_C_0_4_2 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_4_2_port, b =>
mult_125_G3_FS_1_C_1_4_2_port, outb =>
multiplier_sigs_2_20_port);
mult_125_G3_FS_1_U3_B_0_4_2 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_4_2_port, b =>
mult_125_G3_FS_1_P_0_4_2_port, outb => n5961);
mult_125_G3_FS_1_U2_0_4_2 : nand2 port map( a => mult_125_G3_A1_18_port, b
=> mult_125_G3_A2_18_port, outb =>
mult_125_G3_FS_1_G_n_int_0_4_2_port);
mult_125_G3_FS_1_U1_0_4_2 : nand2 port map( a => n5959, b => n5960, outb =>
mult_125_G3_FS_1_P_0_4_2_port);
mult_125_G3_FS_1_U6_0_4_1 : oai12 port map( b => n6026, c => n5958, a =>
mult_125_G3_FS_1_G_n_int_0_4_0_port, outb =>
mult_125_G3_FS_1_C_1_4_1_port);
mult_125_G3_FS_1_U5_0_4_1 : oai12 port map( b =>
mult_125_G3_FS_1_G_n_int_0_4_0_port, c => n5965, a
=> mult_125_G3_FS_1_G_n_int_0_4_1_port, outb =>
mult_125_G3_FS_1_TEMP_G_0_4_1_port);
mult_125_G3_FS_1_U4_0_4_1 : nand2 port map( a =>
mult_125_G3_FS_1_TEMP_P_0_4_0_port, b =>
mult_125_G3_FS_1_P_0_4_1_port, outb => n5957);
mult_125_G3_FS_1_U3_C_0_4_1 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_4_1_port, b =>
mult_125_G3_FS_1_C_1_4_1_port, outb =>
multiplier_sigs_2_19_port);
mult_125_G3_FS_1_U3_B_0_4_1 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_4_1_port, b =>
mult_125_G3_FS_1_P_0_4_1_port, outb => n5956);
mult_125_G3_FS_1_U2_0_4_1 : nand2 port map( a => mult_125_G3_A1_17_port, b
=> mult_125_G3_A2_17_port, outb =>
mult_125_G3_FS_1_G_n_int_0_4_1_port);
mult_125_G3_FS_1_U1_0_4_1 : nand2 port map( a => n5954, b => n5955, outb =>
mult_125_G3_FS_1_P_0_4_1_port);
mult_125_G3_FS_1_U3_C_0_4_0 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_4_0_port, b =>
mult_125_G3_FS_1_C_1_4_0_port, outb =>
multiplier_sigs_2_18_port);
mult_125_G3_FS_1_U3_B_0_4_0 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_4_0_port, b =>
mult_125_G3_FS_1_TEMP_P_0_4_0_port, outb => n5953);
mult_125_G3_FS_1_U2_0_4_0 : nand2 port map( a => mult_125_G3_A1_16_port, b
=> mult_125_G3_A2_16_port, outb =>
mult_125_G3_FS_1_G_n_int_0_4_0_port);
mult_125_G3_FS_1_U1_0_4_0 : nand2 port map( a => n5951, b => n5952, outb =>
mult_125_G3_FS_1_TEMP_P_0_4_0_port);
mult_125_G3_FS_1_U5_0_3_3 : oai12 port map( b => n5949, c => n5950, a =>
mult_125_G3_FS_1_G_n_int_0_3_3_port, outb =>
mult_125_G3_FS_1_G_1_0_3_port);
mult_125_G3_FS_1_U3_C_0_3_3 : xor2 port map( a =>
mult_125_G3_FS_1_PG_int_0_3_3_port, b =>
mult_125_G3_FS_1_C_1_3_3_port, outb =>
multiplier_sigs_2_17_port);
mult_125_G3_FS_1_U3_B_0_3_3 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_3_3_port, b =>
mult_125_G3_FS_1_P_0_3_3_port, outb => n5948);
mult_125_G3_FS_1_U2_0_3_3 : nand2 port map( a => mult_125_G3_A1_15_port, b
=> mult_125_G3_A2_15_port, outb =>
mult_125_G3_FS_1_G_n_int_0_3_3_port);
mult_125_G3_FS_1_U1_0_3_3 : nand2 port map( a => n5946, b => n5947, outb =>
mult_125_G3_FS_1_P_0_3_3_port);
mult_125_G3_FS_1_U3_B_0_3_2 : nand2 port map( a =>
mult_125_G3_FS_1_G_n_int_0_3_2_port, b =>
mult_125_G3_FS_1_P_0_3_2_port, outb => n5945);
mult_125_G3_FS_1_U2_0_3_2 : nand2 port map( a => mult_125_G3_A1_14_port, b
=> mult_125_G3_A2_14_port, outb =>
mult_125_G3_FS_1_G_n_int_0_3_2_port);
mult_125_G3_FS_1_U1_0_3_2 : nand2 port map( a => n5943, b => n5944, outb =>
mult_125_G3_FS_1_P_0_3_2_port);
mult_125_G3_AN1_15 : inv port map( inb => coefficient_mem_array_2_15_port,
outb => mult_125_G3_A_not_15_port);
mult_125_G3_AN1_14 : inv port map( inb => coefficient_mem_array_2_14_port,
outb => mult_125_G3_A_not_14_port);
mult_125_G3_AN1_13 : inv port map( inb => coefficient_mem_array_2_13_port,
outb => mult_125_G3_A_not_13_port);
mult_125_G3_AN1_12 : inv port map( inb => coefficient_mem_array_2_12_port,
outb => mult_125_G3_A_not_12_port);
mult_125_G3_AN1_11 : inv port map( inb => coefficient_mem_array_2_11_port,
outb => mult_125_G3_A_not_11_port);
mult_125_G3_AN1_10 : inv port map( inb => coefficient_mem_array_2_10_port,
outb => mult_125_G3_A_not_10_port);
mult_125_G3_AN1_9 : inv port map( inb => coefficient_mem_array_2_9_port,
outb => mult_125_G3_A_not_9_port);
mult_125_G3_AN1_8 : inv port map( inb => coefficient_mem_array_2_8_port,
outb => mult_125_G3_A_not_8_port);
mult_125_G3_AN1_7 : inv port map( inb => coefficient_mem_array_2_7_port,
outb => mult_125_G3_A_not_7_port);
mult_125_G3_AN1_6 : inv port map( inb => coefficient_mem_array_2_6_port,
outb => mult_125_G3_A_not_6_port);
mult_125_G3_AN1_5 : inv port map( inb => coefficient_mem_array_2_5_port,
outb => mult_125_G3_A_not_5_port);
mult_125_G3_AN1_4 : inv port map( inb => coefficient_mem_array_2_4_port,
outb => mult_125_G3_A_not_4_port);
mult_125_G3_AN1_3 : inv port map( inb => coefficient_mem_array_2_3_port,
outb => mult_125_G3_A_not_3_port);
mult_125_G3_AN1_2 : inv port map( inb => coefficient_mem_array_2_2_port,
outb => mult_125_G3_A_not_2_port);
mult_125_G3_AN1_1 : inv port map( inb => coefficient_mem_array_2_1_port,
outb => mult_125_G3_A_not_1_port);
mult_125_G3_AN1_0 : inv port map( inb => coefficient_mem_array_2_0_port,
outb => mult_125_G3_A_not_0_port);
mult_125_G3_AN1_15_0 : inv port map( inb => input_sample_mem_15_port, outb
=> mult_125_G3_B_not_15_port);
mult_125_G3_AN1_14_0 : inv port map( inb => input_sample_mem_14_port, outb
=> mult_125_G3_B_not_14_port);
mult_125_G3_AN1_13_0 : inv port map( inb => input_sample_mem_13_port, outb
=> mult_125_G3_B_not_13_port);
mult_125_G3_AN1_12_0 : inv port map( inb => input_sample_mem_12_port, outb
=> mult_125_G3_B_not_12_port);
mult_125_G3_AN1_11_0 : inv port map( inb => input_sample_mem_11_port, outb
=> mult_125_G3_B_not_11_port);
mult_125_G3_AN1_10_0 : inv port map( inb => input_sample_mem_10_port, outb
=> mult_125_G3_B_not_10_port);
mult_125_G3_AN1_9_0 : inv port map( inb => input_sample_mem_9_port, outb =>
mult_125_G3_B_not_9_port);
mult_125_G3_AN1_8_0 : inv port map( inb => input_sample_mem_8_port, outb =>
mult_125_G3_B_not_8_port);
mult_125_G3_AN1_7_0 : inv port map( inb => input_sample_mem_7_port, outb =>
mult_125_G3_B_not_7_port);
mult_125_G3_AN1_6_0 : inv port map( inb => input_sample_mem_6_port, outb =>
mult_125_G3_B_not_6_port);
mult_125_G3_AN1_5_0 : inv port map( inb => input_sample_mem_5_port, outb =>
mult_125_G3_B_not_5_port);
mult_125_G3_AN1_4_0 : inv port map( inb => input_sample_mem_4_port, outb =>
mult_125_G3_B_not_4_port);
mult_125_G3_AN1_3_0 : inv port map( inb => input_sample_mem_3_port, outb =>
mult_125_G3_B_not_3_port);
mult_125_G3_AN1_2_0 : inv port map( inb => input_sample_mem_2_port, outb =>
mult_125_G3_B_not_2_port);
mult_125_G3_AN1_1_0 : inv port map( inb => input_sample_mem_1_port, outb =>
mult_125_G3_B_not_1_port);
mult_125_G3_AN1_0_0 : inv port map( inb => input_sample_mem_0_port, outb =>
mult_125_G3_B_not_0_port);
mult_125_G3_AN1_15_15 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_15_15_port);
mult_125_G3_AN3_15_14 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_14_port, outb =>
mult_125_G3_ab_15_14_port);
mult_125_G3_AN3_15_13 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_13_port, outb =>
mult_125_G3_ab_15_13_port);
mult_125_G3_AN3_15_12 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_12_port, outb =>
mult_125_G3_ab_15_12_port);
mult_125_G3_AN3_15_11 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_11_port, outb =>
mult_125_G3_ab_15_11_port);
mult_125_G3_AN3_15_10 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_10_port, outb =>
mult_125_G3_ab_15_10_port);
mult_125_G3_AN3_15_9 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_9_port, outb =>
mult_125_G3_ab_15_9_port);
mult_125_G3_AN3_15_8 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_8_port, outb =>
mult_125_G3_ab_15_8_port);
mult_125_G3_AN3_15_7 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_7_port, outb =>
mult_125_G3_ab_15_7_port);
mult_125_G3_AN3_15_6 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_6_port, outb =>
mult_125_G3_ab_15_6_port);
mult_125_G3_AN3_15_5 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_5_port, outb =>
mult_125_G3_ab_15_5_port);
mult_125_G3_AN3_15_4 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_4_port, outb =>
mult_125_G3_ab_15_4_port);
mult_125_G3_AN3_15_3 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_3_port, outb =>
mult_125_G3_ab_15_3_port);
mult_125_G3_AN3_15_2 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_2_port, outb =>
mult_125_G3_ab_15_2_port);
mult_125_G3_AN3_15_1 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_1_port, outb =>
mult_125_G3_ab_15_1_port);
mult_125_G3_AN3_15_0 : nor2 port map( a => mult_125_G3_A_not_15_port, b =>
mult_125_G3_B_notx_0_port, outb =>
mult_125_G3_ab_15_0_port);
mult_125_G3_AN2_14_15 : nor2 port map( a => mult_125_G3_A_notx_14_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_14_15_port);
mult_125_G3_AN1_14_14 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_14_14_port);
mult_125_G3_AN1_14_13 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_14_13_port);
mult_125_G3_AN1_14_12 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_14_12_port);
mult_125_G3_AN1_14_11 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_14_11_port);
mult_125_G3_AN1_14_10 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_14_10_port);
mult_125_G3_AN1_14_9 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_14_9_port);
mult_125_G3_AN1_14_8 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_14_8_port);
mult_125_G3_AN1_14_7 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_14_7_port);
mult_125_G3_AN1_14_6 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_14_6_port);
mult_125_G3_AN1_14_5 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_14_5_port);
mult_125_G3_AN1_14_4 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_14_4_port);
mult_125_G3_AN1_14_3 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_14_3_port);
mult_125_G3_AN1_14_2 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_14_2_port);
mult_125_G3_AN1_14_1 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_14_1_port);
mult_125_G3_AN1_14_0_0 : nor2 port map( a => mult_125_G3_A_not_14_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_14_0_port);
mult_125_G3_AN2_13_15 : nor2 port map( a => mult_125_G3_A_notx_13_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_13_15_port);
mult_125_G3_AN1_13_14 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_13_14_port);
mult_125_G3_AN1_13_13 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_13_13_port);
mult_125_G3_AN1_13_12 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_13_12_port);
mult_125_G3_AN1_13_11 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_13_11_port);
mult_125_G3_AN1_13_10 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_13_10_port);
mult_125_G3_AN1_13_9 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_13_9_port);
mult_125_G3_AN1_13_8 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_13_8_port);
mult_125_G3_AN1_13_7 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_13_7_port);
mult_125_G3_AN1_13_6 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_13_6_port);
mult_125_G3_AN1_13_5 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_13_5_port);
mult_125_G3_AN1_13_4 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_13_4_port);
mult_125_G3_AN1_13_3 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_13_3_port);
mult_125_G3_AN1_13_2 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_13_2_port);
mult_125_G3_AN1_13_1 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_13_1_port);
mult_125_G3_AN1_13_0_0 : nor2 port map( a => mult_125_G3_A_not_13_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_13_0_port);
mult_125_G3_AN2_12_15 : nor2 port map( a => mult_125_G3_A_notx_12_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_12_15_port);
mult_125_G3_AN1_12_14 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_12_14_port);
mult_125_G3_AN1_12_13 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_12_13_port);
mult_125_G3_AN1_12_12 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_12_12_port);
mult_125_G3_AN1_12_11 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_12_11_port);
mult_125_G3_AN1_12_10 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_12_10_port);
mult_125_G3_AN1_12_9 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_12_9_port);
mult_125_G3_AN1_12_8 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_12_8_port);
mult_125_G3_AN1_12_7 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_12_7_port);
mult_125_G3_AN1_12_6 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_12_6_port);
mult_125_G3_AN1_12_5 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_12_5_port);
mult_125_G3_AN1_12_4 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_12_4_port);
mult_125_G3_AN1_12_3 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_12_3_port);
mult_125_G3_AN1_12_2 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_12_2_port);
mult_125_G3_AN1_12_1 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_12_1_port);
mult_125_G3_AN1_12_0_0 : nor2 port map( a => mult_125_G3_A_not_12_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_12_0_port);
mult_125_G3_AN2_11_15 : nor2 port map( a => mult_125_G3_A_notx_11_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_11_15_port);
mult_125_G3_AN1_11_14 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_11_14_port);
mult_125_G3_AN1_11_13 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_11_13_port);
mult_125_G3_AN1_11_12 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_11_12_port);
mult_125_G3_AN1_11_11 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_11_11_port);
mult_125_G3_AN1_11_10 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_11_10_port);
mult_125_G3_AN1_11_9 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_11_9_port);
mult_125_G3_AN1_11_8 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_11_8_port);
mult_125_G3_AN1_11_7 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_11_7_port);
mult_125_G3_AN1_11_6 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_11_6_port);
mult_125_G3_AN1_11_5 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_11_5_port);
mult_125_G3_AN1_11_4 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_11_4_port);
mult_125_G3_AN1_11_3 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_11_3_port);
mult_125_G3_AN1_11_2 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_11_2_port);
mult_125_G3_AN1_11_1 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_11_1_port);
mult_125_G3_AN1_11_0_0 : nor2 port map( a => mult_125_G3_A_not_11_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_11_0_port);
mult_125_G3_AN2_10_15 : nor2 port map( a => mult_125_G3_A_notx_10_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_10_15_port);
mult_125_G3_AN1_10_14 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_10_14_port);
mult_125_G3_AN1_10_13 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_10_13_port);
mult_125_G3_AN1_10_12 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_10_12_port);
mult_125_G3_AN1_10_11 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_10_11_port);
mult_125_G3_AN1_10_10 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_10_10_port);
mult_125_G3_AN1_10_9 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_10_9_port);
mult_125_G3_AN1_10_8 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_10_8_port);
mult_125_G3_AN1_10_7 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_10_7_port);
mult_125_G3_AN1_10_6 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_10_6_port);
mult_125_G3_AN1_10_5 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_10_5_port);
mult_125_G3_AN1_10_4 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_10_4_port);
mult_125_G3_AN1_10_3 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_10_3_port);
mult_125_G3_AN1_10_2 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_10_2_port);
mult_125_G3_AN1_10_1 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_10_1_port);
mult_125_G3_AN1_10_0_0 : nor2 port map( a => mult_125_G3_A_not_10_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_10_0_port);
mult_125_G3_AN2_9_15 : nor2 port map( a => mult_125_G3_A_notx_9_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_9_15_port);
mult_125_G3_AN1_9_14 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_9_14_port);
mult_125_G3_AN1_9_13 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_9_13_port);
mult_125_G3_AN1_9_12 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_9_12_port);
mult_125_G3_AN1_9_11 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_9_11_port);
mult_125_G3_AN1_9_10 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_9_10_port);
mult_125_G3_AN1_9_9 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_9_9_port);
mult_125_G3_AN1_9_8 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_9_8_port);
mult_125_G3_AN1_9_7 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_9_7_port);
mult_125_G3_AN1_9_6 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_9_6_port);
mult_125_G3_AN1_9_5 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_9_5_port);
mult_125_G3_AN1_9_4 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_9_4_port);
mult_125_G3_AN1_9_3 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_9_3_port);
mult_125_G3_AN1_9_2 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_9_2_port);
mult_125_G3_AN1_9_1 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_9_1_port);
mult_125_G3_AN1_9_0_0 : nor2 port map( a => mult_125_G3_A_not_9_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_9_0_port);
mult_125_G3_AN2_8_15 : nor2 port map( a => mult_125_G3_A_notx_8_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_8_15_port);
mult_125_G3_AN1_8_14 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_8_14_port);
mult_125_G3_AN1_8_13 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_8_13_port);
mult_125_G3_AN1_8_12 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_8_12_port);
mult_125_G3_AN1_8_11 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_8_11_port);
mult_125_G3_AN1_8_10 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_8_10_port);
mult_125_G3_AN1_8_9 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_8_9_port);
mult_125_G3_AN1_8_8 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_8_8_port);
mult_125_G3_AN1_8_7 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_8_7_port);
mult_125_G3_AN1_8_6 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_8_6_port);
mult_125_G3_AN1_8_5 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_8_5_port);
mult_125_G3_AN1_8_4 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_8_4_port);
mult_125_G3_AN1_8_3 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_8_3_port);
mult_125_G3_AN1_8_2 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_8_2_port);
mult_125_G3_AN1_8_1 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_8_1_port);
mult_125_G3_AN1_8_0_0 : nor2 port map( a => mult_125_G3_A_not_8_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_8_0_port);
mult_125_G3_AN2_7_15 : nor2 port map( a => mult_125_G3_A_notx_7_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_7_15_port);
mult_125_G3_AN1_7_14 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_7_14_port);
mult_125_G3_AN1_7_13 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_7_13_port);
mult_125_G3_AN1_7_12 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_7_12_port);
mult_125_G3_AN1_7_11 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_7_11_port);
mult_125_G3_AN1_7_10 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_7_10_port);
mult_125_G3_AN1_7_9 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_7_9_port);
mult_125_G3_AN1_7_8 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_7_8_port);
mult_125_G3_AN1_7_7 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_7_7_port);
mult_125_G3_AN1_7_6 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_7_6_port);
mult_125_G3_AN1_7_5 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_7_5_port);
mult_125_G3_AN1_7_4 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_7_4_port);
mult_125_G3_AN1_7_3 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_7_3_port);
mult_125_G3_AN1_7_2 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_7_2_port);
mult_125_G3_AN1_7_1 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_7_1_port);
mult_125_G3_AN1_7_0_0 : nor2 port map( a => mult_125_G3_A_not_7_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_7_0_port);
mult_125_G3_AN2_6_15 : nor2 port map( a => mult_125_G3_A_notx_6_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_6_15_port);
mult_125_G3_AN1_6_14 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_6_14_port);
mult_125_G3_AN1_6_13 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_6_13_port);
mult_125_G3_AN1_6_12 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_6_12_port);
mult_125_G3_AN1_6_11 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_6_11_port);
mult_125_G3_AN1_6_10 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_6_10_port);
mult_125_G3_AN1_6_9 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_6_9_port);
mult_125_G3_AN1_6_8 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_6_8_port);
mult_125_G3_AN1_6_7 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_6_7_port);
mult_125_G3_AN1_6_6 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_6_6_port);
mult_125_G3_AN1_6_5 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_6_5_port);
mult_125_G3_AN1_6_4 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_6_4_port);
mult_125_G3_AN1_6_3 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_6_3_port);
mult_125_G3_AN1_6_2 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_6_2_port);
mult_125_G3_AN1_6_1 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_6_1_port);
mult_125_G3_AN1_6_0_0 : nor2 port map( a => mult_125_G3_A_not_6_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_6_0_port);
mult_125_G3_AN2_5_15 : nor2 port map( a => mult_125_G3_A_notx_5_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_5_15_port);
mult_125_G3_AN1_5_14 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_5_14_port);
mult_125_G3_AN1_5_13 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_5_13_port);
mult_125_G3_AN1_5_12 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_5_12_port);
mult_125_G3_AN1_5_11 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_5_11_port);
mult_125_G3_AN1_5_10 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_5_10_port);
mult_125_G3_AN1_5_9 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_5_9_port);
mult_125_G3_AN1_5_8 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_5_8_port);
mult_125_G3_AN1_5_7 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_5_7_port);
mult_125_G3_AN1_5_6 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_5_6_port);
mult_125_G3_AN1_5_5 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_5_5_port);
mult_125_G3_AN1_5_4 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_5_4_port);
mult_125_G3_AN1_5_3 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_5_3_port);
mult_125_G3_AN1_5_2 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_5_2_port);
mult_125_G3_AN1_5_1 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_5_1_port);
mult_125_G3_AN1_5_0_0 : nor2 port map( a => mult_125_G3_A_not_5_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_5_0_port);
mult_125_G3_AN2_4_15 : nor2 port map( a => mult_125_G3_A_notx_4_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_4_15_port);
mult_125_G3_AN1_4_14 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_4_14_port);
mult_125_G3_AN1_4_13 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_4_13_port);
mult_125_G3_AN1_4_12 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_4_12_port);
mult_125_G3_AN1_4_11 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_4_11_port);
mult_125_G3_AN1_4_10 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_4_10_port);
mult_125_G3_AN1_4_9 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_4_9_port);
mult_125_G3_AN1_4_8 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_4_8_port);
mult_125_G3_AN1_4_7 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_4_7_port);
mult_125_G3_AN1_4_6 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_4_6_port);
mult_125_G3_AN1_4_5 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_4_5_port);
mult_125_G3_AN1_4_4 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_4_4_port);
mult_125_G3_AN1_4_3 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_4_3_port);
mult_125_G3_AN1_4_2 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_4_2_port);
mult_125_G3_AN1_4_1 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_4_1_port);
mult_125_G3_AN1_4_0_0 : nor2 port map( a => mult_125_G3_A_not_4_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_4_0_port);
mult_125_G3_AN2_3_15 : nor2 port map( a => mult_125_G3_A_notx_3_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_3_15_port);
mult_125_G3_AN1_3_14 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_3_14_port);
mult_125_G3_AN1_3_13 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_3_13_port);
mult_125_G3_AN1_3_12 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_3_12_port);
mult_125_G3_AN1_3_11 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_3_11_port);
mult_125_G3_AN1_3_10 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_3_10_port);
mult_125_G3_AN1_3_9 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_3_9_port);
mult_125_G3_AN1_3_8 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_3_8_port);
mult_125_G3_AN1_3_7 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_3_7_port);
mult_125_G3_AN1_3_6 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_3_6_port);
mult_125_G3_AN1_3_5 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_3_5_port);
mult_125_G3_AN1_3_4 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_3_4_port);
mult_125_G3_AN1_3_3 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_3_3_port);
mult_125_G3_AN1_3_2 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_3_2_port);
mult_125_G3_AN1_3_1 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_3_1_port);
mult_125_G3_AN1_3_0_0 : nor2 port map( a => mult_125_G3_A_not_3_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_3_0_port);
mult_125_G3_AN2_2_15 : nor2 port map( a => mult_125_G3_A_notx_2_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_2_15_port);
mult_125_G3_AN1_2_14 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_2_14_port);
mult_125_G3_AN1_2_13 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_2_13_port);
mult_125_G3_AN1_2_12 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_2_12_port);
mult_125_G3_AN1_2_11 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_2_11_port);
mult_125_G3_AN1_2_10 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_2_10_port);
mult_125_G3_AN1_2_9 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_2_9_port);
mult_125_G3_AN1_2_8 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_2_8_port);
mult_125_G3_AN1_2_7 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_2_7_port);
mult_125_G3_AN1_2_6 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_2_6_port);
mult_125_G3_AN1_2_5 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_2_5_port);
mult_125_G3_AN1_2_4 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_2_4_port);
mult_125_G3_AN1_2_3 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_2_3_port);
mult_125_G3_AN1_2_2 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_2_2_port);
mult_125_G3_AN1_2_1 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_2_1_port);
mult_125_G3_AN1_2_0_0 : nor2 port map( a => mult_125_G3_A_not_2_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_2_0_port);
mult_125_G3_AN2_1_15 : nor2 port map( a => mult_125_G3_A_notx_1_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_1_15_port);
mult_125_G3_AN1_1_14 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_1_14_port);
mult_125_G3_AN1_1_13 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_1_13_port);
mult_125_G3_AN1_1_12 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_1_12_port);
mult_125_G3_AN1_1_11 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_1_11_port);
mult_125_G3_AN1_1_10 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_1_10_port);
mult_125_G3_AN1_1_9 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_1_9_port);
mult_125_G3_AN1_1_8 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_1_8_port);
mult_125_G3_AN1_1_7 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_1_7_port);
mult_125_G3_AN1_1_6 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_1_6_port);
mult_125_G3_AN1_1_5 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_1_5_port);
mult_125_G3_AN1_1_4 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_1_4_port);
mult_125_G3_AN1_1_3 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_1_3_port);
mult_125_G3_AN1_1_2 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_1_2_port);
mult_125_G3_AN1_1_1 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_1_1_port);
mult_125_G3_AN1_1_0_0 : nor2 port map( a => mult_125_G3_A_not_1_port, b =>
mult_125_G3_B_not_0_port, outb =>
mult_125_G3_ab_1_0_port);
mult_125_G3_AN2_0_15 : nor2 port map( a => mult_125_G3_A_notx_0_port, b =>
mult_125_G3_B_not_15_port, outb =>
mult_125_G3_ab_0_15_port);
mult_125_G3_AN1_0_14 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_14_port, outb =>
mult_125_G3_ab_0_14_port);
mult_125_G3_AN1_0_13 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_13_port, outb =>
mult_125_G3_ab_0_13_port);
mult_125_G3_AN1_0_12 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_12_port, outb =>
mult_125_G3_ab_0_12_port);
mult_125_G3_AN1_0_11 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_11_port, outb =>
mult_125_G3_ab_0_11_port);
mult_125_G3_AN1_0_10 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_10_port, outb =>
mult_125_G3_ab_0_10_port);
mult_125_G3_AN1_0_9 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_9_port, outb =>
mult_125_G3_ab_0_9_port);
mult_125_G3_AN1_0_8 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_8_port, outb =>
mult_125_G3_ab_0_8_port);
mult_125_G3_AN1_0_7 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_7_port, outb =>
mult_125_G3_ab_0_7_port);
mult_125_G3_AN1_0_6 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_6_port, outb =>
mult_125_G3_ab_0_6_port);
mult_125_G3_AN1_0_5 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_5_port, outb =>
mult_125_G3_ab_0_5_port);
mult_125_G3_AN1_0_4 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_4_port, outb =>
mult_125_G3_ab_0_4_port);
mult_125_G3_AN1_0_3 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_3_port, outb =>
mult_125_G3_ab_0_3_port);
mult_125_G3_AN1_0_2 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_2_port, outb =>
mult_125_G3_ab_0_2_port);
mult_125_G3_AN1_0_1 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_1_port, outb =>
mult_125_G3_ab_0_1_port);
mult_125_G3_AN1_0_0_0 : nor2 port map( a => mult_125_G3_A_not_0_port, b =>
mult_125_G3_B_not_0_port, outb =>
multiplier_sigs_2_0_port);
mult_125_G2_FS_1_U6_1_1_3 : oai12 port map( b => n5907, c => n5908, a =>
n5909, outb => mult_125_G2_FS_1_C_1_7_0_port);
mult_125_G2_FS_1_U6_1_1_2 : oai12 port map( b => n5904, c => n5905, a =>
n5906, outb => mult_125_G2_FS_1_C_1_6_0_port);
mult_125_G2_FS_1_U6_1_1_1 : oai12 port map( b => n5901, c => n5902, a =>
n5903, outb => mult_125_G2_FS_1_C_1_5_0_port);
mult_125_G2_FS_1_U6_0_7_1 : oai12 port map( b => n5898, c => n5899, a =>
mult_125_G2_FS_1_G_n_int_0_7_0_port, outb =>
mult_125_G2_FS_1_C_1_7_1_port);
mult_125_G2_FS_1_U3_C_0_7_1 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_7_1_port, b =>
mult_125_G2_FS_1_C_1_7_1_port, outb =>
multiplier_sigs_1_31_port);
mult_125_G2_FS_1_U3_B_0_7_1 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_7_1_port, b =>
mult_125_G2_FS_1_P_0_7_1_port, outb => n5897);
mult_125_G2_FS_1_U2_0_7_1 : nand2 port map( a => mult_125_G2_A1_29_port, b
=> mult_125_G2_A2_29_port, outb =>
mult_125_G2_FS_1_G_n_int_0_7_1_port);
mult_125_G2_FS_1_U1_0_7_1 : nand2 port map( a => n5895, b => n5896, outb =>
mult_125_G2_FS_1_P_0_7_1_port);
mult_125_G2_FS_1_U3_C_0_7_0 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_7_0_port, b =>
mult_125_G2_FS_1_C_1_7_0_port, outb =>
multiplier_sigs_1_30_port);
mult_125_G2_FS_1_U3_B_0_7_0 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_7_0_port, b =>
mult_125_G2_FS_1_TEMP_P_0_7_0_port, outb => n5894);
mult_125_G2_FS_1_U2_0_7_0 : nand2 port map( a => mult_125_G2_A1_28_port, b
=> mult_125_G2_A2_28_port, outb =>
mult_125_G2_FS_1_G_n_int_0_7_0_port);
mult_125_G2_FS_1_U1_0_7_0 : nand2 port map( a => n5892, b => n5893, outb =>
mult_125_G2_FS_1_TEMP_P_0_7_0_port);
mult_125_G2_FS_1_U6_0_6_3 : oai12 port map( b => n5890, c => n5891, a =>
mult_125_G2_FS_1_G_n_int_0_6_2_port, outb =>
mult_125_G2_FS_1_C_1_6_3_port);
mult_125_G2_FS_1_U5_0_6_3 : oai12 port map( b => n5888, c => n5889, a =>
mult_125_G2_FS_1_G_n_int_0_6_3_port, outb =>
mult_125_G2_FS_1_G_1_1_2_port);
mult_125_G2_FS_1_U4_0_6_3 : nand2 port map( a =>
mult_125_G2_FS_1_TEMP_P_0_6_2_port, b =>
mult_125_G2_FS_1_P_0_6_3_port, outb => n5908);
mult_125_G2_FS_1_U3_C_0_6_3 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_6_3_port, b =>
mult_125_G2_FS_1_C_1_6_3_port, outb =>
multiplier_sigs_1_29_port);
mult_125_G2_FS_1_U3_B_0_6_3 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_6_3_port, b =>
mult_125_G2_FS_1_P_0_6_3_port, outb => n5887);
mult_125_G2_FS_1_U2_0_6_3 : nand2 port map( a => mult_125_G2_A1_27_port, b
=> mult_125_G2_A2_27_port, outb =>
mult_125_G2_FS_1_G_n_int_0_6_3_port);
mult_125_G2_FS_1_U1_0_6_3 : nand2 port map( a => n5885, b => n5886, outb =>
mult_125_G2_FS_1_P_0_6_3_port);
mult_125_G2_FS_1_U6_0_6_2 : oai12 port map( b => n5883, c => n5884, a =>
mult_125_G2_FS_1_G_n_int_0_6_1_port, outb =>
mult_125_G2_FS_1_C_1_6_2_port);
mult_125_G2_FS_1_U5_0_6_2 : oai12 port map( b => n5882, c => n5891, a =>
mult_125_G2_FS_1_G_n_int_0_6_2_port, outb =>
mult_125_G2_FS_1_TEMP_G_0_6_2_port);
mult_125_G2_FS_1_U4_0_6_2 : nand2 port map( a =>
mult_125_G2_FS_1_TEMP_P_0_6_1_port, b =>
mult_125_G2_FS_1_P_0_6_2_port, outb => n5881);
mult_125_G2_FS_1_U3_C_0_6_2 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_6_2_port, b =>
mult_125_G2_FS_1_C_1_6_2_port, outb =>
multiplier_sigs_1_28_port);
mult_125_G2_FS_1_U3_B_0_6_2 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_6_2_port, b =>
mult_125_G2_FS_1_P_0_6_2_port, outb => n5880);
mult_125_G2_FS_1_U2_0_6_2 : nand2 port map( a => mult_125_G2_A1_26_port, b
=> mult_125_G2_A2_26_port, outb =>
mult_125_G2_FS_1_G_n_int_0_6_2_port);
mult_125_G2_FS_1_U1_0_6_2 : nand2 port map( a => n5878, b => n5879, outb =>
mult_125_G2_FS_1_P_0_6_2_port);
mult_125_G2_FS_1_U6_0_6_1 : oai12 port map( b => n5907, c => n5877, a =>
mult_125_G2_FS_1_G_n_int_0_6_0_port, outb =>
mult_125_G2_FS_1_C_1_6_1_port);
mult_125_G2_FS_1_U5_0_6_1 : oai12 port map( b =>
mult_125_G2_FS_1_G_n_int_0_6_0_port, c => n5884, a
=> mult_125_G2_FS_1_G_n_int_0_6_1_port, outb =>
mult_125_G2_FS_1_TEMP_G_0_6_1_port);
mult_125_G2_FS_1_U4_0_6_1 : nand2 port map( a =>
mult_125_G2_FS_1_TEMP_P_0_6_0_port, b =>
mult_125_G2_FS_1_P_0_6_1_port, outb => n5876);
mult_125_G2_FS_1_U3_C_0_6_1 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_6_1_port, b =>
mult_125_G2_FS_1_C_1_6_1_port, outb =>
multiplier_sigs_1_27_port);
mult_125_G2_FS_1_U3_B_0_6_1 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_6_1_port, b =>
mult_125_G2_FS_1_P_0_6_1_port, outb => n5875);
mult_125_G2_FS_1_U2_0_6_1 : nand2 port map( a => mult_125_G2_A1_25_port, b
=> mult_125_G2_A2_25_port, outb =>
mult_125_G2_FS_1_G_n_int_0_6_1_port);
mult_125_G2_FS_1_U1_0_6_1 : nand2 port map( a => n5873, b => n5874, outb =>
mult_125_G2_FS_1_P_0_6_1_port);
mult_125_G2_FS_1_U3_C_0_6_0 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_6_0_port, b =>
mult_125_G2_FS_1_C_1_6_0_port, outb =>
multiplier_sigs_1_26_port);
mult_125_G2_FS_1_U3_B_0_6_0 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_6_0_port, b =>
mult_125_G2_FS_1_TEMP_P_0_6_0_port, outb => n5872);
mult_125_G2_FS_1_U2_0_6_0 : nand2 port map( a => mult_125_G2_A1_24_port, b
=> mult_125_G2_A2_24_port, outb =>
mult_125_G2_FS_1_G_n_int_0_6_0_port);
mult_125_G2_FS_1_U1_0_6_0 : nand2 port map( a => n5870, b => n5871, outb =>
mult_125_G2_FS_1_TEMP_P_0_6_0_port);
mult_125_G2_FS_1_U6_0_5_3 : oai12 port map( b => n5868, c => n5869, a =>
mult_125_G2_FS_1_G_n_int_0_5_2_port, outb =>
mult_125_G2_FS_1_C_1_5_3_port);
mult_125_G2_FS_1_U5_0_5_3 : oai12 port map( b => n5866, c => n5867, a =>
mult_125_G2_FS_1_G_n_int_0_5_3_port, outb =>
mult_125_G2_FS_1_G_1_1_1_port);
mult_125_G2_FS_1_U4_0_5_3 : nand2 port map( a =>
mult_125_G2_FS_1_TEMP_P_0_5_2_port, b =>
mult_125_G2_FS_1_P_0_5_3_port, outb => n5905);
mult_125_G2_FS_1_U3_C_0_5_3 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_5_3_port, b =>
mult_125_G2_FS_1_C_1_5_3_port, outb =>
multiplier_sigs_1_25_port);
mult_125_G2_FS_1_U3_B_0_5_3 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_5_3_port, b =>
mult_125_G2_FS_1_P_0_5_3_port, outb => n5865);
mult_125_G2_FS_1_U2_0_5_3 : nand2 port map( a => mult_125_G2_A1_23_port, b
=> mult_125_G2_A2_23_port, outb =>
mult_125_G2_FS_1_G_n_int_0_5_3_port);
mult_125_G2_FS_1_U1_0_5_3 : nand2 port map( a => n5863, b => n5864, outb =>
mult_125_G2_FS_1_P_0_5_3_port);
mult_125_G2_FS_1_U6_0_5_2 : oai12 port map( b => n5861, c => n5862, a =>
mult_125_G2_FS_1_G_n_int_0_5_1_port, outb =>
mult_125_G2_FS_1_C_1_5_2_port);
mult_125_G2_FS_1_U5_0_5_2 : oai12 port map( b => n5860, c => n5869, a =>
mult_125_G2_FS_1_G_n_int_0_5_2_port, outb =>
mult_125_G2_FS_1_TEMP_G_0_5_2_port);
mult_125_G2_FS_1_U4_0_5_2 : nand2 port map( a =>
mult_125_G2_FS_1_TEMP_P_0_5_1_port, b =>
mult_125_G2_FS_1_P_0_5_2_port, outb => n5859);
mult_125_G2_FS_1_U3_C_0_5_2 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_5_2_port, b =>
mult_125_G2_FS_1_C_1_5_2_port, outb =>
multiplier_sigs_1_24_port);
mult_125_G2_FS_1_U3_B_0_5_2 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_5_2_port, b =>
mult_125_G2_FS_1_P_0_5_2_port, outb => n5858);
mult_125_G2_FS_1_U2_0_5_2 : nand2 port map( a => mult_125_G2_A1_22_port, b
=> mult_125_G2_A2_22_port, outb =>
mult_125_G2_FS_1_G_n_int_0_5_2_port);
mult_125_G2_FS_1_U1_0_5_2 : nand2 port map( a => n5856, b => n5857, outb =>
mult_125_G2_FS_1_P_0_5_2_port);
mult_125_G2_FS_1_U6_0_5_1 : oai12 port map( b => n5904, c => n5855, a =>
mult_125_G2_FS_1_G_n_int_0_5_0_port, outb =>
mult_125_G2_FS_1_C_1_5_1_port);
mult_125_G2_FS_1_U5_0_5_1 : oai12 port map( b =>
mult_125_G2_FS_1_G_n_int_0_5_0_port, c => n5862, a
=> mult_125_G2_FS_1_G_n_int_0_5_1_port, outb =>
mult_125_G2_FS_1_TEMP_G_0_5_1_port);
mult_125_G2_FS_1_U4_0_5_1 : nand2 port map( a =>
mult_125_G2_FS_1_TEMP_P_0_5_0_port, b =>
mult_125_G2_FS_1_P_0_5_1_port, outb => n5854);
mult_125_G2_FS_1_U3_C_0_5_1 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_5_1_port, b =>
mult_125_G2_FS_1_C_1_5_1_port, outb =>
multiplier_sigs_1_23_port);
mult_125_G2_FS_1_U3_B_0_5_1 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_5_1_port, b =>
mult_125_G2_FS_1_P_0_5_1_port, outb => n5853);
mult_125_G2_FS_1_U2_0_5_1 : nand2 port map( a => mult_125_G2_A1_21_port, b
=> mult_125_G2_A2_21_port, outb =>
mult_125_G2_FS_1_G_n_int_0_5_1_port);
mult_125_G2_FS_1_U1_0_5_1 : nand2 port map( a => n5851, b => n5852, outb =>
mult_125_G2_FS_1_P_0_5_1_port);
mult_125_G2_FS_1_U3_C_0_5_0 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_5_0_port, b =>
mult_125_G2_FS_1_C_1_5_0_port, outb =>
multiplier_sigs_1_22_port);
mult_125_G2_FS_1_U3_B_0_5_0 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_5_0_port, b =>
mult_125_G2_FS_1_TEMP_P_0_5_0_port, outb => n5850);
mult_125_G2_FS_1_U2_0_5_0 : nand2 port map( a => mult_125_G2_A1_20_port, b
=> mult_125_G2_A2_20_port, outb =>
mult_125_G2_FS_1_G_n_int_0_5_0_port);
mult_125_G2_FS_1_U1_0_5_0 : nand2 port map( a => n5848, b => n5849, outb =>
mult_125_G2_FS_1_TEMP_P_0_5_0_port);
mult_125_G2_FS_1_U6_0_4_3 : oai12 port map( b => n5846, c => n5847, a =>
mult_125_G2_FS_1_G_n_int_0_4_2_port, outb =>
mult_125_G2_FS_1_C_1_4_3_port);
mult_125_G2_FS_1_U5_0_4_3 : oai12 port map( b => n5844, c => n5845, a =>
mult_125_G2_FS_1_G_n_int_0_4_3_port, outb =>
mult_125_G2_FS_1_G_1_1_0_port);
mult_125_G2_FS_1_U4_0_4_3 : nand2 port map( a =>
mult_125_G2_FS_1_TEMP_P_0_4_2_port, b =>
mult_125_G2_FS_1_P_0_4_3_port, outb => n5902);
mult_125_G2_FS_1_U3_C_0_4_3 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_4_3_port, b =>
mult_125_G2_FS_1_C_1_4_3_port, outb =>
multiplier_sigs_1_21_port);
mult_125_G2_FS_1_U3_B_0_4_3 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_4_3_port, b =>
mult_125_G2_FS_1_P_0_4_3_port, outb => n5843);
mult_125_G2_FS_1_U2_0_4_3 : nand2 port map( a => mult_125_G2_A1_19_port, b
=> mult_125_G2_A2_19_port, outb =>
mult_125_G2_FS_1_G_n_int_0_4_3_port);
mult_125_G2_FS_1_U1_0_4_3 : nand2 port map( a => n5841, b => n5842, outb =>
mult_125_G2_FS_1_P_0_4_3_port);
mult_125_G2_FS_1_U6_0_4_2 : oai12 port map( b => n5839, c => n5840, a =>
mult_125_G2_FS_1_G_n_int_0_4_1_port, outb =>
mult_125_G2_FS_1_C_1_4_2_port);
mult_125_G2_FS_1_U5_0_4_2 : oai12 port map( b => n5838, c => n5847, a =>
mult_125_G2_FS_1_G_n_int_0_4_2_port, outb =>
mult_125_G2_FS_1_TEMP_G_0_4_2_port);
mult_125_G2_FS_1_U4_0_4_2 : nand2 port map( a =>
mult_125_G2_FS_1_TEMP_P_0_4_1_port, b =>
mult_125_G2_FS_1_P_0_4_2_port, outb => n5837);
mult_125_G2_FS_1_U3_C_0_4_2 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_4_2_port, b =>
mult_125_G2_FS_1_C_1_4_2_port, outb =>
multiplier_sigs_1_20_port);
mult_125_G2_FS_1_U3_B_0_4_2 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_4_2_port, b =>
mult_125_G2_FS_1_P_0_4_2_port, outb => n5836);
mult_125_G2_FS_1_U2_0_4_2 : nand2 port map( a => mult_125_G2_A1_18_port, b
=> mult_125_G2_A2_18_port, outb =>
mult_125_G2_FS_1_G_n_int_0_4_2_port);
mult_125_G2_FS_1_U1_0_4_2 : nand2 port map( a => n5834, b => n5835, outb =>
mult_125_G2_FS_1_P_0_4_2_port);
mult_125_G2_FS_1_U6_0_4_1 : oai12 port map( b => n5901, c => n5833, a =>
mult_125_G2_FS_1_G_n_int_0_4_0_port, outb =>
mult_125_G2_FS_1_C_1_4_1_port);
mult_125_G2_FS_1_U5_0_4_1 : oai12 port map( b =>
mult_125_G2_FS_1_G_n_int_0_4_0_port, c => n5840, a
=> mult_125_G2_FS_1_G_n_int_0_4_1_port, outb =>
mult_125_G2_FS_1_TEMP_G_0_4_1_port);
mult_125_G2_FS_1_U4_0_4_1 : nand2 port map( a =>
mult_125_G2_FS_1_TEMP_P_0_4_0_port, b =>
mult_125_G2_FS_1_P_0_4_1_port, outb => n5832);
mult_125_G2_FS_1_U3_C_0_4_1 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_4_1_port, b =>
mult_125_G2_FS_1_C_1_4_1_port, outb =>
multiplier_sigs_1_19_port);
mult_125_G2_FS_1_U3_B_0_4_1 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_4_1_port, b =>
mult_125_G2_FS_1_P_0_4_1_port, outb => n5831);
mult_125_G2_FS_1_U2_0_4_1 : nand2 port map( a => mult_125_G2_A1_17_port, b
=> mult_125_G2_A2_17_port, outb =>
mult_125_G2_FS_1_G_n_int_0_4_1_port);
mult_125_G2_FS_1_U1_0_4_1 : nand2 port map( a => n5829, b => n5830, outb =>
mult_125_G2_FS_1_P_0_4_1_port);
mult_125_G2_FS_1_U3_C_0_4_0 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_4_0_port, b =>
mult_125_G2_FS_1_C_1_4_0_port, outb =>
multiplier_sigs_1_18_port);
mult_125_G2_FS_1_U3_B_0_4_0 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_4_0_port, b =>
mult_125_G2_FS_1_TEMP_P_0_4_0_port, outb => n5828);
mult_125_G2_FS_1_U2_0_4_0 : nand2 port map( a => mult_125_G2_A1_16_port, b
=> mult_125_G2_A2_16_port, outb =>
mult_125_G2_FS_1_G_n_int_0_4_0_port);
mult_125_G2_FS_1_U1_0_4_0 : nand2 port map( a => n5826, b => n5827, outb =>
mult_125_G2_FS_1_TEMP_P_0_4_0_port);
mult_125_G2_FS_1_U5_0_3_3 : oai12 port map( b => n5824, c => n5825, a =>
mult_125_G2_FS_1_G_n_int_0_3_3_port, outb =>
mult_125_G2_FS_1_G_1_0_3_port);
mult_125_G2_FS_1_U3_C_0_3_3 : xor2 port map( a =>
mult_125_G2_FS_1_PG_int_0_3_3_port, b =>
mult_125_G2_FS_1_C_1_3_3_port, outb =>
multiplier_sigs_1_17_port);
mult_125_G2_FS_1_U3_B_0_3_3 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_3_3_port, b =>
mult_125_G2_FS_1_P_0_3_3_port, outb => n5823);
mult_125_G2_FS_1_U2_0_3_3 : nand2 port map( a => mult_125_G2_A1_15_port, b
=> mult_125_G2_A2_15_port, outb =>
mult_125_G2_FS_1_G_n_int_0_3_3_port);
mult_125_G2_FS_1_U1_0_3_3 : nand2 port map( a => n5821, b => n5822, outb =>
mult_125_G2_FS_1_P_0_3_3_port);
mult_125_G2_FS_1_U3_B_0_3_2 : nand2 port map( a =>
mult_125_G2_FS_1_G_n_int_0_3_2_port, b =>
mult_125_G2_FS_1_P_0_3_2_port, outb => n5820);
mult_125_G2_FS_1_U2_0_3_2 : nand2 port map( a => mult_125_G2_A1_14_port, b
=> mult_125_G2_A2_14_port, outb =>
mult_125_G2_FS_1_G_n_int_0_3_2_port);
mult_125_G2_FS_1_U1_0_3_2 : nand2 port map( a => n5818, b => n5819, outb =>
mult_125_G2_FS_1_P_0_3_2_port);
mult_125_G2_AN1_15 : inv port map( inb => coefficient_mem_array_1_15_port,
outb => mult_125_G2_A_not_15_port);
mult_125_G2_AN1_14 : inv port map( inb => coefficient_mem_array_1_14_port,
outb => mult_125_G2_A_not_14_port);
mult_125_G2_AN1_13 : inv port map( inb => coefficient_mem_array_1_13_port,
outb => mult_125_G2_A_not_13_port);
mult_125_G2_AN1_12 : inv port map( inb => coefficient_mem_array_1_12_port,
outb => mult_125_G2_A_not_12_port);
mult_125_G2_AN1_11 : inv port map( inb => coefficient_mem_array_1_11_port,
outb => mult_125_G2_A_not_11_port);
mult_125_G2_AN1_10 : inv port map( inb => coefficient_mem_array_1_10_port,
outb => mult_125_G2_A_not_10_port);
mult_125_G2_AN1_9 : inv port map( inb => coefficient_mem_array_1_9_port,
outb => mult_125_G2_A_not_9_port);
mult_125_G2_AN1_8 : inv port map( inb => coefficient_mem_array_1_8_port,
outb => mult_125_G2_A_not_8_port);
mult_125_G2_AN1_7 : inv port map( inb => coefficient_mem_array_1_7_port,
outb => mult_125_G2_A_not_7_port);
mult_125_G2_AN1_6 : inv port map( inb => coefficient_mem_array_1_6_port,
outb => mult_125_G2_A_not_6_port);
mult_125_G2_AN1_5 : inv port map( inb => coefficient_mem_array_1_5_port,
outb => mult_125_G2_A_not_5_port);
mult_125_G2_AN1_4 : inv port map( inb => coefficient_mem_array_1_4_port,
outb => mult_125_G2_A_not_4_port);
mult_125_G2_AN1_3 : inv port map( inb => coefficient_mem_array_1_3_port,
outb => mult_125_G2_A_not_3_port);
mult_125_G2_AN1_2 : inv port map( inb => coefficient_mem_array_1_2_port,
outb => mult_125_G2_A_not_2_port);
mult_125_G2_AN1_1 : inv port map( inb => coefficient_mem_array_1_1_port,
outb => mult_125_G2_A_not_1_port);
mult_125_G2_AN1_0 : inv port map( inb => coefficient_mem_array_1_0_port,
outb => mult_125_G2_A_not_0_port);
mult_125_G2_AN1_15_0 : inv port map( inb => input_sample_mem_15_port, outb
=> mult_125_G2_B_not_15_port);
mult_125_G2_AN1_14_0 : inv port map( inb => input_sample_mem_14_port, outb
=> mult_125_G2_B_not_14_port);
mult_125_G2_AN1_13_0 : inv port map( inb => input_sample_mem_13_port, outb
=> mult_125_G2_B_not_13_port);
mult_125_G2_AN1_12_0 : inv port map( inb => input_sample_mem_12_port, outb
=> mult_125_G2_B_not_12_port);
mult_125_G2_AN1_11_0 : inv port map( inb => input_sample_mem_11_port, outb
=> mult_125_G2_B_not_11_port);
mult_125_G2_AN1_10_0 : inv port map( inb => input_sample_mem_10_port, outb
=> mult_125_G2_B_not_10_port);
mult_125_G2_AN1_9_0 : inv port map( inb => input_sample_mem_9_port, outb =>
mult_125_G2_B_not_9_port);
mult_125_G2_AN1_8_0 : inv port map( inb => input_sample_mem_8_port, outb =>
mult_125_G2_B_not_8_port);
mult_125_G2_AN1_7_0 : inv port map( inb => input_sample_mem_7_port, outb =>
mult_125_G2_B_not_7_port);
mult_125_G2_AN1_6_0 : inv port map( inb => input_sample_mem_6_port, outb =>
mult_125_G2_B_not_6_port);
mult_125_G2_AN1_5_0 : inv port map( inb => input_sample_mem_5_port, outb =>
mult_125_G2_B_not_5_port);
mult_125_G2_AN1_4_0 : inv port map( inb => input_sample_mem_4_port, outb =>
mult_125_G2_B_not_4_port);
mult_125_G2_AN1_3_0 : inv port map( inb => input_sample_mem_3_port, outb =>
mult_125_G2_B_not_3_port);
mult_125_G2_AN1_2_0 : inv port map( inb => input_sample_mem_2_port, outb =>
mult_125_G2_B_not_2_port);
mult_125_G2_AN1_1_0 : inv port map( inb => input_sample_mem_1_port, outb =>
mult_125_G2_B_not_1_port);
mult_125_G2_AN1_0_0 : inv port map( inb => input_sample_mem_0_port, outb =>
mult_125_G2_B_not_0_port);
mult_125_G2_AN1_15_15 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_15_15_port);
mult_125_G2_AN3_15_14 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_14_port, outb =>
mult_125_G2_ab_15_14_port);
mult_125_G2_AN3_15_13 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_13_port, outb =>
mult_125_G2_ab_15_13_port);
mult_125_G2_AN3_15_12 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_12_port, outb =>
mult_125_G2_ab_15_12_port);
mult_125_G2_AN3_15_11 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_11_port, outb =>
mult_125_G2_ab_15_11_port);
mult_125_G2_AN3_15_10 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_10_port, outb =>
mult_125_G2_ab_15_10_port);
mult_125_G2_AN3_15_9 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_9_port, outb =>
mult_125_G2_ab_15_9_port);
mult_125_G2_AN3_15_8 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_8_port, outb =>
mult_125_G2_ab_15_8_port);
mult_125_G2_AN3_15_7 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_7_port, outb =>
mult_125_G2_ab_15_7_port);
mult_125_G2_AN3_15_6 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_6_port, outb =>
mult_125_G2_ab_15_6_port);
mult_125_G2_AN3_15_5 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_5_port, outb =>
mult_125_G2_ab_15_5_port);
mult_125_G2_AN3_15_4 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_4_port, outb =>
mult_125_G2_ab_15_4_port);
mult_125_G2_AN3_15_3 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_3_port, outb =>
mult_125_G2_ab_15_3_port);
mult_125_G2_AN3_15_2 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_2_port, outb =>
mult_125_G2_ab_15_2_port);
mult_125_G2_AN3_15_1 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_1_port, outb =>
mult_125_G2_ab_15_1_port);
mult_125_G2_AN3_15_0 : nor2 port map( a => mult_125_G2_A_not_15_port, b =>
mult_125_G2_B_notx_0_port, outb =>
mult_125_G2_ab_15_0_port);
mult_125_G2_AN2_14_15 : nor2 port map( a => mult_125_G2_A_notx_14_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_14_15_port);
mult_125_G2_AN1_14_14 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_14_14_port);
mult_125_G2_AN1_14_13 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_14_13_port);
mult_125_G2_AN1_14_12 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_14_12_port);
mult_125_G2_AN1_14_11 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_14_11_port);
mult_125_G2_AN1_14_10 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_14_10_port);
mult_125_G2_AN1_14_9 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_14_9_port);
mult_125_G2_AN1_14_8 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_14_8_port);
mult_125_G2_AN1_14_7 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_14_7_port);
mult_125_G2_AN1_14_6 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_14_6_port);
mult_125_G2_AN1_14_5 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_14_5_port);
mult_125_G2_AN1_14_4 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_14_4_port);
mult_125_G2_AN1_14_3 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_14_3_port);
mult_125_G2_AN1_14_2 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_14_2_port);
mult_125_G2_AN1_14_1 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_14_1_port);
mult_125_G2_AN1_14_0_0 : nor2 port map( a => mult_125_G2_A_not_14_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_14_0_port);
mult_125_G2_AN2_13_15 : nor2 port map( a => mult_125_G2_A_notx_13_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_13_15_port);
mult_125_G2_AN1_13_14 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_13_14_port);
mult_125_G2_AN1_13_13 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_13_13_port);
mult_125_G2_AN1_13_12 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_13_12_port);
mult_125_G2_AN1_13_11 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_13_11_port);
mult_125_G2_AN1_13_10 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_13_10_port);
mult_125_G2_AN1_13_9 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_13_9_port);
mult_125_G2_AN1_13_8 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_13_8_port);
mult_125_G2_AN1_13_7 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_13_7_port);
mult_125_G2_AN1_13_6 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_13_6_port);
mult_125_G2_AN1_13_5 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_13_5_port);
mult_125_G2_AN1_13_4 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_13_4_port);
mult_125_G2_AN1_13_3 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_13_3_port);
mult_125_G2_AN1_13_2 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_13_2_port);
mult_125_G2_AN1_13_1 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_13_1_port);
mult_125_G2_AN1_13_0_0 : nor2 port map( a => mult_125_G2_A_not_13_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_13_0_port);
mult_125_G2_AN2_12_15 : nor2 port map( a => mult_125_G2_A_notx_12_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_12_15_port);
mult_125_G2_AN1_12_14 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_12_14_port);
mult_125_G2_AN1_12_13 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_12_13_port);
mult_125_G2_AN1_12_12 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_12_12_port);
mult_125_G2_AN1_12_11 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_12_11_port);
mult_125_G2_AN1_12_10 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_12_10_port);
mult_125_G2_AN1_12_9 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_12_9_port);
mult_125_G2_AN1_12_8 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_12_8_port);
mult_125_G2_AN1_12_7 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_12_7_port);
mult_125_G2_AN1_12_6 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_12_6_port);
mult_125_G2_AN1_12_5 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_12_5_port);
mult_125_G2_AN1_12_4 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_12_4_port);
mult_125_G2_AN1_12_3 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_12_3_port);
mult_125_G2_AN1_12_2 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_12_2_port);
mult_125_G2_AN1_12_1 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_12_1_port);
mult_125_G2_AN1_12_0_0 : nor2 port map( a => mult_125_G2_A_not_12_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_12_0_port);
mult_125_G2_AN2_11_15 : nor2 port map( a => mult_125_G2_A_notx_11_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_11_15_port);
mult_125_G2_AN1_11_14 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_11_14_port);
mult_125_G2_AN1_11_13 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_11_13_port);
mult_125_G2_AN1_11_12 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_11_12_port);
mult_125_G2_AN1_11_11 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_11_11_port);
mult_125_G2_AN1_11_10 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_11_10_port);
mult_125_G2_AN1_11_9 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_11_9_port);
mult_125_G2_AN1_11_8 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_11_8_port);
mult_125_G2_AN1_11_7 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_11_7_port);
mult_125_G2_AN1_11_6 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_11_6_port);
mult_125_G2_AN1_11_5 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_11_5_port);
mult_125_G2_AN1_11_4 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_11_4_port);
mult_125_G2_AN1_11_3 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_11_3_port);
mult_125_G2_AN1_11_2 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_11_2_port);
mult_125_G2_AN1_11_1 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_11_1_port);
mult_125_G2_AN1_11_0_0 : nor2 port map( a => mult_125_G2_A_not_11_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_11_0_port);
mult_125_G2_AN2_10_15 : nor2 port map( a => mult_125_G2_A_notx_10_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_10_15_port);
mult_125_G2_AN1_10_14 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_10_14_port);
mult_125_G2_AN1_10_13 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_10_13_port);
mult_125_G2_AN1_10_12 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_10_12_port);
mult_125_G2_AN1_10_11 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_10_11_port);
mult_125_G2_AN1_10_10 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_10_10_port);
mult_125_G2_AN1_10_9 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_10_9_port);
mult_125_G2_AN1_10_8 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_10_8_port);
mult_125_G2_AN1_10_7 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_10_7_port);
mult_125_G2_AN1_10_6 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_10_6_port);
mult_125_G2_AN1_10_5 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_10_5_port);
mult_125_G2_AN1_10_4 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_10_4_port);
mult_125_G2_AN1_10_3 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_10_3_port);
mult_125_G2_AN1_10_2 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_10_2_port);
mult_125_G2_AN1_10_1 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_10_1_port);
mult_125_G2_AN1_10_0_0 : nor2 port map( a => mult_125_G2_A_not_10_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_10_0_port);
mult_125_G2_AN2_9_15 : nor2 port map( a => mult_125_G2_A_notx_9_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_9_15_port);
mult_125_G2_AN1_9_14 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_9_14_port);
mult_125_G2_AN1_9_13 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_9_13_port);
mult_125_G2_AN1_9_12 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_9_12_port);
mult_125_G2_AN1_9_11 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_9_11_port);
mult_125_G2_AN1_9_10 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_9_10_port);
mult_125_G2_AN1_9_9 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_9_9_port);
mult_125_G2_AN1_9_8 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_9_8_port);
mult_125_G2_AN1_9_7 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_9_7_port);
mult_125_G2_AN1_9_6 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_9_6_port);
mult_125_G2_AN1_9_5 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_9_5_port);
mult_125_G2_AN1_9_4 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_9_4_port);
mult_125_G2_AN1_9_3 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_9_3_port);
mult_125_G2_AN1_9_2 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_9_2_port);
mult_125_G2_AN1_9_1 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_9_1_port);
mult_125_G2_AN1_9_0_0 : nor2 port map( a => mult_125_G2_A_not_9_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_9_0_port);
mult_125_G2_AN2_8_15 : nor2 port map( a => mult_125_G2_A_notx_8_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_8_15_port);
mult_125_G2_AN1_8_14 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_8_14_port);
mult_125_G2_AN1_8_13 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_8_13_port);
mult_125_G2_AN1_8_12 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_8_12_port);
mult_125_G2_AN1_8_11 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_8_11_port);
mult_125_G2_AN1_8_10 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_8_10_port);
mult_125_G2_AN1_8_9 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_8_9_port);
mult_125_G2_AN1_8_8 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_8_8_port);
mult_125_G2_AN1_8_7 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_8_7_port);
mult_125_G2_AN1_8_6 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_8_6_port);
mult_125_G2_AN1_8_5 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_8_5_port);
mult_125_G2_AN1_8_4 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_8_4_port);
mult_125_G2_AN1_8_3 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_8_3_port);
mult_125_G2_AN1_8_2 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_8_2_port);
mult_125_G2_AN1_8_1 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_8_1_port);
mult_125_G2_AN1_8_0_0 : nor2 port map( a => mult_125_G2_A_not_8_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_8_0_port);
mult_125_G2_AN2_7_15 : nor2 port map( a => mult_125_G2_A_notx_7_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_7_15_port);
mult_125_G2_AN1_7_14 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_7_14_port);
mult_125_G2_AN1_7_13 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_7_13_port);
mult_125_G2_AN1_7_12 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_7_12_port);
mult_125_G2_AN1_7_11 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_7_11_port);
mult_125_G2_AN1_7_10 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_7_10_port);
mult_125_G2_AN1_7_9 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_7_9_port);
mult_125_G2_AN1_7_8 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_7_8_port);
mult_125_G2_AN1_7_7 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_7_7_port);
mult_125_G2_AN1_7_6 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_7_6_port);
mult_125_G2_AN1_7_5 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_7_5_port);
mult_125_G2_AN1_7_4 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_7_4_port);
mult_125_G2_AN1_7_3 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_7_3_port);
mult_125_G2_AN1_7_2 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_7_2_port);
mult_125_G2_AN1_7_1 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_7_1_port);
mult_125_G2_AN1_7_0_0 : nor2 port map( a => mult_125_G2_A_not_7_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_7_0_port);
mult_125_G2_AN2_6_15 : nor2 port map( a => mult_125_G2_A_notx_6_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_6_15_port);
mult_125_G2_AN1_6_14 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_6_14_port);
mult_125_G2_AN1_6_13 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_6_13_port);
mult_125_G2_AN1_6_12 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_6_12_port);
mult_125_G2_AN1_6_11 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_6_11_port);
mult_125_G2_AN1_6_10 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_6_10_port);
mult_125_G2_AN1_6_9 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_6_9_port);
mult_125_G2_AN1_6_8 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_6_8_port);
mult_125_G2_AN1_6_7 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_6_7_port);
mult_125_G2_AN1_6_6 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_6_6_port);
mult_125_G2_AN1_6_5 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_6_5_port);
mult_125_G2_AN1_6_4 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_6_4_port);
mult_125_G2_AN1_6_3 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_6_3_port);
mult_125_G2_AN1_6_2 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_6_2_port);
mult_125_G2_AN1_6_1 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_6_1_port);
mult_125_G2_AN1_6_0_0 : nor2 port map( a => mult_125_G2_A_not_6_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_6_0_port);
mult_125_G2_AN2_5_15 : nor2 port map( a => mult_125_G2_A_notx_5_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_5_15_port);
mult_125_G2_AN1_5_14 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_5_14_port);
mult_125_G2_AN1_5_13 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_5_13_port);
mult_125_G2_AN1_5_12 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_5_12_port);
mult_125_G2_AN1_5_11 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_5_11_port);
mult_125_G2_AN1_5_10 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_5_10_port);
mult_125_G2_AN1_5_9 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_5_9_port);
mult_125_G2_AN1_5_8 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_5_8_port);
mult_125_G2_AN1_5_7 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_5_7_port);
mult_125_G2_AN1_5_6 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_5_6_port);
mult_125_G2_AN1_5_5 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_5_5_port);
mult_125_G2_AN1_5_4 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_5_4_port);
mult_125_G2_AN1_5_3 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_5_3_port);
mult_125_G2_AN1_5_2 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_5_2_port);
mult_125_G2_AN1_5_1 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_5_1_port);
mult_125_G2_AN1_5_0_0 : nor2 port map( a => mult_125_G2_A_not_5_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_5_0_port);
mult_125_G2_AN2_4_15 : nor2 port map( a => mult_125_G2_A_notx_4_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_4_15_port);
mult_125_G2_AN1_4_14 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_4_14_port);
mult_125_G2_AN1_4_13 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_4_13_port);
mult_125_G2_AN1_4_12 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_4_12_port);
mult_125_G2_AN1_4_11 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_4_11_port);
mult_125_G2_AN1_4_10 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_4_10_port);
mult_125_G2_AN1_4_9 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_4_9_port);
mult_125_G2_AN1_4_8 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_4_8_port);
mult_125_G2_AN1_4_7 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_4_7_port);
mult_125_G2_AN1_4_6 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_4_6_port);
mult_125_G2_AN1_4_5 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_4_5_port);
mult_125_G2_AN1_4_4 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_4_4_port);
mult_125_G2_AN1_4_3 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_4_3_port);
mult_125_G2_AN1_4_2 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_4_2_port);
mult_125_G2_AN1_4_1 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_4_1_port);
mult_125_G2_AN1_4_0_0 : nor2 port map( a => mult_125_G2_A_not_4_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_4_0_port);
mult_125_G2_AN2_3_15 : nor2 port map( a => mult_125_G2_A_notx_3_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_3_15_port);
mult_125_G2_AN1_3_14 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_3_14_port);
mult_125_G2_AN1_3_13 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_3_13_port);
mult_125_G2_AN1_3_12 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_3_12_port);
mult_125_G2_AN1_3_11 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_3_11_port);
mult_125_G2_AN1_3_10 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_3_10_port);
mult_125_G2_AN1_3_9 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_3_9_port);
mult_125_G2_AN1_3_8 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_3_8_port);
mult_125_G2_AN1_3_7 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_3_7_port);
mult_125_G2_AN1_3_6 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_3_6_port);
mult_125_G2_AN1_3_5 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_3_5_port);
mult_125_G2_AN1_3_4 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_3_4_port);
mult_125_G2_AN1_3_3 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_3_3_port);
mult_125_G2_AN1_3_2 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_3_2_port);
mult_125_G2_AN1_3_1 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_3_1_port);
mult_125_G2_AN1_3_0_0 : nor2 port map( a => mult_125_G2_A_not_3_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_3_0_port);
mult_125_G2_AN2_2_15 : nor2 port map( a => mult_125_G2_A_notx_2_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_2_15_port);
mult_125_G2_AN1_2_14 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_2_14_port);
mult_125_G2_AN1_2_13 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_2_13_port);
mult_125_G2_AN1_2_12 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_2_12_port);
mult_125_G2_AN1_2_11 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_2_11_port);
mult_125_G2_AN1_2_10 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_2_10_port);
mult_125_G2_AN1_2_9 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_2_9_port);
mult_125_G2_AN1_2_8 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_2_8_port);
mult_125_G2_AN1_2_7 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_2_7_port);
mult_125_G2_AN1_2_6 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_2_6_port);
mult_125_G2_AN1_2_5 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_2_5_port);
mult_125_G2_AN1_2_4 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_2_4_port);
mult_125_G2_AN1_2_3 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_2_3_port);
mult_125_G2_AN1_2_2 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_2_2_port);
mult_125_G2_AN1_2_1 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_2_1_port);
mult_125_G2_AN1_2_0_0 : nor2 port map( a => mult_125_G2_A_not_2_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_2_0_port);
mult_125_G2_AN2_1_15 : nor2 port map( a => mult_125_G2_A_notx_1_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_1_15_port);
mult_125_G2_AN1_1_14 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_1_14_port);
mult_125_G2_AN1_1_13 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_1_13_port);
mult_125_G2_AN1_1_12 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_1_12_port);
mult_125_G2_AN1_1_11 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_1_11_port);
mult_125_G2_AN1_1_10 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_1_10_port);
mult_125_G2_AN1_1_9 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_1_9_port);
mult_125_G2_AN1_1_8 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_1_8_port);
mult_125_G2_AN1_1_7 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_1_7_port);
mult_125_G2_AN1_1_6 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_1_6_port);
mult_125_G2_AN1_1_5 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_1_5_port);
mult_125_G2_AN1_1_4 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_1_4_port);
mult_125_G2_AN1_1_3 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_1_3_port);
mult_125_G2_AN1_1_2 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_1_2_port);
mult_125_G2_AN1_1_1 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_1_1_port);
mult_125_G2_AN1_1_0_0 : nor2 port map( a => mult_125_G2_A_not_1_port, b =>
mult_125_G2_B_not_0_port, outb =>
mult_125_G2_ab_1_0_port);
mult_125_G2_AN2_0_15 : nor2 port map( a => mult_125_G2_A_notx_0_port, b =>
mult_125_G2_B_not_15_port, outb =>
mult_125_G2_ab_0_15_port);
mult_125_G2_AN1_0_14 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_14_port, outb =>
mult_125_G2_ab_0_14_port);
mult_125_G2_AN1_0_13 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_13_port, outb =>
mult_125_G2_ab_0_13_port);
mult_125_G2_AN1_0_12 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_12_port, outb =>
mult_125_G2_ab_0_12_port);
mult_125_G2_AN1_0_11 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_11_port, outb =>
mult_125_G2_ab_0_11_port);
mult_125_G2_AN1_0_10 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_10_port, outb =>
mult_125_G2_ab_0_10_port);
mult_125_G2_AN1_0_9 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_9_port, outb =>
mult_125_G2_ab_0_9_port);
mult_125_G2_AN1_0_8 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_8_port, outb =>
mult_125_G2_ab_0_8_port);
mult_125_G2_AN1_0_7 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_7_port, outb =>
mult_125_G2_ab_0_7_port);
mult_125_G2_AN1_0_6 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_6_port, outb =>
mult_125_G2_ab_0_6_port);
mult_125_G2_AN1_0_5 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_5_port, outb =>
mult_125_G2_ab_0_5_port);
mult_125_G2_AN1_0_4 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_4_port, outb =>
mult_125_G2_ab_0_4_port);
mult_125_G2_AN1_0_3 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_3_port, outb =>
mult_125_G2_ab_0_3_port);
mult_125_G2_AN1_0_2 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_2_port, outb =>
mult_125_G2_ab_0_2_port);
mult_125_G2_AN1_0_1 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_1_port, outb =>
mult_125_G2_ab_0_1_port);
mult_125_G2_AN1_0_0_0 : nor2 port map( a => mult_125_G2_A_not_0_port, b =>
mult_125_G2_B_not_0_port, outb =>
multiplier_sigs_1_0_port);
mult_125_FS_1_U6_1_1_3 : oai12 port map( b => n5782, c => n5783, a => n5784,
outb => mult_125_FS_1_C_1_7_0_port);
mult_125_FS_1_U6_1_1_2 : oai12 port map( b => n5779, c => n5780, a => n5781,
outb => mult_125_FS_1_C_1_6_0_port);
mult_125_FS_1_U6_1_1_1 : oai12 port map( b => n5776, c => n5777, a => n5778,
outb => mult_125_FS_1_C_1_5_0_port);
mult_125_FS_1_U6_0_7_1 : oai12 port map( b => n5773, c => n5774, a =>
mult_125_FS_1_G_n_int_0_7_0_port, outb =>
mult_125_FS_1_C_1_7_1_port);
mult_125_FS_1_U3_C_0_7_1 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_7_1_port, b =>
mult_125_FS_1_C_1_7_1_port, outb =>
multiplier_sigs_0_31_port);
mult_125_FS_1_U3_B_0_7_1 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_7_1_port, b =>
mult_125_FS_1_P_0_7_1_port, outb => n5772);
mult_125_FS_1_U2_0_7_1 : nand2 port map( a => mult_125_A1_29_port, b =>
mult_125_A2_29_port, outb =>
mult_125_FS_1_G_n_int_0_7_1_port);
mult_125_FS_1_U1_0_7_1 : nand2 port map( a => n5770, b => n5771, outb =>
mult_125_FS_1_P_0_7_1_port);
mult_125_FS_1_U3_C_0_7_0 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_7_0_port, b =>
mult_125_FS_1_C_1_7_0_port, outb =>
multiplier_sigs_0_30_port);
mult_125_FS_1_U3_B_0_7_0 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_7_0_port, b =>
mult_125_FS_1_TEMP_P_0_7_0_port, outb => n5769);
mult_125_FS_1_U2_0_7_0 : nand2 port map( a => mult_125_A1_28_port, b =>
mult_125_A2_28_port, outb =>
mult_125_FS_1_G_n_int_0_7_0_port);
mult_125_FS_1_U1_0_7_0 : nand2 port map( a => n5767, b => n5768, outb =>
mult_125_FS_1_TEMP_P_0_7_0_port);
mult_125_FS_1_U6_0_6_3 : oai12 port map( b => n5765, c => n5766, a =>
mult_125_FS_1_G_n_int_0_6_2_port, outb =>
mult_125_FS_1_C_1_6_3_port);
mult_125_FS_1_U5_0_6_3 : oai12 port map( b => n5763, c => n5764, a =>
mult_125_FS_1_G_n_int_0_6_3_port, outb =>
mult_125_FS_1_G_1_1_2_port);
mult_125_FS_1_U4_0_6_3 : nand2 port map( a =>
mult_125_FS_1_TEMP_P_0_6_2_port, b =>
mult_125_FS_1_P_0_6_3_port, outb => n5783);
mult_125_FS_1_U3_C_0_6_3 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_6_3_port, b =>
mult_125_FS_1_C_1_6_3_port, outb =>
multiplier_sigs_0_29_port);
mult_125_FS_1_U3_B_0_6_3 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_6_3_port, b =>
mult_125_FS_1_P_0_6_3_port, outb => n5762);
mult_125_FS_1_U2_0_6_3 : nand2 port map( a => mult_125_A1_27_port, b =>
mult_125_A2_27_port, outb =>
mult_125_FS_1_G_n_int_0_6_3_port);
mult_125_FS_1_U1_0_6_3 : nand2 port map( a => n5760, b => n5761, outb =>
mult_125_FS_1_P_0_6_3_port);
mult_125_FS_1_U6_0_6_2 : oai12 port map( b => n5758, c => n5759, a =>
mult_125_FS_1_G_n_int_0_6_1_port, outb =>
mult_125_FS_1_C_1_6_2_port);
mult_125_FS_1_U5_0_6_2 : oai12 port map( b => n5757, c => n5766, a =>
mult_125_FS_1_G_n_int_0_6_2_port, outb =>
mult_125_FS_1_TEMP_G_0_6_2_port);
mult_125_FS_1_U4_0_6_2 : nand2 port map( a =>
mult_125_FS_1_TEMP_P_0_6_1_port, b =>
mult_125_FS_1_P_0_6_2_port, outb => n5756);
mult_125_FS_1_U3_C_0_6_2 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_6_2_port, b =>
mult_125_FS_1_C_1_6_2_port, outb =>
multiplier_sigs_0_28_port);
mult_125_FS_1_U3_B_0_6_2 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_6_2_port, b =>
mult_125_FS_1_P_0_6_2_port, outb => n5755);
mult_125_FS_1_U2_0_6_2 : nand2 port map( a => mult_125_A1_26_port, b =>
mult_125_A2_26_port, outb =>
mult_125_FS_1_G_n_int_0_6_2_port);
mult_125_FS_1_U1_0_6_2 : nand2 port map( a => n5753, b => n5754, outb =>
mult_125_FS_1_P_0_6_2_port);
mult_125_FS_1_U6_0_6_1 : oai12 port map( b => n5782, c => n5752, a =>
mult_125_FS_1_G_n_int_0_6_0_port, outb =>
mult_125_FS_1_C_1_6_1_port);
mult_125_FS_1_U5_0_6_1 : oai12 port map( b =>
mult_125_FS_1_G_n_int_0_6_0_port, c => n5759, a =>
mult_125_FS_1_G_n_int_0_6_1_port, outb =>
mult_125_FS_1_TEMP_G_0_6_1_port);
mult_125_FS_1_U4_0_6_1 : nand2 port map( a =>
mult_125_FS_1_TEMP_P_0_6_0_port, b =>
mult_125_FS_1_P_0_6_1_port, outb => n5751);
mult_125_FS_1_U3_C_0_6_1 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_6_1_port, b =>
mult_125_FS_1_C_1_6_1_port, outb =>
multiplier_sigs_0_27_port);
mult_125_FS_1_U3_B_0_6_1 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_6_1_port, b =>
mult_125_FS_1_P_0_6_1_port, outb => n5750);
mult_125_FS_1_U2_0_6_1 : nand2 port map( a => mult_125_A1_25_port, b =>
mult_125_A2_25_port, outb =>
mult_125_FS_1_G_n_int_0_6_1_port);
mult_125_FS_1_U1_0_6_1 : nand2 port map( a => n5748, b => n5749, outb =>
mult_125_FS_1_P_0_6_1_port);
mult_125_FS_1_U3_C_0_6_0 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_6_0_port, b =>
mult_125_FS_1_C_1_6_0_port, outb =>
multiplier_sigs_0_26_port);
mult_125_FS_1_U3_B_0_6_0 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_6_0_port, b =>
mult_125_FS_1_TEMP_P_0_6_0_port, outb => n5747);
mult_125_FS_1_U2_0_6_0 : nand2 port map( a => mult_125_A1_24_port, b =>
mult_125_A2_24_port, outb =>
mult_125_FS_1_G_n_int_0_6_0_port);
mult_125_FS_1_U1_0_6_0 : nand2 port map( a => n5745, b => n5746, outb =>
mult_125_FS_1_TEMP_P_0_6_0_port);
mult_125_FS_1_U6_0_5_3 : oai12 port map( b => n5743, c => n5744, a =>
mult_125_FS_1_G_n_int_0_5_2_port, outb =>
mult_125_FS_1_C_1_5_3_port);
mult_125_FS_1_U5_0_5_3 : oai12 port map( b => n5741, c => n5742, a =>
mult_125_FS_1_G_n_int_0_5_3_port, outb =>
mult_125_FS_1_G_1_1_1_port);
mult_125_FS_1_U4_0_5_3 : nand2 port map( a =>
mult_125_FS_1_TEMP_P_0_5_2_port, b =>
mult_125_FS_1_P_0_5_3_port, outb => n5780);
mult_125_FS_1_U3_C_0_5_3 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_5_3_port, b =>
mult_125_FS_1_C_1_5_3_port, outb =>
multiplier_sigs_0_25_port);
mult_125_FS_1_U3_B_0_5_3 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_5_3_port, b =>
mult_125_FS_1_P_0_5_3_port, outb => n5740);
mult_125_FS_1_U2_0_5_3 : nand2 port map( a => mult_125_A1_23_port, b =>
mult_125_A2_23_port, outb =>
mult_125_FS_1_G_n_int_0_5_3_port);
mult_125_FS_1_U1_0_5_3 : nand2 port map( a => n5738, b => n5739, outb =>
mult_125_FS_1_P_0_5_3_port);
mult_125_FS_1_U6_0_5_2 : oai12 port map( b => n5736, c => n5737, a =>
mult_125_FS_1_G_n_int_0_5_1_port, outb =>
mult_125_FS_1_C_1_5_2_port);
mult_125_FS_1_U5_0_5_2 : oai12 port map( b => n5735, c => n5744, a =>
mult_125_FS_1_G_n_int_0_5_2_port, outb =>
mult_125_FS_1_TEMP_G_0_5_2_port);
mult_125_FS_1_U4_0_5_2 : nand2 port map( a =>
mult_125_FS_1_TEMP_P_0_5_1_port, b =>
mult_125_FS_1_P_0_5_2_port, outb => n5734);
mult_125_FS_1_U3_C_0_5_2 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_5_2_port, b =>
mult_125_FS_1_C_1_5_2_port, outb =>
multiplier_sigs_0_24_port);
mult_125_FS_1_U3_B_0_5_2 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_5_2_port, b =>
mult_125_FS_1_P_0_5_2_port, outb => n5733);
mult_125_FS_1_U2_0_5_2 : nand2 port map( a => mult_125_A1_22_port, b =>
mult_125_A2_22_port, outb =>
mult_125_FS_1_G_n_int_0_5_2_port);
mult_125_FS_1_U1_0_5_2 : nand2 port map( a => n5731, b => n5732, outb =>
mult_125_FS_1_P_0_5_2_port);
mult_125_FS_1_U6_0_5_1 : oai12 port map( b => n5779, c => n5730, a =>
mult_125_FS_1_G_n_int_0_5_0_port, outb =>
mult_125_FS_1_C_1_5_1_port);
mult_125_FS_1_U5_0_5_1 : oai12 port map( b =>
mult_125_FS_1_G_n_int_0_5_0_port, c => n5737, a =>
mult_125_FS_1_G_n_int_0_5_1_port, outb =>
mult_125_FS_1_TEMP_G_0_5_1_port);
mult_125_FS_1_U4_0_5_1 : nand2 port map( a =>
mult_125_FS_1_TEMP_P_0_5_0_port, b =>
mult_125_FS_1_P_0_5_1_port, outb => n5729);
mult_125_FS_1_U3_C_0_5_1 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_5_1_port, b =>
mult_125_FS_1_C_1_5_1_port, outb =>
multiplier_sigs_0_23_port);
mult_125_FS_1_U3_B_0_5_1 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_5_1_port, b =>
mult_125_FS_1_P_0_5_1_port, outb => n5728);
mult_125_FS_1_U2_0_5_1 : nand2 port map( a => mult_125_A1_21_port, b =>
mult_125_A2_21_port, outb =>
mult_125_FS_1_G_n_int_0_5_1_port);
mult_125_FS_1_U1_0_5_1 : nand2 port map( a => n5726, b => n5727, outb =>
mult_125_FS_1_P_0_5_1_port);
mult_125_FS_1_U3_C_0_5_0 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_5_0_port, b =>
mult_125_FS_1_C_1_5_0_port, outb =>
multiplier_sigs_0_22_port);
mult_125_FS_1_U3_B_0_5_0 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_5_0_port, b =>
mult_125_FS_1_TEMP_P_0_5_0_port, outb => n5725);
mult_125_FS_1_U2_0_5_0 : nand2 port map( a => mult_125_A1_20_port, b =>
mult_125_A2_20_port, outb =>
mult_125_FS_1_G_n_int_0_5_0_port);
mult_125_FS_1_U1_0_5_0 : nand2 port map( a => n5723, b => n5724, outb =>
mult_125_FS_1_TEMP_P_0_5_0_port);
mult_125_FS_1_U6_0_4_3 : oai12 port map( b => n5721, c => n5722, a =>
mult_125_FS_1_G_n_int_0_4_2_port, outb =>
mult_125_FS_1_C_1_4_3_port);
mult_125_FS_1_U5_0_4_3 : oai12 port map( b => n5719, c => n5720, a =>
mult_125_FS_1_G_n_int_0_4_3_port, outb =>
mult_125_FS_1_G_1_1_0_port);
mult_125_FS_1_U4_0_4_3 : nand2 port map( a =>
mult_125_FS_1_TEMP_P_0_4_2_port, b =>
mult_125_FS_1_P_0_4_3_port, outb => n5777);
mult_125_FS_1_U3_C_0_4_3 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_4_3_port, b =>
mult_125_FS_1_C_1_4_3_port, outb =>
multiplier_sigs_0_21_port);
mult_125_FS_1_U3_B_0_4_3 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_4_3_port, b =>
mult_125_FS_1_P_0_4_3_port, outb => n5718);
mult_125_FS_1_U2_0_4_3 : nand2 port map( a => mult_125_A1_19_port, b =>
mult_125_A2_19_port, outb =>
mult_125_FS_1_G_n_int_0_4_3_port);
mult_125_FS_1_U1_0_4_3 : nand2 port map( a => n5716, b => n5717, outb =>
mult_125_FS_1_P_0_4_3_port);
mult_125_FS_1_U6_0_4_2 : oai12 port map( b => n5714, c => n5715, a =>
mult_125_FS_1_G_n_int_0_4_1_port, outb =>
mult_125_FS_1_C_1_4_2_port);
mult_125_FS_1_U5_0_4_2 : oai12 port map( b => n5713, c => n5722, a =>
mult_125_FS_1_G_n_int_0_4_2_port, outb =>
mult_125_FS_1_TEMP_G_0_4_2_port);
mult_125_FS_1_U4_0_4_2 : nand2 port map( a =>
mult_125_FS_1_TEMP_P_0_4_1_port, b =>
mult_125_FS_1_P_0_4_2_port, outb => n5712);
mult_125_FS_1_U3_C_0_4_2 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_4_2_port, b =>
mult_125_FS_1_C_1_4_2_port, outb =>
multiplier_sigs_0_20_port);
mult_125_FS_1_U3_B_0_4_2 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_4_2_port, b =>
mult_125_FS_1_P_0_4_2_port, outb => n5711);
mult_125_FS_1_U2_0_4_2 : nand2 port map( a => mult_125_A1_18_port, b =>
mult_125_A2_18_port, outb =>
mult_125_FS_1_G_n_int_0_4_2_port);
mult_125_FS_1_U1_0_4_2 : nand2 port map( a => n5709, b => n5710, outb =>
mult_125_FS_1_P_0_4_2_port);
mult_125_FS_1_U6_0_4_1 : oai12 port map( b => n5776, c => n5708, a =>
mult_125_FS_1_G_n_int_0_4_0_port, outb =>
mult_125_FS_1_C_1_4_1_port);
mult_125_FS_1_U5_0_4_1 : oai12 port map( b =>
mult_125_FS_1_G_n_int_0_4_0_port, c => n5715, a =>
mult_125_FS_1_G_n_int_0_4_1_port, outb =>
mult_125_FS_1_TEMP_G_0_4_1_port);
mult_125_FS_1_U4_0_4_1 : nand2 port map( a =>
mult_125_FS_1_TEMP_P_0_4_0_port, b =>
mult_125_FS_1_P_0_4_1_port, outb => n5707);
mult_125_FS_1_U3_C_0_4_1 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_4_1_port, b =>
mult_125_FS_1_C_1_4_1_port, outb =>
multiplier_sigs_0_19_port);
mult_125_FS_1_U3_B_0_4_1 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_4_1_port, b =>
mult_125_FS_1_P_0_4_1_port, outb => n5706);
mult_125_FS_1_U2_0_4_1 : nand2 port map( a => mult_125_A1_17_port, b =>
mult_125_A2_17_port, outb =>
mult_125_FS_1_G_n_int_0_4_1_port);
mult_125_FS_1_U1_0_4_1 : nand2 port map( a => n5704, b => n5705, outb =>
mult_125_FS_1_P_0_4_1_port);
mult_125_FS_1_U3_C_0_4_0 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_4_0_port, b =>
mult_125_FS_1_C_1_4_0_port, outb =>
multiplier_sigs_0_18_port);
mult_125_FS_1_U3_B_0_4_0 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_4_0_port, b =>
mult_125_FS_1_TEMP_P_0_4_0_port, outb => n5703);
mult_125_FS_1_U2_0_4_0 : nand2 port map( a => mult_125_A1_16_port, b =>
mult_125_A2_16_port, outb =>
mult_125_FS_1_G_n_int_0_4_0_port);
mult_125_FS_1_U1_0_4_0 : nand2 port map( a => n5701, b => n5702, outb =>
mult_125_FS_1_TEMP_P_0_4_0_port);
mult_125_FS_1_U5_0_3_3 : oai12 port map( b => n5699, c => n5700, a =>
mult_125_FS_1_G_n_int_0_3_3_port, outb =>
mult_125_FS_1_G_1_0_3_port);
mult_125_FS_1_U3_C_0_3_3 : xor2 port map( a =>
mult_125_FS_1_PG_int_0_3_3_port, b =>
mult_125_FS_1_C_1_3_3_port, outb =>
multiplier_sigs_0_17_port);
mult_125_FS_1_U3_B_0_3_3 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_3_3_port, b =>
mult_125_FS_1_P_0_3_3_port, outb => n5698);
mult_125_FS_1_U2_0_3_3 : nand2 port map( a => mult_125_A1_15_port, b =>
mult_125_A2_15_port, outb =>
mult_125_FS_1_G_n_int_0_3_3_port);
mult_125_FS_1_U1_0_3_3 : nand2 port map( a => n5696, b => n5697, outb =>
mult_125_FS_1_P_0_3_3_port);
mult_125_FS_1_U3_B_0_3_2 : nand2 port map( a =>
mult_125_FS_1_G_n_int_0_3_2_port, b =>
mult_125_FS_1_P_0_3_2_port, outb => n5695);
mult_125_FS_1_U2_0_3_2 : nand2 port map( a => mult_125_A1_14_port, b =>
mult_125_A2_14_port, outb =>
mult_125_FS_1_G_n_int_0_3_2_port);
mult_125_FS_1_U1_0_3_2 : nand2 port map( a => n5693, b => n5694, outb =>
mult_125_FS_1_P_0_3_2_port);
mult_125_AN1_15 : inv port map( inb => coefficient_mem_array_0_15_port, outb
=> mult_125_A_not_15_port);
mult_125_AN1_14 : inv port map( inb => coefficient_mem_array_0_14_port, outb
=> mult_125_A_not_14_port);
mult_125_AN1_13 : inv port map( inb => coefficient_mem_array_0_13_port, outb
=> mult_125_A_not_13_port);
mult_125_AN1_12 : inv port map( inb => coefficient_mem_array_0_12_port, outb
=> mult_125_A_not_12_port);
mult_125_AN1_11 : inv port map( inb => coefficient_mem_array_0_11_port, outb
=> mult_125_A_not_11_port);
mult_125_AN1_10 : inv port map( inb => coefficient_mem_array_0_10_port, outb
=> mult_125_A_not_10_port);
mult_125_AN1_9 : inv port map( inb => coefficient_mem_array_0_9_port, outb
=> mult_125_A_not_9_port);
mult_125_AN1_8 : inv port map( inb => coefficient_mem_array_0_8_port, outb
=> mult_125_A_not_8_port);
mult_125_AN1_7 : inv port map( inb => coefficient_mem_array_0_7_port, outb
=> mult_125_A_not_7_port);
mult_125_AN1_6 : inv port map( inb => coefficient_mem_array_0_6_port, outb
=> mult_125_A_not_6_port);
mult_125_AN1_5 : inv port map( inb => coefficient_mem_array_0_5_port, outb
=> mult_125_A_not_5_port);
mult_125_AN1_4 : inv port map( inb => coefficient_mem_array_0_4_port, outb
=> mult_125_A_not_4_port);
mult_125_AN1_3 : inv port map( inb => coefficient_mem_array_0_3_port, outb
=> mult_125_A_not_3_port);
mult_125_AN1_2 : inv port map( inb => coefficient_mem_array_0_2_port, outb
=> mult_125_A_not_2_port);
mult_125_AN1_1 : inv port map( inb => coefficient_mem_array_0_1_port, outb
=> mult_125_A_not_1_port);
mult_125_AN1_0 : inv port map( inb => coefficient_mem_array_0_0_port, outb
=> mult_125_A_not_0_port);
mult_125_AN1_15_0 : inv port map( inb => input_sample_mem_15_port, outb =>
mult_125_B_not_15_port);
mult_125_AN1_14_0 : inv port map( inb => input_sample_mem_14_port, outb =>
mult_125_B_not_14_port);
mult_125_AN1_13_0 : inv port map( inb => input_sample_mem_13_port, outb =>
mult_125_B_not_13_port);
mult_125_AN1_12_0 : inv port map( inb => input_sample_mem_12_port, outb =>
mult_125_B_not_12_port);
mult_125_AN1_11_0 : inv port map( inb => input_sample_mem_11_port, outb =>
mult_125_B_not_11_port);
mult_125_AN1_10_0 : inv port map( inb => input_sample_mem_10_port, outb =>
mult_125_B_not_10_port);
mult_125_AN1_9_0 : inv port map( inb => input_sample_mem_9_port, outb =>
mult_125_B_not_9_port);
mult_125_AN1_8_0 : inv port map( inb => input_sample_mem_8_port, outb =>
mult_125_B_not_8_port);
mult_125_AN1_7_0 : inv port map( inb => input_sample_mem_7_port, outb =>
mult_125_B_not_7_port);
mult_125_AN1_6_0 : inv port map( inb => input_sample_mem_6_port, outb =>
mult_125_B_not_6_port);
mult_125_AN1_5_0 : inv port map( inb => input_sample_mem_5_port, outb =>
mult_125_B_not_5_port);
mult_125_AN1_4_0 : inv port map( inb => input_sample_mem_4_port, outb =>
mult_125_B_not_4_port);
mult_125_AN1_3_0 : inv port map( inb => input_sample_mem_3_port, outb =>
mult_125_B_not_3_port);
mult_125_AN1_2_0 : inv port map( inb => input_sample_mem_2_port, outb =>
mult_125_B_not_2_port);
mult_125_AN1_1_0 : inv port map( inb => input_sample_mem_1_port, outb =>
mult_125_B_not_1_port);
mult_125_AN1_0_0 : inv port map( inb => input_sample_mem_0_port, outb =>
mult_125_B_not_0_port);
mult_125_AN1_15_15 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_15_15_port);
mult_125_AN3_15_14 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_14_port, outb =>
mult_125_ab_15_14_port);
mult_125_AN3_15_13 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_13_port, outb =>
mult_125_ab_15_13_port);
mult_125_AN3_15_12 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_12_port, outb =>
mult_125_ab_15_12_port);
mult_125_AN3_15_11 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_11_port, outb =>
mult_125_ab_15_11_port);
mult_125_AN3_15_10 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_10_port, outb =>
mult_125_ab_15_10_port);
mult_125_AN3_15_9 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_9_port, outb =>
mult_125_ab_15_9_port);
mult_125_AN3_15_8 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_8_port, outb =>
mult_125_ab_15_8_port);
mult_125_AN3_15_7 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_7_port, outb =>
mult_125_ab_15_7_port);
mult_125_AN3_15_6 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_6_port, outb =>
mult_125_ab_15_6_port);
mult_125_AN3_15_5 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_5_port, outb =>
mult_125_ab_15_5_port);
mult_125_AN3_15_4 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_4_port, outb =>
mult_125_ab_15_4_port);
mult_125_AN3_15_3 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_3_port, outb =>
mult_125_ab_15_3_port);
mult_125_AN3_15_2 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_2_port, outb =>
mult_125_ab_15_2_port);
mult_125_AN3_15_1 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_1_port, outb =>
mult_125_ab_15_1_port);
mult_125_AN3_15_0 : nor2 port map( a => mult_125_A_not_15_port, b =>
mult_125_B_notx_0_port, outb =>
mult_125_ab_15_0_port);
mult_125_AN2_14_15 : nor2 port map( a => mult_125_A_notx_14_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_14_15_port);
mult_125_AN1_14_14 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_14_14_port);
mult_125_AN1_14_13 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_14_13_port);
mult_125_AN1_14_12 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_14_12_port);
mult_125_AN1_14_11 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_14_11_port);
mult_125_AN1_14_10 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_14_10_port);
mult_125_AN1_14_9 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_14_9_port
);
mult_125_AN1_14_8 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_14_8_port
);
mult_125_AN1_14_7 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_14_7_port
);
mult_125_AN1_14_6 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_14_6_port
);
mult_125_AN1_14_5 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_14_5_port
);
mult_125_AN1_14_4 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_14_4_port
);
mult_125_AN1_14_3 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_14_3_port
);
mult_125_AN1_14_2 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_14_2_port
);
mult_125_AN1_14_1 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_14_1_port
);
mult_125_AN1_14_0_0 : nor2 port map( a => mult_125_A_not_14_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_14_0_port
);
mult_125_AN2_13_15 : nor2 port map( a => mult_125_A_notx_13_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_13_15_port);
mult_125_AN1_13_14 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_13_14_port);
mult_125_AN1_13_13 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_13_13_port);
mult_125_AN1_13_12 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_13_12_port);
mult_125_AN1_13_11 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_13_11_port);
mult_125_AN1_13_10 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_13_10_port);
mult_125_AN1_13_9 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_13_9_port
);
mult_125_AN1_13_8 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_13_8_port
);
mult_125_AN1_13_7 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_13_7_port
);
mult_125_AN1_13_6 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_13_6_port
);
mult_125_AN1_13_5 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_13_5_port
);
mult_125_AN1_13_4 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_13_4_port
);
mult_125_AN1_13_3 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_13_3_port
);
mult_125_AN1_13_2 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_13_2_port
);
mult_125_AN1_13_1 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_13_1_port
);
mult_125_AN1_13_0_0 : nor2 port map( a => mult_125_A_not_13_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_13_0_port
);
mult_125_AN2_12_15 : nor2 port map( a => mult_125_A_notx_12_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_12_15_port);
mult_125_AN1_12_14 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_12_14_port);
mult_125_AN1_12_13 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_12_13_port);
mult_125_AN1_12_12 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_12_12_port);
mult_125_AN1_12_11 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_12_11_port);
mult_125_AN1_12_10 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_12_10_port);
mult_125_AN1_12_9 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_12_9_port
);
mult_125_AN1_12_8 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_12_8_port
);
mult_125_AN1_12_7 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_12_7_port
);
mult_125_AN1_12_6 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_12_6_port
);
mult_125_AN1_12_5 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_12_5_port
);
mult_125_AN1_12_4 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_12_4_port
);
mult_125_AN1_12_3 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_12_3_port
);
mult_125_AN1_12_2 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_12_2_port
);
mult_125_AN1_12_1 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_12_1_port
);
mult_125_AN1_12_0_0 : nor2 port map( a => mult_125_A_not_12_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_12_0_port
);
mult_125_AN2_11_15 : nor2 port map( a => mult_125_A_notx_11_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_11_15_port);
mult_125_AN1_11_14 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_11_14_port);
mult_125_AN1_11_13 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_11_13_port);
mult_125_AN1_11_12 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_11_12_port);
mult_125_AN1_11_11 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_11_11_port);
mult_125_AN1_11_10 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_11_10_port);
mult_125_AN1_11_9 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_11_9_port
);
mult_125_AN1_11_8 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_11_8_port
);
mult_125_AN1_11_7 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_11_7_port
);
mult_125_AN1_11_6 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_11_6_port
);
mult_125_AN1_11_5 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_11_5_port
);
mult_125_AN1_11_4 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_11_4_port
);
mult_125_AN1_11_3 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_11_3_port
);
mult_125_AN1_11_2 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_11_2_port
);
mult_125_AN1_11_1 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_11_1_port
);
mult_125_AN1_11_0_0 : nor2 port map( a => mult_125_A_not_11_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_11_0_port
);
mult_125_AN2_10_15 : nor2 port map( a => mult_125_A_notx_10_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_10_15_port);
mult_125_AN1_10_14 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_10_14_port);
mult_125_AN1_10_13 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_10_13_port);
mult_125_AN1_10_12 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_10_12_port);
mult_125_AN1_10_11 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_10_11_port);
mult_125_AN1_10_10 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_10_10_port);
mult_125_AN1_10_9 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_10_9_port
);
mult_125_AN1_10_8 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_10_8_port
);
mult_125_AN1_10_7 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_10_7_port
);
mult_125_AN1_10_6 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_10_6_port
);
mult_125_AN1_10_5 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_10_5_port
);
mult_125_AN1_10_4 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_10_4_port
);
mult_125_AN1_10_3 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_10_3_port
);
mult_125_AN1_10_2 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_10_2_port
);
mult_125_AN1_10_1 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_10_1_port
);
mult_125_AN1_10_0_0 : nor2 port map( a => mult_125_A_not_10_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_10_0_port
);
mult_125_AN2_9_15 : nor2 port map( a => mult_125_A_notx_9_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_9_15_port);
mult_125_AN1_9_14 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_9_14_port);
mult_125_AN1_9_13 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_9_13_port);
mult_125_AN1_9_12 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_9_12_port);
mult_125_AN1_9_11 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_9_11_port);
mult_125_AN1_9_10 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_9_10_port);
mult_125_AN1_9_9 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_9_9_port)
;
mult_125_AN1_9_8 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_9_8_port)
;
mult_125_AN1_9_7 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_9_7_port)
;
mult_125_AN1_9_6 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_9_6_port)
;
mult_125_AN1_9_5 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_9_5_port)
;
mult_125_AN1_9_4 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_9_4_port)
;
mult_125_AN1_9_3 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_9_3_port)
;
mult_125_AN1_9_2 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_9_2_port)
;
mult_125_AN1_9_1 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_9_1_port)
;
mult_125_AN1_9_0_0 : nor2 port map( a => mult_125_A_not_9_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_9_0_port)
;
mult_125_AN2_8_15 : nor2 port map( a => mult_125_A_notx_8_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_8_15_port);
mult_125_AN1_8_14 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_8_14_port);
mult_125_AN1_8_13 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_8_13_port);
mult_125_AN1_8_12 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_8_12_port);
mult_125_AN1_8_11 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_8_11_port);
mult_125_AN1_8_10 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_8_10_port);
mult_125_AN1_8_9 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_8_9_port)
;
mult_125_AN1_8_8 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_8_8_port)
;
mult_125_AN1_8_7 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_8_7_port)
;
mult_125_AN1_8_6 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_8_6_port)
;
mult_125_AN1_8_5 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_8_5_port)
;
mult_125_AN1_8_4 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_8_4_port)
;
mult_125_AN1_8_3 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_8_3_port)
;
mult_125_AN1_8_2 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_8_2_port)
;
mult_125_AN1_8_1 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_8_1_port)
;
mult_125_AN1_8_0_0 : nor2 port map( a => mult_125_A_not_8_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_8_0_port)
;
mult_125_AN2_7_15 : nor2 port map( a => mult_125_A_notx_7_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_7_15_port);
mult_125_AN1_7_14 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_7_14_port);
mult_125_AN1_7_13 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_7_13_port);
mult_125_AN1_7_12 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_7_12_port);
mult_125_AN1_7_11 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_7_11_port);
mult_125_AN1_7_10 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_7_10_port);
mult_125_AN1_7_9 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_7_9_port)
;
mult_125_AN1_7_8 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_7_8_port)
;
mult_125_AN1_7_7 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_7_7_port)
;
mult_125_AN1_7_6 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_7_6_port)
;
mult_125_AN1_7_5 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_7_5_port)
;
mult_125_AN1_7_4 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_7_4_port)
;
mult_125_AN1_7_3 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_7_3_port)
;
mult_125_AN1_7_2 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_7_2_port)
;
mult_125_AN1_7_1 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_7_1_port)
;
mult_125_AN1_7_0_0 : nor2 port map( a => mult_125_A_not_7_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_7_0_port)
;
mult_125_AN2_6_15 : nor2 port map( a => mult_125_A_notx_6_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_6_15_port);
mult_125_AN1_6_14 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_6_14_port);
mult_125_AN1_6_13 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_6_13_port);
mult_125_AN1_6_12 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_6_12_port);
mult_125_AN1_6_11 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_6_11_port);
mult_125_AN1_6_10 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_6_10_port);
mult_125_AN1_6_9 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_6_9_port)
;
mult_125_AN1_6_8 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_6_8_port)
;
mult_125_AN1_6_7 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_6_7_port)
;
mult_125_AN1_6_6 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_6_6_port)
;
mult_125_AN1_6_5 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_6_5_port)
;
mult_125_AN1_6_4 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_6_4_port)
;
mult_125_AN1_6_3 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_6_3_port)
;
mult_125_AN1_6_2 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_6_2_port)
;
mult_125_AN1_6_1 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_6_1_port)
;
mult_125_AN1_6_0_0 : nor2 port map( a => mult_125_A_not_6_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_6_0_port)
;
mult_125_AN2_5_15 : nor2 port map( a => mult_125_A_notx_5_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_5_15_port);
mult_125_AN1_5_14 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_5_14_port);
mult_125_AN1_5_13 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_5_13_port);
mult_125_AN1_5_12 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_5_12_port);
mult_125_AN1_5_11 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_5_11_port);
mult_125_AN1_5_10 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_5_10_port);
mult_125_AN1_5_9 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_5_9_port)
;
mult_125_AN1_5_8 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_5_8_port)
;
mult_125_AN1_5_7 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_5_7_port)
;
mult_125_AN1_5_6 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_5_6_port)
;
mult_125_AN1_5_5 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_5_5_port)
;
mult_125_AN1_5_4 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_5_4_port)
;
mult_125_AN1_5_3 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_5_3_port)
;
mult_125_AN1_5_2 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_5_2_port)
;
mult_125_AN1_5_1 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_5_1_port)
;
mult_125_AN1_5_0_0 : nor2 port map( a => mult_125_A_not_5_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_5_0_port)
;
mult_125_AN2_4_15 : nor2 port map( a => mult_125_A_notx_4_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_4_15_port);
mult_125_AN1_4_14 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_4_14_port);
mult_125_AN1_4_13 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_4_13_port);
mult_125_AN1_4_12 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_4_12_port);
mult_125_AN1_4_11 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_4_11_port);
mult_125_AN1_4_10 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_4_10_port);
mult_125_AN1_4_9 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_4_9_port)
;
mult_125_AN1_4_8 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_4_8_port)
;
mult_125_AN1_4_7 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_4_7_port)
;
mult_125_AN1_4_6 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_4_6_port)
;
mult_125_AN1_4_5 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_4_5_port)
;
mult_125_AN1_4_4 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_4_4_port)
;
mult_125_AN1_4_3 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_4_3_port)
;
mult_125_AN1_4_2 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_4_2_port)
;
mult_125_AN1_4_1 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_4_1_port)
;
mult_125_AN1_4_0_0 : nor2 port map( a => mult_125_A_not_4_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_4_0_port)
;
mult_125_AN2_3_15 : nor2 port map( a => mult_125_A_notx_3_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_3_15_port);
mult_125_AN1_3_14 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_3_14_port);
mult_125_AN1_3_13 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_3_13_port);
mult_125_AN1_3_12 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_3_12_port);
mult_125_AN1_3_11 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_3_11_port);
mult_125_AN1_3_10 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_3_10_port);
mult_125_AN1_3_9 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_3_9_port)
;
mult_125_AN1_3_8 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_3_8_port)
;
mult_125_AN1_3_7 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_3_7_port)
;
mult_125_AN1_3_6 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_3_6_port)
;
mult_125_AN1_3_5 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_3_5_port)
;
mult_125_AN1_3_4 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_3_4_port)
;
mult_125_AN1_3_3 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_3_3_port)
;
mult_125_AN1_3_2 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_3_2_port)
;
mult_125_AN1_3_1 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_3_1_port)
;
mult_125_AN1_3_0_0 : nor2 port map( a => mult_125_A_not_3_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_3_0_port)
;
mult_125_AN2_2_15 : nor2 port map( a => mult_125_A_notx_2_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_2_15_port);
mult_125_AN1_2_14 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_2_14_port);
mult_125_AN1_2_13 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_2_13_port);
mult_125_AN1_2_12 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_2_12_port);
mult_125_AN1_2_11 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_2_11_port);
mult_125_AN1_2_10 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_2_10_port);
mult_125_AN1_2_9 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_2_9_port)
;
mult_125_AN1_2_8 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_2_8_port)
;
mult_125_AN1_2_7 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_2_7_port)
;
mult_125_AN1_2_6 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_2_6_port)
;
mult_125_AN1_2_5 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_2_5_port)
;
mult_125_AN1_2_4 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_2_4_port)
;
mult_125_AN1_2_3 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_2_3_port)
;
mult_125_AN1_2_2 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_2_2_port)
;
mult_125_AN1_2_1 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_2_1_port)
;
mult_125_AN1_2_0_0 : nor2 port map( a => mult_125_A_not_2_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_2_0_port)
;
mult_125_AN2_1_15 : nor2 port map( a => mult_125_A_notx_1_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_1_15_port);
mult_125_AN1_1_14 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_1_14_port);
mult_125_AN1_1_13 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_1_13_port);
mult_125_AN1_1_12 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_1_12_port);
mult_125_AN1_1_11 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_1_11_port);
mult_125_AN1_1_10 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_1_10_port);
mult_125_AN1_1_9 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_1_9_port)
;
mult_125_AN1_1_8 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_1_8_port)
;
mult_125_AN1_1_7 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_1_7_port)
;
mult_125_AN1_1_6 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_1_6_port)
;
mult_125_AN1_1_5 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_1_5_port)
;
mult_125_AN1_1_4 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_1_4_port)
;
mult_125_AN1_1_3 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_1_3_port)
;
mult_125_AN1_1_2 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_1_2_port)
;
mult_125_AN1_1_1 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_1_1_port)
;
mult_125_AN1_1_0_0 : nor2 port map( a => mult_125_A_not_1_port, b =>
mult_125_B_not_0_port, outb => mult_125_ab_1_0_port)
;
mult_125_AN2_0_15 : nor2 port map( a => mult_125_A_notx_0_port, b =>
mult_125_B_not_15_port, outb =>
mult_125_ab_0_15_port);
mult_125_AN1_0_14 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_14_port, outb =>
mult_125_ab_0_14_port);
mult_125_AN1_0_13 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_13_port, outb =>
mult_125_ab_0_13_port);
mult_125_AN1_0_12 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_12_port, outb =>
mult_125_ab_0_12_port);
mult_125_AN1_0_11 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_11_port, outb =>
mult_125_ab_0_11_port);
mult_125_AN1_0_10 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_10_port, outb =>
mult_125_ab_0_10_port);
mult_125_AN1_0_9 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_9_port, outb => mult_125_ab_0_9_port)
;
mult_125_AN1_0_8 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_8_port, outb => mult_125_ab_0_8_port)
;
mult_125_AN1_0_7 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_7_port, outb => mult_125_ab_0_7_port)
;
mult_125_AN1_0_6 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_6_port, outb => mult_125_ab_0_6_port)
;
mult_125_AN1_0_5 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_5_port, outb => mult_125_ab_0_5_port)
;
mult_125_AN1_0_4 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_4_port, outb => mult_125_ab_0_4_port)
;
mult_125_AN1_0_3 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_3_port, outb => mult_125_ab_0_3_port)
;
mult_125_AN1_0_2 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_2_port, outb => mult_125_ab_0_2_port)
;
mult_125_AN1_0_1 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_1_port, outb => mult_125_ab_0_1_port)
;
mult_125_AN1_0_0_0 : nor2 port map( a => mult_125_A_not_0_port, b =>
mult_125_B_not_0_port, outb =>
multiplier_sigs_0_0_port);
mult_125_G4_FS_1_U6_1_1_3 : oai12 port map( b => n5657, c => n5658, a =>
n5659, outb => mult_125_G4_FS_1_C_1_7_0_port);
mult_125_G4_FS_1_U6_1_1_2 : oai12 port map( b => n5654, c => n5655, a =>
n5656, outb => mult_125_G4_FS_1_C_1_6_0_port);
mult_125_G4_FS_1_U6_1_1_1 : oai12 port map( b => n5651, c => n5652, a =>
n5653, outb => mult_125_G4_FS_1_C_1_5_0_port);
mult_125_G4_FS_1_U6_0_7_1 : oai12 port map( b => n5648, c => n5649, a =>
mult_125_G4_FS_1_G_n_int_0_7_0_port, outb =>
mult_125_G4_FS_1_C_1_7_1_port);
mult_125_G4_FS_1_U3_C_0_7_1 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_7_1_port, b =>
mult_125_G4_FS_1_C_1_7_1_port, outb =>
multiplier_sigs_3_31_port);
mult_125_G4_FS_1_U3_B_0_7_1 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_7_1_port, b =>
mult_125_G4_FS_1_P_0_7_1_port, outb => n5647);
mult_125_G4_FS_1_U2_0_7_1 : nand2 port map( a => mult_125_G4_A1_29_port, b
=> mult_125_G4_A2_29_port, outb =>
mult_125_G4_FS_1_G_n_int_0_7_1_port);
mult_125_G4_FS_1_U1_0_7_1 : nand2 port map( a => n5645, b => n5646, outb =>
mult_125_G4_FS_1_P_0_7_1_port);
mult_125_G4_FS_1_U3_C_0_7_0 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_7_0_port, b =>
mult_125_G4_FS_1_C_1_7_0_port, outb =>
multiplier_sigs_3_30_port);
mult_125_G4_FS_1_U3_B_0_7_0 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_7_0_port, b =>
mult_125_G4_FS_1_TEMP_P_0_7_0_port, outb => n5644);
mult_125_G4_FS_1_U2_0_7_0 : nand2 port map( a => mult_125_G4_A1_28_port, b
=> mult_125_G4_A2_28_port, outb =>
mult_125_G4_FS_1_G_n_int_0_7_0_port);
mult_125_G4_FS_1_U1_0_7_0 : nand2 port map( a => n5642, b => n5643, outb =>
mult_125_G4_FS_1_TEMP_P_0_7_0_port);
mult_125_G4_FS_1_U6_0_6_3 : oai12 port map( b => n5640, c => n5641, a =>
mult_125_G4_FS_1_G_n_int_0_6_2_port, outb =>
mult_125_G4_FS_1_C_1_6_3_port);
mult_125_G4_FS_1_U5_0_6_3 : oai12 port map( b => n5638, c => n5639, a =>
mult_125_G4_FS_1_G_n_int_0_6_3_port, outb =>
mult_125_G4_FS_1_G_1_1_2_port);
mult_125_G4_FS_1_U4_0_6_3 : nand2 port map( a =>
mult_125_G4_FS_1_TEMP_P_0_6_2_port, b =>
mult_125_G4_FS_1_P_0_6_3_port, outb => n5658);
mult_125_G4_FS_1_U3_C_0_6_3 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_6_3_port, b =>
mult_125_G4_FS_1_C_1_6_3_port, outb =>
multiplier_sigs_3_29_port);
mult_125_G4_FS_1_U3_B_0_6_3 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_6_3_port, b =>
mult_125_G4_FS_1_P_0_6_3_port, outb => n5637);
mult_125_G4_FS_1_U2_0_6_3 : nand2 port map( a => mult_125_G4_A1_27_port, b
=> mult_125_G4_A2_27_port, outb =>
mult_125_G4_FS_1_G_n_int_0_6_3_port);
mult_125_G4_FS_1_U1_0_6_3 : nand2 port map( a => n5635, b => n5636, outb =>
mult_125_G4_FS_1_P_0_6_3_port);
mult_125_G4_FS_1_U6_0_6_2 : oai12 port map( b => n5633, c => n5634, a =>
mult_125_G4_FS_1_G_n_int_0_6_1_port, outb =>
mult_125_G4_FS_1_C_1_6_2_port);
mult_125_G4_FS_1_U5_0_6_2 : oai12 port map( b => n5632, c => n5641, a =>
mult_125_G4_FS_1_G_n_int_0_6_2_port, outb =>
mult_125_G4_FS_1_TEMP_G_0_6_2_port);
mult_125_G4_FS_1_U4_0_6_2 : nand2 port map( a =>
mult_125_G4_FS_1_TEMP_P_0_6_1_port, b =>
mult_125_G4_FS_1_P_0_6_2_port, outb => n5631);
mult_125_G4_FS_1_U3_C_0_6_2 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_6_2_port, b =>
mult_125_G4_FS_1_C_1_6_2_port, outb =>
multiplier_sigs_3_28_port);
mult_125_G4_FS_1_U3_B_0_6_2 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_6_2_port, b =>
mult_125_G4_FS_1_P_0_6_2_port, outb => n5630);
mult_125_G4_FS_1_U2_0_6_2 : nand2 port map( a => mult_125_G4_A1_26_port, b
=> mult_125_G4_A2_26_port, outb =>
mult_125_G4_FS_1_G_n_int_0_6_2_port);
mult_125_G4_FS_1_U1_0_6_2 : nand2 port map( a => n5628, b => n5629, outb =>
mult_125_G4_FS_1_P_0_6_2_port);
mult_125_G4_FS_1_U6_0_6_1 : oai12 port map( b => n5657, c => n5627, a =>
mult_125_G4_FS_1_G_n_int_0_6_0_port, outb =>
mult_125_G4_FS_1_C_1_6_1_port);
mult_125_G4_FS_1_U5_0_6_1 : oai12 port map( b =>
mult_125_G4_FS_1_G_n_int_0_6_0_port, c => n5634, a
=> mult_125_G4_FS_1_G_n_int_0_6_1_port, outb =>
mult_125_G4_FS_1_TEMP_G_0_6_1_port);
mult_125_G4_FS_1_U4_0_6_1 : nand2 port map( a =>
mult_125_G4_FS_1_TEMP_P_0_6_0_port, b =>
mult_125_G4_FS_1_P_0_6_1_port, outb => n5626);
mult_125_G4_FS_1_U3_C_0_6_1 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_6_1_port, b =>
mult_125_G4_FS_1_C_1_6_1_port, outb =>
multiplier_sigs_3_27_port);
mult_125_G4_FS_1_U3_B_0_6_1 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_6_1_port, b =>
mult_125_G4_FS_1_P_0_6_1_port, outb => n5625);
mult_125_G4_FS_1_U2_0_6_1 : nand2 port map( a => mult_125_G4_A1_25_port, b
=> mult_125_G4_A2_25_port, outb =>
mult_125_G4_FS_1_G_n_int_0_6_1_port);
mult_125_G4_FS_1_U1_0_6_1 : nand2 port map( a => n5623, b => n5624, outb =>
mult_125_G4_FS_1_P_0_6_1_port);
mult_125_G4_FS_1_U3_C_0_6_0 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_6_0_port, b =>
mult_125_G4_FS_1_C_1_6_0_port, outb =>
multiplier_sigs_3_26_port);
mult_125_G4_FS_1_U3_B_0_6_0 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_6_0_port, b =>
mult_125_G4_FS_1_TEMP_P_0_6_0_port, outb => n5622);
mult_125_G4_FS_1_U2_0_6_0 : nand2 port map( a => mult_125_G4_A1_24_port, b
=> mult_125_G4_A2_24_port, outb =>
mult_125_G4_FS_1_G_n_int_0_6_0_port);
mult_125_G4_FS_1_U1_0_6_0 : nand2 port map( a => n5620, b => n5621, outb =>
mult_125_G4_FS_1_TEMP_P_0_6_0_port);
mult_125_G4_FS_1_U6_0_5_3 : oai12 port map( b => n5618, c => n5619, a =>
mult_125_G4_FS_1_G_n_int_0_5_2_port, outb =>
mult_125_G4_FS_1_C_1_5_3_port);
mult_125_G4_FS_1_U5_0_5_3 : oai12 port map( b => n5616, c => n5617, a =>
mult_125_G4_FS_1_G_n_int_0_5_3_port, outb =>
mult_125_G4_FS_1_G_1_1_1_port);
mult_125_G4_FS_1_U4_0_5_3 : nand2 port map( a =>
mult_125_G4_FS_1_TEMP_P_0_5_2_port, b =>
mult_125_G4_FS_1_P_0_5_3_port, outb => n5655);
mult_125_G4_FS_1_U3_C_0_5_3 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_5_3_port, b =>
mult_125_G4_FS_1_C_1_5_3_port, outb =>
multiplier_sigs_3_25_port);
mult_125_G4_FS_1_U3_B_0_5_3 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_5_3_port, b =>
mult_125_G4_FS_1_P_0_5_3_port, outb => n5615);
mult_125_G4_FS_1_U2_0_5_3 : nand2 port map( a => mult_125_G4_A1_23_port, b
=> mult_125_G4_A2_23_port, outb =>
mult_125_G4_FS_1_G_n_int_0_5_3_port);
mult_125_G4_FS_1_U1_0_5_3 : nand2 port map( a => n5613, b => n5614, outb =>
mult_125_G4_FS_1_P_0_5_3_port);
mult_125_G4_FS_1_U6_0_5_2 : oai12 port map( b => n5611, c => n5612, a =>
mult_125_G4_FS_1_G_n_int_0_5_1_port, outb =>
mult_125_G4_FS_1_C_1_5_2_port);
mult_125_G4_FS_1_U5_0_5_2 : oai12 port map( b => n5610, c => n5619, a =>
mult_125_G4_FS_1_G_n_int_0_5_2_port, outb =>
mult_125_G4_FS_1_TEMP_G_0_5_2_port);
mult_125_G4_FS_1_U4_0_5_2 : nand2 port map( a =>
mult_125_G4_FS_1_TEMP_P_0_5_1_port, b =>
mult_125_G4_FS_1_P_0_5_2_port, outb => n5609);
mult_125_G4_FS_1_U3_C_0_5_2 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_5_2_port, b =>
mult_125_G4_FS_1_C_1_5_2_port, outb =>
multiplier_sigs_3_24_port);
mult_125_G4_FS_1_U3_B_0_5_2 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_5_2_port, b =>
mult_125_G4_FS_1_P_0_5_2_port, outb => n5608);
mult_125_G4_FS_1_U2_0_5_2 : nand2 port map( a => mult_125_G4_A1_22_port, b
=> mult_125_G4_A2_22_port, outb =>
mult_125_G4_FS_1_G_n_int_0_5_2_port);
mult_125_G4_FS_1_U1_0_5_2 : nand2 port map( a => n5606, b => n5607, outb =>
mult_125_G4_FS_1_P_0_5_2_port);
mult_125_G4_FS_1_U6_0_5_1 : oai12 port map( b => n5654, c => n5605, a =>
mult_125_G4_FS_1_G_n_int_0_5_0_port, outb =>
mult_125_G4_FS_1_C_1_5_1_port);
mult_125_G4_FS_1_U5_0_5_1 : oai12 port map( b =>
mult_125_G4_FS_1_G_n_int_0_5_0_port, c => n5612, a
=> mult_125_G4_FS_1_G_n_int_0_5_1_port, outb =>
mult_125_G4_FS_1_TEMP_G_0_5_1_port);
mult_125_G4_FS_1_U4_0_5_1 : nand2 port map( a =>
mult_125_G4_FS_1_TEMP_P_0_5_0_port, b =>
mult_125_G4_FS_1_P_0_5_1_port, outb => n5604);
mult_125_G4_FS_1_U3_C_0_5_1 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_5_1_port, b =>
mult_125_G4_FS_1_C_1_5_1_port, outb =>
multiplier_sigs_3_23_port);
mult_125_G4_FS_1_U3_B_0_5_1 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_5_1_port, b =>
mult_125_G4_FS_1_P_0_5_1_port, outb => n5603);
mult_125_G4_FS_1_U2_0_5_1 : nand2 port map( a => mult_125_G4_A1_21_port, b
=> mult_125_G4_A2_21_port, outb =>
mult_125_G4_FS_1_G_n_int_0_5_1_port);
mult_125_G4_FS_1_U1_0_5_1 : nand2 port map( a => n5601, b => n5602, outb =>
mult_125_G4_FS_1_P_0_5_1_port);
mult_125_G4_FS_1_U3_C_0_5_0 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_5_0_port, b =>
mult_125_G4_FS_1_C_1_5_0_port, outb =>
multiplier_sigs_3_22_port);
mult_125_G4_FS_1_U3_B_0_5_0 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_5_0_port, b =>
mult_125_G4_FS_1_TEMP_P_0_5_0_port, outb => n5600);
mult_125_G4_FS_1_U2_0_5_0 : nand2 port map( a => mult_125_G4_A1_20_port, b
=> mult_125_G4_A2_20_port, outb =>
mult_125_G4_FS_1_G_n_int_0_5_0_port);
mult_125_G4_FS_1_U1_0_5_0 : nand2 port map( a => n5598, b => n5599, outb =>
mult_125_G4_FS_1_TEMP_P_0_5_0_port);
mult_125_G4_FS_1_U6_0_4_3 : oai12 port map( b => n5596, c => n5597, a =>
mult_125_G4_FS_1_G_n_int_0_4_2_port, outb =>
mult_125_G4_FS_1_C_1_4_3_port);
mult_125_G4_FS_1_U5_0_4_3 : oai12 port map( b => n5594, c => n5595, a =>
mult_125_G4_FS_1_G_n_int_0_4_3_port, outb =>
mult_125_G4_FS_1_G_1_1_0_port);
mult_125_G4_FS_1_U4_0_4_3 : nand2 port map( a =>
mult_125_G4_FS_1_TEMP_P_0_4_2_port, b =>
mult_125_G4_FS_1_P_0_4_3_port, outb => n5652);
mult_125_G4_FS_1_U3_C_0_4_3 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_4_3_port, b =>
mult_125_G4_FS_1_C_1_4_3_port, outb =>
multiplier_sigs_3_21_port);
mult_125_G4_FS_1_U3_B_0_4_3 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_4_3_port, b =>
mult_125_G4_FS_1_P_0_4_3_port, outb => n5593);
mult_125_G4_FS_1_U2_0_4_3 : nand2 port map( a => mult_125_G4_A1_19_port, b
=> mult_125_G4_A2_19_port, outb =>
mult_125_G4_FS_1_G_n_int_0_4_3_port);
mult_125_G4_FS_1_U1_0_4_3 : nand2 port map( a => n5591, b => n5592, outb =>
mult_125_G4_FS_1_P_0_4_3_port);
mult_125_G4_FS_1_U6_0_4_2 : oai12 port map( b => n5589, c => n5590, a =>
mult_125_G4_FS_1_G_n_int_0_4_1_port, outb =>
mult_125_G4_FS_1_C_1_4_2_port);
mult_125_G4_FS_1_U5_0_4_2 : oai12 port map( b => n5588, c => n5597, a =>
mult_125_G4_FS_1_G_n_int_0_4_2_port, outb =>
mult_125_G4_FS_1_TEMP_G_0_4_2_port);
mult_125_G4_FS_1_U4_0_4_2 : nand2 port map( a =>
mult_125_G4_FS_1_TEMP_P_0_4_1_port, b =>
mult_125_G4_FS_1_P_0_4_2_port, outb => n5587);
mult_125_G4_FS_1_U3_C_0_4_2 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_4_2_port, b =>
mult_125_G4_FS_1_C_1_4_2_port, outb =>
multiplier_sigs_3_20_port);
mult_125_G4_FS_1_U3_B_0_4_2 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_4_2_port, b =>
mult_125_G4_FS_1_P_0_4_2_port, outb => n5586);
mult_125_G4_FS_1_U2_0_4_2 : nand2 port map( a => mult_125_G4_A1_18_port, b
=> mult_125_G4_A2_18_port, outb =>
mult_125_G4_FS_1_G_n_int_0_4_2_port);
mult_125_G4_FS_1_U1_0_4_2 : nand2 port map( a => n5584, b => n5585, outb =>
mult_125_G4_FS_1_P_0_4_2_port);
mult_125_G4_FS_1_U6_0_4_1 : oai12 port map( b => n5651, c => n5583, a =>
mult_125_G4_FS_1_G_n_int_0_4_0_port, outb =>
mult_125_G4_FS_1_C_1_4_1_port);
mult_125_G4_FS_1_U5_0_4_1 : oai12 port map( b =>
mult_125_G4_FS_1_G_n_int_0_4_0_port, c => n5590, a
=> mult_125_G4_FS_1_G_n_int_0_4_1_port, outb =>
mult_125_G4_FS_1_TEMP_G_0_4_1_port);
mult_125_G4_FS_1_U4_0_4_1 : nand2 port map( a =>
mult_125_G4_FS_1_TEMP_P_0_4_0_port, b =>
mult_125_G4_FS_1_P_0_4_1_port, outb => n5582);
mult_125_G4_FS_1_U3_C_0_4_1 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_4_1_port, b =>
mult_125_G4_FS_1_C_1_4_1_port, outb =>
multiplier_sigs_3_19_port);
mult_125_G4_FS_1_U3_B_0_4_1 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_4_1_port, b =>
mult_125_G4_FS_1_P_0_4_1_port, outb => n5581);
mult_125_G4_FS_1_U2_0_4_1 : nand2 port map( a => mult_125_G4_A1_17_port, b
=> mult_125_G4_A2_17_port, outb =>
mult_125_G4_FS_1_G_n_int_0_4_1_port);
mult_125_G4_FS_1_U1_0_4_1 : nand2 port map( a => n5579, b => n5580, outb =>
mult_125_G4_FS_1_P_0_4_1_port);
mult_125_G4_FS_1_U3_C_0_4_0 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_4_0_port, b =>
mult_125_G4_FS_1_C_1_4_0_port, outb =>
multiplier_sigs_3_18_port);
mult_125_G4_FS_1_U3_B_0_4_0 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_4_0_port, b =>
mult_125_G4_FS_1_TEMP_P_0_4_0_port, outb => n5578);
mult_125_G4_FS_1_U2_0_4_0 : nand2 port map( a => mult_125_G4_A1_16_port, b
=> mult_125_G4_A2_16_port, outb =>
mult_125_G4_FS_1_G_n_int_0_4_0_port);
mult_125_G4_FS_1_U1_0_4_0 : nand2 port map( a => n5576, b => n5577, outb =>
mult_125_G4_FS_1_TEMP_P_0_4_0_port);
mult_125_G4_FS_1_U5_0_3_3 : oai12 port map( b => n5574, c => n5575, a =>
mult_125_G4_FS_1_G_n_int_0_3_3_port, outb =>
mult_125_G4_FS_1_G_1_0_3_port);
mult_125_G4_FS_1_U3_C_0_3_3 : xor2 port map( a =>
mult_125_G4_FS_1_PG_int_0_3_3_port, b =>
mult_125_G4_FS_1_C_1_3_3_port, outb =>
multiplier_sigs_3_17_port);
mult_125_G4_FS_1_U3_B_0_3_3 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_3_3_port, b =>
mult_125_G4_FS_1_P_0_3_3_port, outb => n5573);
mult_125_G4_FS_1_U2_0_3_3 : nand2 port map( a => mult_125_G4_A1_15_port, b
=> mult_125_G4_A2_15_port, outb =>
mult_125_G4_FS_1_G_n_int_0_3_3_port);
mult_125_G4_FS_1_U1_0_3_3 : nand2 port map( a => n5571, b => n5572, outb =>
mult_125_G4_FS_1_P_0_3_3_port);
mult_125_G4_FS_1_U3_B_0_3_2 : nand2 port map( a =>
mult_125_G4_FS_1_G_n_int_0_3_2_port, b =>
mult_125_G4_FS_1_P_0_3_2_port, outb => n5570);
mult_125_G4_FS_1_U2_0_3_2 : nand2 port map( a => mult_125_G4_A1_14_port, b
=> mult_125_G4_A2_14_port, outb =>
mult_125_G4_FS_1_G_n_int_0_3_2_port);
mult_125_G4_FS_1_U1_0_3_2 : nand2 port map( a => n5568, b => n5569, outb =>
mult_125_G4_FS_1_P_0_3_2_port);
mult_125_G4_AN1_15 : inv port map( inb => coefficient_mem_array_3_15_port,
outb => mult_125_G4_A_not_15_port);
mult_125_G4_AN1_14 : inv port map( inb => coefficient_mem_array_3_14_port,
outb => mult_125_G4_A_not_14_port);
mult_125_G4_AN1_13 : inv port map( inb => coefficient_mem_array_3_13_port,
outb => mult_125_G4_A_not_13_port);
mult_125_G4_AN1_12 : inv port map( inb => coefficient_mem_array_3_12_port,
outb => mult_125_G4_A_not_12_port);
mult_125_G4_AN1_11 : inv port map( inb => coefficient_mem_array_3_11_port,
outb => mult_125_G4_A_not_11_port);
mult_125_G4_AN1_10 : inv port map( inb => coefficient_mem_array_3_10_port,
outb => mult_125_G4_A_not_10_port);
mult_125_G4_AN1_9 : inv port map( inb => coefficient_mem_array_3_9_port,
outb => mult_125_G4_A_not_9_port);
mult_125_G4_AN1_8 : inv port map( inb => coefficient_mem_array_3_8_port,
outb => mult_125_G4_A_not_8_port);
mult_125_G4_AN1_7 : inv port map( inb => coefficient_mem_array_3_7_port,
outb => mult_125_G4_A_not_7_port);
mult_125_G4_AN1_6 : inv port map( inb => coefficient_mem_array_3_6_port,
outb => mult_125_G4_A_not_6_port);
mult_125_G4_AN1_5 : inv port map( inb => coefficient_mem_array_3_5_port,
outb => mult_125_G4_A_not_5_port);
mult_125_G4_AN1_4 : inv port map( inb => coefficient_mem_array_3_4_port,
outb => mult_125_G4_A_not_4_port);
mult_125_G4_AN1_3 : inv port map( inb => coefficient_mem_array_3_3_port,
outb => mult_125_G4_A_not_3_port);
mult_125_G4_AN1_2 : inv port map( inb => coefficient_mem_array_3_2_port,
outb => mult_125_G4_A_not_2_port);
mult_125_G4_AN1_1 : inv port map( inb => coefficient_mem_array_3_1_port,
outb => mult_125_G4_A_not_1_port);
mult_125_G4_AN1_0 : inv port map( inb => coefficient_mem_array_3_0_port,
outb => mult_125_G4_A_not_0_port);
mult_125_G4_AN1_15_0 : inv port map( inb => input_sample_mem_15_port, outb
=> mult_125_G4_B_not_15_port);
mult_125_G4_AN1_14_0 : inv port map( inb => input_sample_mem_14_port, outb
=> mult_125_G4_B_not_14_port);
mult_125_G4_AN1_13_0 : inv port map( inb => input_sample_mem_13_port, outb
=> mult_125_G4_B_not_13_port);
mult_125_G4_AN1_12_0 : inv port map( inb => input_sample_mem_12_port, outb
=> mult_125_G4_B_not_12_port);
mult_125_G4_AN1_11_0 : inv port map( inb => input_sample_mem_11_port, outb
=> mult_125_G4_B_not_11_port);
mult_125_G4_AN1_10_0 : inv port map( inb => input_sample_mem_10_port, outb
=> mult_125_G4_B_not_10_port);
mult_125_G4_AN1_9_0 : inv port map( inb => input_sample_mem_9_port, outb =>
mult_125_G4_B_not_9_port);
mult_125_G4_AN1_8_0 : inv port map( inb => input_sample_mem_8_port, outb =>
mult_125_G4_B_not_8_port);
mult_125_G4_AN1_7_0 : inv port map( inb => input_sample_mem_7_port, outb =>
mult_125_G4_B_not_7_port);
mult_125_G4_AN1_6_0 : inv port map( inb => input_sample_mem_6_port, outb =>
mult_125_G4_B_not_6_port);
mult_125_G4_AN1_5_0 : inv port map( inb => input_sample_mem_5_port, outb =>
mult_125_G4_B_not_5_port);
mult_125_G4_AN1_4_0 : inv port map( inb => input_sample_mem_4_port, outb =>
mult_125_G4_B_not_4_port);
mult_125_G4_AN1_3_0 : inv port map( inb => input_sample_mem_3_port, outb =>
mult_125_G4_B_not_3_port);
mult_125_G4_AN1_2_0 : inv port map( inb => input_sample_mem_2_port, outb =>
mult_125_G4_B_not_2_port);
mult_125_G4_AN1_1_0 : inv port map( inb => input_sample_mem_1_port, outb =>
mult_125_G4_B_not_1_port);
mult_125_G4_AN1_0_0 : inv port map( inb => input_sample_mem_0_port, outb =>
mult_125_G4_B_not_0_port);
mult_125_G4_AN1_15_15 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_15_15_port);
mult_125_G4_AN3_15_14 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_14_port, outb =>
mult_125_G4_ab_15_14_port);
mult_125_G4_AN3_15_13 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_13_port, outb =>
mult_125_G4_ab_15_13_port);
mult_125_G4_AN3_15_12 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_12_port, outb =>
mult_125_G4_ab_15_12_port);
mult_125_G4_AN3_15_11 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_11_port, outb =>
mult_125_G4_ab_15_11_port);
mult_125_G4_AN3_15_10 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_10_port, outb =>
mult_125_G4_ab_15_10_port);
mult_125_G4_AN3_15_9 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_9_port, outb =>
mult_125_G4_ab_15_9_port);
mult_125_G4_AN3_15_8 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_8_port, outb =>
mult_125_G4_ab_15_8_port);
mult_125_G4_AN3_15_7 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_7_port, outb =>
mult_125_G4_ab_15_7_port);
mult_125_G4_AN3_15_6 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_6_port, outb =>
mult_125_G4_ab_15_6_port);
mult_125_G4_AN3_15_5 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_5_port, outb =>
mult_125_G4_ab_15_5_port);
mult_125_G4_AN3_15_4 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_4_port, outb =>
mult_125_G4_ab_15_4_port);
mult_125_G4_AN3_15_3 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_3_port, outb =>
mult_125_G4_ab_15_3_port);
mult_125_G4_AN3_15_2 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_2_port, outb =>
mult_125_G4_ab_15_2_port);
mult_125_G4_AN3_15_1 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_1_port, outb =>
mult_125_G4_ab_15_1_port);
mult_125_G4_AN3_15_0 : nor2 port map( a => mult_125_G4_A_not_15_port, b =>
mult_125_G4_B_notx_0_port, outb =>
mult_125_G4_ab_15_0_port);
mult_125_G4_AN2_14_15 : nor2 port map( a => mult_125_G4_A_notx_14_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_14_15_port);
mult_125_G4_AN1_14_14 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_14_14_port);
mult_125_G4_AN1_14_13 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_14_13_port);
mult_125_G4_AN1_14_12 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_14_12_port);
mult_125_G4_AN1_14_11 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_14_11_port);
mult_125_G4_AN1_14_10 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_14_10_port);
mult_125_G4_AN1_14_9 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_14_9_port);
mult_125_G4_AN1_14_8 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_14_8_port);
mult_125_G4_AN1_14_7 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_14_7_port);
mult_125_G4_AN1_14_6 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_14_6_port);
mult_125_G4_AN1_14_5 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_14_5_port);
mult_125_G4_AN1_14_4 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_14_4_port);
mult_125_G4_AN1_14_3 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_14_3_port);
mult_125_G4_AN1_14_2 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_14_2_port);
mult_125_G4_AN1_14_1 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_14_1_port);
mult_125_G4_AN1_14_0_0 : nor2 port map( a => mult_125_G4_A_not_14_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_14_0_port);
mult_125_G4_AN2_13_15 : nor2 port map( a => mult_125_G4_A_notx_13_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_13_15_port);
mult_125_G4_AN1_13_14 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_13_14_port);
mult_125_G4_AN1_13_13 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_13_13_port);
mult_125_G4_AN1_13_12 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_13_12_port);
mult_125_G4_AN1_13_11 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_13_11_port);
mult_125_G4_AN1_13_10 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_13_10_port);
mult_125_G4_AN1_13_9 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_13_9_port);
mult_125_G4_AN1_13_8 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_13_8_port);
mult_125_G4_AN1_13_7 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_13_7_port);
mult_125_G4_AN1_13_6 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_13_6_port);
mult_125_G4_AN1_13_5 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_13_5_port);
mult_125_G4_AN1_13_4 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_13_4_port);
mult_125_G4_AN1_13_3 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_13_3_port);
mult_125_G4_AN1_13_2 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_13_2_port);
mult_125_G4_AN1_13_1 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_13_1_port);
mult_125_G4_AN1_13_0_0 : nor2 port map( a => mult_125_G4_A_not_13_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_13_0_port);
mult_125_G4_AN2_12_15 : nor2 port map( a => mult_125_G4_A_notx_12_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_12_15_port);
mult_125_G4_AN1_12_14 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_12_14_port);
mult_125_G4_AN1_12_13 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_12_13_port);
mult_125_G4_AN1_12_12 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_12_12_port);
mult_125_G4_AN1_12_11 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_12_11_port);
mult_125_G4_AN1_12_10 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_12_10_port);
mult_125_G4_AN1_12_9 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_12_9_port);
mult_125_G4_AN1_12_8 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_12_8_port);
mult_125_G4_AN1_12_7 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_12_7_port);
mult_125_G4_AN1_12_6 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_12_6_port);
mult_125_G4_AN1_12_5 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_12_5_port);
mult_125_G4_AN1_12_4 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_12_4_port);
mult_125_G4_AN1_12_3 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_12_3_port);
mult_125_G4_AN1_12_2 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_12_2_port);
mult_125_G4_AN1_12_1 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_12_1_port);
mult_125_G4_AN1_12_0_0 : nor2 port map( a => mult_125_G4_A_not_12_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_12_0_port);
mult_125_G4_AN2_11_15 : nor2 port map( a => mult_125_G4_A_notx_11_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_11_15_port);
mult_125_G4_AN1_11_14 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_11_14_port);
mult_125_G4_AN1_11_13 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_11_13_port);
mult_125_G4_AN1_11_12 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_11_12_port);
mult_125_G4_AN1_11_11 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_11_11_port);
mult_125_G4_AN1_11_10 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_11_10_port);
mult_125_G4_AN1_11_9 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_11_9_port);
mult_125_G4_AN1_11_8 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_11_8_port);
mult_125_G4_AN1_11_7 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_11_7_port);
mult_125_G4_AN1_11_6 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_11_6_port);
mult_125_G4_AN1_11_5 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_11_5_port);
mult_125_G4_AN1_11_4 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_11_4_port);
mult_125_G4_AN1_11_3 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_11_3_port);
mult_125_G4_AN1_11_2 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_11_2_port);
mult_125_G4_AN1_11_1 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_11_1_port);
mult_125_G4_AN1_11_0_0 : nor2 port map( a => mult_125_G4_A_not_11_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_11_0_port);
mult_125_G4_AN2_10_15 : nor2 port map( a => mult_125_G4_A_notx_10_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_10_15_port);
mult_125_G4_AN1_10_14 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_10_14_port);
mult_125_G4_AN1_10_13 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_10_13_port);
mult_125_G4_AN1_10_12 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_10_12_port);
mult_125_G4_AN1_10_11 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_10_11_port);
mult_125_G4_AN1_10_10 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_10_10_port);
mult_125_G4_AN1_10_9 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_10_9_port);
mult_125_G4_AN1_10_8 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_10_8_port);
mult_125_G4_AN1_10_7 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_10_7_port);
mult_125_G4_AN1_10_6 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_10_6_port);
mult_125_G4_AN1_10_5 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_10_5_port);
mult_125_G4_AN1_10_4 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_10_4_port);
mult_125_G4_AN1_10_3 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_10_3_port);
mult_125_G4_AN1_10_2 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_10_2_port);
mult_125_G4_AN1_10_1 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_10_1_port);
mult_125_G4_AN1_10_0_0 : nor2 port map( a => mult_125_G4_A_not_10_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_10_0_port);
mult_125_G4_AN2_9_15 : nor2 port map( a => mult_125_G4_A_notx_9_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_9_15_port);
mult_125_G4_AN1_9_14 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_9_14_port);
mult_125_G4_AN1_9_13 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_9_13_port);
mult_125_G4_AN1_9_12 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_9_12_port);
mult_125_G4_AN1_9_11 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_9_11_port);
mult_125_G4_AN1_9_10 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_9_10_port);
mult_125_G4_AN1_9_9 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_9_9_port);
mult_125_G4_AN1_9_8 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_9_8_port);
mult_125_G4_AN1_9_7 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_9_7_port);
mult_125_G4_AN1_9_6 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_9_6_port);
mult_125_G4_AN1_9_5 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_9_5_port);
mult_125_G4_AN1_9_4 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_9_4_port);
mult_125_G4_AN1_9_3 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_9_3_port);
mult_125_G4_AN1_9_2 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_9_2_port);
mult_125_G4_AN1_9_1 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_9_1_port);
mult_125_G4_AN1_9_0_0 : nor2 port map( a => mult_125_G4_A_not_9_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_9_0_port);
mult_125_G4_AN2_8_15 : nor2 port map( a => mult_125_G4_A_notx_8_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_8_15_port);
mult_125_G4_AN1_8_14 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_8_14_port);
mult_125_G4_AN1_8_13 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_8_13_port);
mult_125_G4_AN1_8_12 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_8_12_port);
mult_125_G4_AN1_8_11 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_8_11_port);
mult_125_G4_AN1_8_10 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_8_10_port);
mult_125_G4_AN1_8_9 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_8_9_port);
mult_125_G4_AN1_8_8 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_8_8_port);
mult_125_G4_AN1_8_7 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_8_7_port);
mult_125_G4_AN1_8_6 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_8_6_port);
mult_125_G4_AN1_8_5 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_8_5_port);
mult_125_G4_AN1_8_4 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_8_4_port);
mult_125_G4_AN1_8_3 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_8_3_port);
mult_125_G4_AN1_8_2 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_8_2_port);
mult_125_G4_AN1_8_1 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_8_1_port);
mult_125_G4_AN1_8_0_0 : nor2 port map( a => mult_125_G4_A_not_8_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_8_0_port);
mult_125_G4_AN2_7_15 : nor2 port map( a => mult_125_G4_A_notx_7_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_7_15_port);
mult_125_G4_AN1_7_14 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_7_14_port);
mult_125_G4_AN1_7_13 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_7_13_port);
mult_125_G4_AN1_7_12 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_7_12_port);
mult_125_G4_AN1_7_11 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_7_11_port);
mult_125_G4_AN1_7_10 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_7_10_port);
mult_125_G4_AN1_7_9 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_7_9_port);
mult_125_G4_AN1_7_8 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_7_8_port);
mult_125_G4_AN1_7_7 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_7_7_port);
mult_125_G4_AN1_7_6 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_7_6_port);
mult_125_G4_AN1_7_5 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_7_5_port);
mult_125_G4_AN1_7_4 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_7_4_port);
mult_125_G4_AN1_7_3 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_7_3_port);
mult_125_G4_AN1_7_2 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_7_2_port);
mult_125_G4_AN1_7_1 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_7_1_port);
mult_125_G4_AN1_7_0_0 : nor2 port map( a => mult_125_G4_A_not_7_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_7_0_port);
mult_125_G4_AN2_6_15 : nor2 port map( a => mult_125_G4_A_notx_6_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_6_15_port);
mult_125_G4_AN1_6_14 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_6_14_port);
mult_125_G4_AN1_6_13 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_6_13_port);
mult_125_G4_AN1_6_12 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_6_12_port);
mult_125_G4_AN1_6_11 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_6_11_port);
mult_125_G4_AN1_6_10 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_6_10_port);
mult_125_G4_AN1_6_9 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_6_9_port);
mult_125_G4_AN1_6_8 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_6_8_port);
mult_125_G4_AN1_6_7 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_6_7_port);
mult_125_G4_AN1_6_6 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_6_6_port);
mult_125_G4_AN1_6_5 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_6_5_port);
mult_125_G4_AN1_6_4 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_6_4_port);
mult_125_G4_AN1_6_3 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_6_3_port);
mult_125_G4_AN1_6_2 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_6_2_port);
mult_125_G4_AN1_6_1 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_6_1_port);
mult_125_G4_AN1_6_0_0 : nor2 port map( a => mult_125_G4_A_not_6_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_6_0_port);
mult_125_G4_AN2_5_15 : nor2 port map( a => mult_125_G4_A_notx_5_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_5_15_port);
mult_125_G4_AN1_5_14 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_5_14_port);
mult_125_G4_AN1_5_13 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_5_13_port);
mult_125_G4_AN1_5_12 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_5_12_port);
mult_125_G4_AN1_5_11 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_5_11_port);
mult_125_G4_AN1_5_10 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_5_10_port);
mult_125_G4_AN1_5_9 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_5_9_port);
mult_125_G4_AN1_5_8 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_5_8_port);
mult_125_G4_AN1_5_7 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_5_7_port);
mult_125_G4_AN1_5_6 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_5_6_port);
mult_125_G4_AN1_5_5 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_5_5_port);
mult_125_G4_AN1_5_4 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_5_4_port);
mult_125_G4_AN1_5_3 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_5_3_port);
mult_125_G4_AN1_5_2 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_5_2_port);
mult_125_G4_AN1_5_1 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_5_1_port);
mult_125_G4_AN1_5_0_0 : nor2 port map( a => mult_125_G4_A_not_5_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_5_0_port);
mult_125_G4_AN2_4_15 : nor2 port map( a => mult_125_G4_A_notx_4_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_4_15_port);
mult_125_G4_AN1_4_14 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_4_14_port);
mult_125_G4_AN1_4_13 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_4_13_port);
mult_125_G4_AN1_4_12 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_4_12_port);
mult_125_G4_AN1_4_11 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_4_11_port);
mult_125_G4_AN1_4_10 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_4_10_port);
mult_125_G4_AN1_4_9 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_4_9_port);
mult_125_G4_AN1_4_8 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_4_8_port);
mult_125_G4_AN1_4_7 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_4_7_port);
mult_125_G4_AN1_4_6 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_4_6_port);
mult_125_G4_AN1_4_5 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_4_5_port);
mult_125_G4_AN1_4_4 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_4_4_port);
mult_125_G4_AN1_4_3 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_4_3_port);
mult_125_G4_AN1_4_2 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_4_2_port);
mult_125_G4_AN1_4_1 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_4_1_port);
mult_125_G4_AN1_4_0_0 : nor2 port map( a => mult_125_G4_A_not_4_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_4_0_port);
mult_125_G4_AN2_3_15 : nor2 port map( a => mult_125_G4_A_notx_3_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_3_15_port);
mult_125_G4_AN1_3_14 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_3_14_port);
mult_125_G4_AN1_3_13 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_3_13_port);
mult_125_G4_AN1_3_12 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_3_12_port);
mult_125_G4_AN1_3_11 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_3_11_port);
mult_125_G4_AN1_3_10 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_3_10_port);
mult_125_G4_AN1_3_9 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_3_9_port);
mult_125_G4_AN1_3_8 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_3_8_port);
mult_125_G4_AN1_3_7 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_3_7_port);
mult_125_G4_AN1_3_6 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_3_6_port);
mult_125_G4_AN1_3_5 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_3_5_port);
mult_125_G4_AN1_3_4 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_3_4_port);
mult_125_G4_AN1_3_3 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_3_3_port);
mult_125_G4_AN1_3_2 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_3_2_port);
mult_125_G4_AN1_3_1 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_3_1_port);
mult_125_G4_AN1_3_0_0 : nor2 port map( a => mult_125_G4_A_not_3_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_3_0_port);
mult_125_G4_AN2_2_15 : nor2 port map( a => mult_125_G4_A_notx_2_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_2_15_port);
mult_125_G4_AN1_2_14 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_2_14_port);
mult_125_G4_AN1_2_13 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_2_13_port);
mult_125_G4_AN1_2_12 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_2_12_port);
mult_125_G4_AN1_2_11 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_2_11_port);
mult_125_G4_AN1_2_10 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_2_10_port);
mult_125_G4_AN1_2_9 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_2_9_port);
mult_125_G4_AN1_2_8 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_2_8_port);
mult_125_G4_AN1_2_7 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_2_7_port);
mult_125_G4_AN1_2_6 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_2_6_port);
mult_125_G4_AN1_2_5 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_2_5_port);
mult_125_G4_AN1_2_4 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_2_4_port);
mult_125_G4_AN1_2_3 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_2_3_port);
mult_125_G4_AN1_2_2 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_2_2_port);
mult_125_G4_AN1_2_1 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_2_1_port);
mult_125_G4_AN1_2_0_0 : nor2 port map( a => mult_125_G4_A_not_2_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_2_0_port);
mult_125_G4_AN2_1_15 : nor2 port map( a => mult_125_G4_A_notx_1_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_1_15_port);
mult_125_G4_AN1_1_14 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_1_14_port);
mult_125_G4_AN1_1_13 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_1_13_port);
mult_125_G4_AN1_1_12 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_1_12_port);
mult_125_G4_AN1_1_11 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_1_11_port);
mult_125_G4_AN1_1_10 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_1_10_port);
mult_125_G4_AN1_1_9 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_1_9_port);
mult_125_G4_AN1_1_8 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_1_8_port);
mult_125_G4_AN1_1_7 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_1_7_port);
mult_125_G4_AN1_1_6 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_1_6_port);
mult_125_G4_AN1_1_5 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_1_5_port);
mult_125_G4_AN1_1_4 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_1_4_port);
mult_125_G4_AN1_1_3 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_1_3_port);
mult_125_G4_AN1_1_2 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_1_2_port);
mult_125_G4_AN1_1_1 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_1_1_port);
mult_125_G4_AN1_1_0_0 : nor2 port map( a => mult_125_G4_A_not_1_port, b =>
mult_125_G4_B_not_0_port, outb =>
mult_125_G4_ab_1_0_port);
mult_125_G4_AN2_0_15 : nor2 port map( a => mult_125_G4_A_notx_0_port, b =>
mult_125_G4_B_not_15_port, outb =>
mult_125_G4_ab_0_15_port);
mult_125_G4_AN1_0_14 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_14_port, outb =>
mult_125_G4_ab_0_14_port);
mult_125_G4_AN1_0_13 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_13_port, outb =>
mult_125_G4_ab_0_13_port);
mult_125_G4_AN1_0_12 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_12_port, outb =>
mult_125_G4_ab_0_12_port);
mult_125_G4_AN1_0_11 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_11_port, outb =>
mult_125_G4_ab_0_11_port);
mult_125_G4_AN1_0_10 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_10_port, outb =>
mult_125_G4_ab_0_10_port);
mult_125_G4_AN1_0_9 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_9_port, outb =>
mult_125_G4_ab_0_9_port);
mult_125_G4_AN1_0_8 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_8_port, outb =>
mult_125_G4_ab_0_8_port);
mult_125_G4_AN1_0_7 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_7_port, outb =>
mult_125_G4_ab_0_7_port);
mult_125_G4_AN1_0_6 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_6_port, outb =>
mult_125_G4_ab_0_6_port);
mult_125_G4_AN1_0_5 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_5_port, outb =>
mult_125_G4_ab_0_5_port);
mult_125_G4_AN1_0_4 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_4_port, outb =>
mult_125_G4_ab_0_4_port);
mult_125_G4_AN1_0_3 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_3_port, outb =>
mult_125_G4_ab_0_3_port);
mult_125_G4_AN1_0_2 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_2_port, outb =>
mult_125_G4_ab_0_2_port);
mult_125_G4_AN1_0_1 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_1_port, outb =>
mult_125_G4_ab_0_1_port);
mult_125_G4_AN1_0_0_0 : nor2 port map( a => mult_125_G4_A_not_0_port, b =>
mult_125_G4_B_not_0_port, outb =>
multiplier_sigs_3_0_port);
U267 : inv port map( inb => input_sample_mem_15_port, outb => n5911);
U268 : inv port map( inb => coefficient_mem_array_2_15_port, outb => n5912);
U269 : inv port map( inb => mult_125_G3_B_not_15_port, outb => n5913);
U270 : inv port map( inb => mult_125_G3_A_not_15_port, outb => n5914);
U271 : inv port map( inb => mult_125_G3_A_not_0_port, outb =>
mult_125_G3_A_notx_0_port);
U272 : inv port map( inb => mult_125_G3_A_not_1_port, outb =>
mult_125_G3_A_notx_1_port);
U273 : inv port map( inb => mult_125_G3_A_not_2_port, outb =>
mult_125_G3_A_notx_2_port);
U274 : inv port map( inb => mult_125_G3_A_not_3_port, outb =>
mult_125_G3_A_notx_3_port);
U275 : inv port map( inb => mult_125_G3_A_not_4_port, outb =>
mult_125_G3_A_notx_4_port);
U276 : inv port map( inb => mult_125_G3_A_not_5_port, outb =>
mult_125_G3_A_notx_5_port);
U277 : inv port map( inb => mult_125_G3_A_not_6_port, outb =>
mult_125_G3_A_notx_6_port);
U278 : inv port map( inb => mult_125_G3_A_not_7_port, outb =>
mult_125_G3_A_notx_7_port);
U279 : inv port map( inb => mult_125_G3_A_not_8_port, outb =>
mult_125_G3_A_notx_8_port);
U280 : inv port map( inb => mult_125_G3_A_not_9_port, outb =>
mult_125_G3_A_notx_9_port);
U281 : inv port map( inb => mult_125_G3_A_not_10_port, outb =>
mult_125_G3_A_notx_10_port);
U282 : inv port map( inb => mult_125_G3_A_not_11_port, outb =>
mult_125_G3_A_notx_11_port);
U283 : inv port map( inb => mult_125_G3_A_not_12_port, outb =>
mult_125_G3_A_notx_12_port);
U284 : inv port map( inb => mult_125_G3_A_not_13_port, outb =>
mult_125_G3_A_notx_13_port);
U285 : inv port map( inb => mult_125_G3_A_not_14_port, outb =>
mult_125_G3_A_notx_14_port);
U286 : inv port map( inb => mult_125_G3_B_not_0_port, outb =>
mult_125_G3_B_notx_0_port);
U287 : inv port map( inb => mult_125_G3_B_not_1_port, outb =>
mult_125_G3_B_notx_1_port);
U288 : inv port map( inb => mult_125_G3_B_not_2_port, outb =>
mult_125_G3_B_notx_2_port);
U289 : inv port map( inb => mult_125_G3_B_not_3_port, outb =>
mult_125_G3_B_notx_3_port);
U290 : inv port map( inb => mult_125_G3_B_not_4_port, outb =>
mult_125_G3_B_notx_4_port);
U291 : inv port map( inb => mult_125_G3_B_not_5_port, outb =>
mult_125_G3_B_notx_5_port);
U292 : inv port map( inb => mult_125_G3_B_not_6_port, outb =>
mult_125_G3_B_notx_6_port);
U293 : inv port map( inb => mult_125_G3_B_not_7_port, outb =>
mult_125_G3_B_notx_7_port);
U294 : inv port map( inb => mult_125_G3_B_not_8_port, outb =>
mult_125_G3_B_notx_8_port);
U295 : inv port map( inb => mult_125_G3_B_not_9_port, outb =>
mult_125_G3_B_notx_9_port);
U296 : inv port map( inb => mult_125_G3_B_not_10_port, outb =>
mult_125_G3_B_notx_10_port);
U297 : inv port map( inb => mult_125_G3_B_not_11_port, outb =>
mult_125_G3_B_notx_11_port);
U298 : inv port map( inb => mult_125_G3_B_not_12_port, outb =>
mult_125_G3_B_notx_12_port);
U299 : inv port map( inb => mult_125_G3_B_not_13_port, outb =>
mult_125_G3_B_notx_13_port);
U300 : inv port map( inb => mult_125_G3_B_not_14_port, outb =>
mult_125_G3_B_notx_14_port);
U301 : inv port map( inb => input_sample_mem_15_port, outb => n5786);
U302 : inv port map( inb => coefficient_mem_array_1_15_port, outb => n5787);
U303 : inv port map( inb => mult_125_G2_B_not_15_port, outb => n5788);
U304 : inv port map( inb => mult_125_G2_A_not_15_port, outb => n5789);
U305 : inv port map( inb => mult_125_G2_A_not_0_port, outb =>
mult_125_G2_A_notx_0_port);
U306 : inv port map( inb => mult_125_G2_A_not_1_port, outb =>
mult_125_G2_A_notx_1_port);
U307 : inv port map( inb => mult_125_G2_A_not_2_port, outb =>
mult_125_G2_A_notx_2_port);
U308 : inv port map( inb => mult_125_G2_A_not_3_port, outb =>
mult_125_G2_A_notx_3_port);
U309 : inv port map( inb => mult_125_G2_A_not_4_port, outb =>
mult_125_G2_A_notx_4_port);
U310 : inv port map( inb => mult_125_G2_A_not_5_port, outb =>
mult_125_G2_A_notx_5_port);
U311 : inv port map( inb => mult_125_G2_A_not_6_port, outb =>
mult_125_G2_A_notx_6_port);
U312 : inv port map( inb => mult_125_G2_A_not_7_port, outb =>
mult_125_G2_A_notx_7_port);
U313 : inv port map( inb => mult_125_G2_A_not_8_port, outb =>
mult_125_G2_A_notx_8_port);
U314 : inv port map( inb => mult_125_G2_A_not_9_port, outb =>
mult_125_G2_A_notx_9_port);
U315 : inv port map( inb => mult_125_G2_A_not_10_port, outb =>
mult_125_G2_A_notx_10_port);
U316 : inv port map( inb => mult_125_G2_A_not_11_port, outb =>
mult_125_G2_A_notx_11_port);
U317 : inv port map( inb => mult_125_G2_A_not_12_port, outb =>
mult_125_G2_A_notx_12_port);
U318 : inv port map( inb => mult_125_G2_A_not_13_port, outb =>
mult_125_G2_A_notx_13_port);
U319 : inv port map( inb => mult_125_G2_A_not_14_port, outb =>
mult_125_G2_A_notx_14_port);
U320 : inv port map( inb => mult_125_G2_B_not_0_port, outb =>
mult_125_G2_B_notx_0_port);
U321 : inv port map( inb => mult_125_G2_B_not_1_port, outb =>
mult_125_G2_B_notx_1_port);
U322 : inv port map( inb => mult_125_G2_B_not_2_port, outb =>
mult_125_G2_B_notx_2_port);
U323 : inv port map( inb => mult_125_G2_B_not_3_port, outb =>
mult_125_G2_B_notx_3_port);
U324 : inv port map( inb => mult_125_G2_B_not_4_port, outb =>
mult_125_G2_B_notx_4_port);
U325 : inv port map( inb => mult_125_G2_B_not_5_port, outb =>
mult_125_G2_B_notx_5_port);
U326 : inv port map( inb => mult_125_G2_B_not_6_port, outb =>
mult_125_G2_B_notx_6_port);
U327 : inv port map( inb => mult_125_G2_B_not_7_port, outb =>
mult_125_G2_B_notx_7_port);
U328 : inv port map( inb => mult_125_G2_B_not_8_port, outb =>
mult_125_G2_B_notx_8_port);
U329 : inv port map( inb => mult_125_G2_B_not_9_port, outb =>
mult_125_G2_B_notx_9_port);
U330 : inv port map( inb => mult_125_G2_B_not_10_port, outb =>
mult_125_G2_B_notx_10_port);
U331 : inv port map( inb => mult_125_G2_B_not_11_port, outb =>
mult_125_G2_B_notx_11_port);
U332 : inv port map( inb => mult_125_G2_B_not_12_port, outb =>
mult_125_G2_B_notx_12_port);
U333 : inv port map( inb => mult_125_G2_B_not_13_port, outb =>
mult_125_G2_B_notx_13_port);
U334 : inv port map( inb => mult_125_G2_B_not_14_port, outb =>
mult_125_G2_B_notx_14_port);
U335 : inv port map( inb => input_sample_mem_15_port, outb => n5661);
U336 : inv port map( inb => coefficient_mem_array_0_15_port, outb => n5662);
U337 : inv port map( inb => mult_125_B_not_15_port, outb => n5663);
U338 : inv port map( inb => mult_125_A_not_15_port, outb => n5664);
U339 : inv port map( inb => mult_125_A_not_0_port, outb =>
mult_125_A_notx_0_port);
U340 : inv port map( inb => mult_125_A_not_1_port, outb =>
mult_125_A_notx_1_port);
U341 : inv port map( inb => mult_125_A_not_2_port, outb =>
mult_125_A_notx_2_port);
U342 : inv port map( inb => mult_125_A_not_3_port, outb =>
mult_125_A_notx_3_port);
U343 : inv port map( inb => mult_125_A_not_4_port, outb =>
mult_125_A_notx_4_port);
U344 : inv port map( inb => mult_125_A_not_5_port, outb =>
mult_125_A_notx_5_port);
U345 : inv port map( inb => mult_125_A_not_6_port, outb =>
mult_125_A_notx_6_port);
U346 : inv port map( inb => mult_125_A_not_7_port, outb =>
mult_125_A_notx_7_port);
U347 : inv port map( inb => mult_125_A_not_8_port, outb =>
mult_125_A_notx_8_port);
U348 : inv port map( inb => mult_125_A_not_9_port, outb =>
mult_125_A_notx_9_port);
U349 : inv port map( inb => mult_125_A_not_10_port, outb =>
mult_125_A_notx_10_port);
U350 : inv port map( inb => mult_125_A_not_11_port, outb =>
mult_125_A_notx_11_port);
U351 : inv port map( inb => mult_125_A_not_12_port, outb =>
mult_125_A_notx_12_port);
U352 : inv port map( inb => mult_125_A_not_13_port, outb =>
mult_125_A_notx_13_port);
U353 : inv port map( inb => mult_125_A_not_14_port, outb =>
mult_125_A_notx_14_port);
U354 : inv port map( inb => mult_125_B_not_0_port, outb =>
mult_125_B_notx_0_port);
U355 : inv port map( inb => mult_125_B_not_1_port, outb =>
mult_125_B_notx_1_port);
U356 : inv port map( inb => mult_125_B_not_2_port, outb =>
mult_125_B_notx_2_port);
U357 : inv port map( inb => mult_125_B_not_3_port, outb =>
mult_125_B_notx_3_port);
U358 : inv port map( inb => mult_125_B_not_4_port, outb =>
mult_125_B_notx_4_port);
U359 : inv port map( inb => mult_125_B_not_5_port, outb =>
mult_125_B_notx_5_port);
U360 : inv port map( inb => mult_125_B_not_6_port, outb =>
mult_125_B_notx_6_port);
U361 : inv port map( inb => mult_125_B_not_7_port, outb =>
mult_125_B_notx_7_port);
U362 : inv port map( inb => mult_125_B_not_8_port, outb =>
mult_125_B_notx_8_port);
U363 : inv port map( inb => mult_125_B_not_9_port, outb =>
mult_125_B_notx_9_port);
U364 : inv port map( inb => mult_125_B_not_10_port, outb =>
mult_125_B_notx_10_port);
U365 : inv port map( inb => mult_125_B_not_11_port, outb =>
mult_125_B_notx_11_port);
U366 : inv port map( inb => mult_125_B_not_12_port, outb =>
mult_125_B_notx_12_port);
U367 : inv port map( inb => mult_125_B_not_13_port, outb =>
mult_125_B_notx_13_port);
U368 : inv port map( inb => mult_125_B_not_14_port, outb =>
mult_125_B_notx_14_port);
U369 : inv port map( inb => input_sample_mem_15_port, outb => n5536);
U370 : inv port map( inb => coefficient_mem_array_3_15_port, outb => n5537);
U371 : inv port map( inb => mult_125_G4_B_not_15_port, outb => n5538);
U372 : inv port map( inb => mult_125_G4_A_not_15_port, outb => n5539);
U373 : inv port map( inb => mult_125_G4_A_not_0_port, outb =>
mult_125_G4_A_notx_0_port);
U374 : inv port map( inb => mult_125_G4_A_not_1_port, outb =>
mult_125_G4_A_notx_1_port);
U375 : inv port map( inb => mult_125_G4_A_not_2_port, outb =>
mult_125_G4_A_notx_2_port);
U376 : inv port map( inb => mult_125_G4_A_not_3_port, outb =>
mult_125_G4_A_notx_3_port);
U377 : inv port map( inb => mult_125_G4_A_not_4_port, outb =>
mult_125_G4_A_notx_4_port);
U378 : inv port map( inb => mult_125_G4_A_not_5_port, outb =>
mult_125_G4_A_notx_5_port);
U379 : inv port map( inb => mult_125_G4_A_not_6_port, outb =>
mult_125_G4_A_notx_6_port);
U380 : inv port map( inb => mult_125_G4_A_not_7_port, outb =>
mult_125_G4_A_notx_7_port);
U381 : inv port map( inb => mult_125_G4_A_not_8_port, outb =>
mult_125_G4_A_notx_8_port);
U382 : inv port map( inb => mult_125_G4_A_not_9_port, outb =>
mult_125_G4_A_notx_9_port);
U383 : inv port map( inb => mult_125_G4_A_not_10_port, outb =>
mult_125_G4_A_notx_10_port);
U384 : inv port map( inb => mult_125_G4_A_not_11_port, outb =>
mult_125_G4_A_notx_11_port);
U385 : inv port map( inb => mult_125_G4_A_not_12_port, outb =>
mult_125_G4_A_notx_12_port);
U386 : inv port map( inb => mult_125_G4_A_not_13_port, outb =>
mult_125_G4_A_notx_13_port);
U387 : inv port map( inb => mult_125_G4_A_not_14_port, outb =>
mult_125_G4_A_notx_14_port);
U388 : inv port map( inb => mult_125_G4_B_not_0_port, outb =>
mult_125_G4_B_notx_0_port);
U389 : inv port map( inb => mult_125_G4_B_not_1_port, outb =>
mult_125_G4_B_notx_1_port);
U390 : inv port map( inb => mult_125_G4_B_not_2_port, outb =>
mult_125_G4_B_notx_2_port);
U391 : inv port map( inb => mult_125_G4_B_not_3_port, outb =>
mult_125_G4_B_notx_3_port);
U392 : inv port map( inb => mult_125_G4_B_not_4_port, outb =>
mult_125_G4_B_notx_4_port);
U393 : inv port map( inb => mult_125_G4_B_not_5_port, outb =>
mult_125_G4_B_notx_5_port);
U394 : inv port map( inb => mult_125_G4_B_not_6_port, outb =>
mult_125_G4_B_notx_6_port);
U395 : inv port map( inb => mult_125_G4_B_not_7_port, outb =>
mult_125_G4_B_notx_7_port);
U396 : inv port map( inb => mult_125_G4_B_not_8_port, outb =>
mult_125_G4_B_notx_8_port);
U397 : inv port map( inb => mult_125_G4_B_not_9_port, outb =>
mult_125_G4_B_notx_9_port);
U398 : inv port map( inb => mult_125_G4_B_not_10_port, outb =>
mult_125_G4_B_notx_10_port);
U399 : inv port map( inb => mult_125_G4_B_not_11_port, outb =>
mult_125_G4_B_notx_11_port);
U400 : inv port map( inb => mult_125_G4_B_not_12_port, outb =>
mult_125_G4_B_notx_12_port);
U401 : inv port map( inb => mult_125_G4_B_not_13_port, outb =>
mult_125_G4_B_notx_13_port);
U402 : inv port map( inb => mult_125_G4_B_not_14_port, outb =>
mult_125_G4_B_notx_14_port);
U403 : inv port map( inb => n5540, outb =>
mult_125_G4_FS_1_TEMP_P_0_0_0_port);
U404 : inv port map( inb => n5660, outb => mult_125_G4_FS_1_C_1_4_0_port);
U405 : inv port map( inb => n5665, outb => mult_125_FS_1_TEMP_P_0_0_0_port);
U406 : inv port map( inb => n5785, outb => mult_125_FS_1_C_1_4_0_port);
U407 : inv port map( inb => n5790, outb =>
mult_125_G2_FS_1_TEMP_P_0_0_0_port);
U408 : inv port map( inb => n5910, outb => mult_125_G2_FS_1_C_1_4_0_port);
U409 : inv port map( inb => n5915, outb =>
mult_125_G3_FS_1_TEMP_P_0_0_0_port);
U410 : inv port map( inb => n6035, outb => mult_125_G3_FS_1_C_1_4_0_port);
U411 : inv port map( inb => mult_125_FS_1_TEMP_P_0_0_0_port, outb => n5666);
U412 : inv port map( inb => n5667, outb => mult_125_FS_1_P_0_0_1_port);
U413 : inv port map( inb => mult_125_FS_1_P_0_0_1_port, outb => n5668);
U414 : inv port map( inb => n5669, outb => mult_125_FS_1_P_0_0_2_port);
U415 : inv port map( inb => mult_125_FS_1_P_0_0_2_port, outb => n5670);
U416 : inv port map( inb => n5671, outb => mult_125_FS_1_P_0_0_3_port);
U417 : inv port map( inb => mult_125_FS_1_P_0_0_3_port, outb => n5672);
U418 : inv port map( inb => n5673, outb => mult_125_FS_1_TEMP_P_0_1_0_port);
U419 : inv port map( inb => mult_125_FS_1_TEMP_P_0_1_0_port, outb => n5674);
U420 : inv port map( inb => n5675, outb => mult_125_FS_1_P_0_1_1_port);
U421 : inv port map( inb => mult_125_FS_1_P_0_1_1_port, outb => n5676);
U422 : inv port map( inb => n5677, outb => mult_125_FS_1_P_0_1_2_port);
U423 : inv port map( inb => mult_125_FS_1_P_0_1_2_port, outb => n5678);
U424 : inv port map( inb => n5679, outb => mult_125_FS_1_P_0_1_3_port);
U425 : inv port map( inb => mult_125_FS_1_P_0_1_3_port, outb => n5680);
U426 : inv port map( inb => n5681, outb => mult_125_FS_1_TEMP_P_0_2_0_port);
U427 : inv port map( inb => mult_125_FS_1_TEMP_P_0_2_0_port, outb => n5682);
U428 : inv port map( inb => n5683, outb => mult_125_FS_1_P_0_2_1_port);
U429 : inv port map( inb => mult_125_FS_1_P_0_2_1_port, outb => n5684);
U430 : inv port map( inb => n5685, outb => mult_125_FS_1_P_0_2_2_port);
U431 : inv port map( inb => mult_125_FS_1_P_0_2_2_port, outb => n5686);
U432 : inv port map( inb => n5687, outb => mult_125_FS_1_P_0_2_3_port);
U433 : inv port map( inb => mult_125_FS_1_P_0_2_3_port, outb => n5688);
U434 : inv port map( inb => n5775, outb => mult_125_FS_1_G_2_0_0_port);
U435 : inv port map( inb => n5689, outb => mult_125_FS_1_TEMP_P_0_3_0_port);
U436 : inv port map( inb => mult_125_FS_1_TEMP_P_0_3_0_port, outb => n5690);
U437 : inv port map( inb => n5691, outb => mult_125_FS_1_P_0_3_1_port);
U438 : inv port map( inb => mult_125_FS_1_P_0_3_1_port, outb => n5692);
U439 : inv port map( inb => mult_125_FS_1_G_n_int_0_3_2_port, outb =>
mult_125_FS_1_C_1_3_3_port);
U440 : inv port map( inb => mult_125_FS_1_G_n_int_0_3_2_port, outb =>
mult_125_FS_1_TEMP_G_0_3_2_port);
U441 : inv port map( inb => mult_125_G2_FS_1_TEMP_P_0_0_0_port, outb =>
n5791);
U442 : inv port map( inb => n5792, outb => mult_125_G2_FS_1_P_0_0_1_port);
U443 : inv port map( inb => mult_125_G2_FS_1_P_0_0_1_port, outb => n5793);
U444 : inv port map( inb => n5794, outb => mult_125_G2_FS_1_P_0_0_2_port);
U445 : inv port map( inb => mult_125_G2_FS_1_P_0_0_2_port, outb => n5795);
U446 : inv port map( inb => n5796, outb => mult_125_G2_FS_1_P_0_0_3_port);
U447 : inv port map( inb => mult_125_G2_FS_1_P_0_0_3_port, outb => n5797);
U448 : inv port map( inb => n5798, outb =>
mult_125_G2_FS_1_TEMP_P_0_1_0_port);
U449 : inv port map( inb => mult_125_G2_FS_1_TEMP_P_0_1_0_port, outb =>
n5799);
U450 : inv port map( inb => n5800, outb => mult_125_G2_FS_1_P_0_1_1_port);
U451 : inv port map( inb => mult_125_G2_FS_1_P_0_1_1_port, outb => n5801);
U452 : inv port map( inb => n5802, outb => mult_125_G2_FS_1_P_0_1_2_port);
U453 : inv port map( inb => mult_125_G2_FS_1_P_0_1_2_port, outb => n5803);
U454 : inv port map( inb => n5804, outb => mult_125_G2_FS_1_P_0_1_3_port);
U455 : inv port map( inb => mult_125_G2_FS_1_P_0_1_3_port, outb => n5805);
U456 : inv port map( inb => n5806, outb =>
mult_125_G2_FS_1_TEMP_P_0_2_0_port);
U457 : inv port map( inb => mult_125_G2_FS_1_TEMP_P_0_2_0_port, outb =>
n5807);
U458 : inv port map( inb => n5808, outb => mult_125_G2_FS_1_P_0_2_1_port);
U459 : inv port map( inb => mult_125_G2_FS_1_P_0_2_1_port, outb => n5809);
U460 : inv port map( inb => n5810, outb => mult_125_G2_FS_1_P_0_2_2_port);
U461 : inv port map( inb => mult_125_G2_FS_1_P_0_2_2_port, outb => n5811);
U462 : inv port map( inb => n5812, outb => mult_125_G2_FS_1_P_0_2_3_port);
U463 : inv port map( inb => mult_125_G2_FS_1_P_0_2_3_port, outb => n5813);
U464 : inv port map( inb => n5900, outb => mult_125_G2_FS_1_G_2_0_0_port);
U465 : inv port map( inb => n5814, outb =>
mult_125_G2_FS_1_TEMP_P_0_3_0_port);
U466 : inv port map( inb => mult_125_G2_FS_1_TEMP_P_0_3_0_port, outb =>
n5815);
U467 : inv port map( inb => n5816, outb => mult_125_G2_FS_1_P_0_3_1_port);
U468 : inv port map( inb => mult_125_G2_FS_1_P_0_3_1_port, outb => n5817);
U469 : inv port map( inb => mult_125_G2_FS_1_G_n_int_0_3_2_port, outb =>
mult_125_G2_FS_1_C_1_3_3_port);
U470 : inv port map( inb => mult_125_G2_FS_1_G_n_int_0_3_2_port, outb =>
mult_125_G2_FS_1_TEMP_G_0_3_2_port);
U471 : inv port map( inb => mult_125_G3_FS_1_TEMP_P_0_0_0_port, outb =>
n5916);
U472 : inv port map( inb => n5917, outb => mult_125_G3_FS_1_P_0_0_1_port);
U473 : inv port map( inb => mult_125_G3_FS_1_P_0_0_1_port, outb => n5918);
U474 : inv port map( inb => n5919, outb => mult_125_G3_FS_1_P_0_0_2_port);
U475 : inv port map( inb => mult_125_G3_FS_1_P_0_0_2_port, outb => n5920);
U476 : inv port map( inb => n5921, outb => mult_125_G3_FS_1_P_0_0_3_port);
U477 : inv port map( inb => mult_125_G3_FS_1_P_0_0_3_port, outb => n5922);
U478 : inv port map( inb => n5923, outb =>
mult_125_G3_FS_1_TEMP_P_0_1_0_port);
U479 : inv port map( inb => mult_125_G3_FS_1_TEMP_P_0_1_0_port, outb =>
n5924);
U480 : inv port map( inb => n5925, outb => mult_125_G3_FS_1_P_0_1_1_port);
U481 : inv port map( inb => mult_125_G3_FS_1_P_0_1_1_port, outb => n5926);
U482 : inv port map( inb => n5927, outb => mult_125_G3_FS_1_P_0_1_2_port);
U483 : inv port map( inb => mult_125_G3_FS_1_P_0_1_2_port, outb => n5928);
U484 : inv port map( inb => n5929, outb => mult_125_G3_FS_1_P_0_1_3_port);
U485 : inv port map( inb => mult_125_G3_FS_1_P_0_1_3_port, outb => n5930);
U486 : inv port map( inb => n5931, outb =>
mult_125_G3_FS_1_TEMP_P_0_2_0_port);
U487 : inv port map( inb => mult_125_G3_FS_1_TEMP_P_0_2_0_port, outb =>
n5932);
U488 : inv port map( inb => n5933, outb => mult_125_G3_FS_1_P_0_2_1_port);
U489 : inv port map( inb => mult_125_G3_FS_1_P_0_2_1_port, outb => n5934);
U490 : inv port map( inb => n5935, outb => mult_125_G3_FS_1_P_0_2_2_port);
U491 : inv port map( inb => mult_125_G3_FS_1_P_0_2_2_port, outb => n5936);
U492 : inv port map( inb => n5937, outb => mult_125_G3_FS_1_P_0_2_3_port);
U493 : inv port map( inb => mult_125_G3_FS_1_P_0_2_3_port, outb => n5938);
U494 : inv port map( inb => n6025, outb => mult_125_G3_FS_1_G_2_0_0_port);
U495 : inv port map( inb => n5939, outb =>
mult_125_G3_FS_1_TEMP_P_0_3_0_port);
U496 : inv port map( inb => mult_125_G3_FS_1_TEMP_P_0_3_0_port, outb =>
n5940);
U497 : inv port map( inb => n5941, outb => mult_125_G3_FS_1_P_0_3_1_port);
U498 : inv port map( inb => mult_125_G3_FS_1_P_0_3_1_port, outb => n5942);
U499 : inv port map( inb => mult_125_G3_FS_1_G_n_int_0_3_2_port, outb =>
mult_125_G3_FS_1_C_1_3_3_port);
U500 : inv port map( inb => mult_125_G3_FS_1_G_n_int_0_3_2_port, outb =>
mult_125_G3_FS_1_TEMP_G_0_3_2_port);
U501 : inv port map( inb => mult_125_G4_FS_1_TEMP_P_0_0_0_port, outb =>
n5541);
U502 : inv port map( inb => n5542, outb => mult_125_G4_FS_1_P_0_0_1_port);
U503 : inv port map( inb => mult_125_G4_FS_1_P_0_0_1_port, outb => n5543);
U504 : inv port map( inb => n5544, outb => mult_125_G4_FS_1_P_0_0_2_port);
U505 : inv port map( inb => mult_125_G4_FS_1_P_0_0_2_port, outb => n5545);
U506 : inv port map( inb => n5546, outb => mult_125_G4_FS_1_P_0_0_3_port);
U507 : inv port map( inb => mult_125_G4_FS_1_P_0_0_3_port, outb => n5547);
U508 : inv port map( inb => n5548, outb =>
mult_125_G4_FS_1_TEMP_P_0_1_0_port);
U509 : inv port map( inb => mult_125_G4_FS_1_TEMP_P_0_1_0_port, outb =>
n5549);
U510 : inv port map( inb => n5550, outb => mult_125_G4_FS_1_P_0_1_1_port);
U511 : inv port map( inb => mult_125_G4_FS_1_P_0_1_1_port, outb => n5551);
U512 : inv port map( inb => n5552, outb => mult_125_G4_FS_1_P_0_1_2_port);
U513 : inv port map( inb => mult_125_G4_FS_1_P_0_1_2_port, outb => n5553);
U514 : inv port map( inb => n5554, outb => mult_125_G4_FS_1_P_0_1_3_port);
U515 : inv port map( inb => mult_125_G4_FS_1_P_0_1_3_port, outb => n5555);
U516 : inv port map( inb => n5556, outb =>
mult_125_G4_FS_1_TEMP_P_0_2_0_port);
U517 : inv port map( inb => mult_125_G4_FS_1_TEMP_P_0_2_0_port, outb =>
n5557);
U518 : inv port map( inb => n5558, outb => mult_125_G4_FS_1_P_0_2_1_port);
U519 : inv port map( inb => mult_125_G4_FS_1_P_0_2_1_port, outb => n5559);
U520 : inv port map( inb => n5560, outb => mult_125_G4_FS_1_P_0_2_2_port);
U521 : inv port map( inb => mult_125_G4_FS_1_P_0_2_2_port, outb => n5561);
U522 : inv port map( inb => n5562, outb => mult_125_G4_FS_1_P_0_2_3_port);
U523 : inv port map( inb => mult_125_G4_FS_1_P_0_2_3_port, outb => n5563);
U524 : inv port map( inb => n5650, outb => mult_125_G4_FS_1_G_2_0_0_port);
U525 : inv port map( inb => n5564, outb =>
mult_125_G4_FS_1_TEMP_P_0_3_0_port);
U526 : inv port map( inb => mult_125_G4_FS_1_TEMP_P_0_3_0_port, outb =>
n5565);
U527 : inv port map( inb => n5566, outb => mult_125_G4_FS_1_P_0_3_1_port);
U528 : inv port map( inb => mult_125_G4_FS_1_P_0_3_1_port, outb => n5567);
U529 : inv port map( inb => mult_125_G4_FS_1_G_n_int_0_3_2_port, outb =>
mult_125_G4_FS_1_C_1_3_3_port);
U530 : inv port map( inb => mult_125_G4_FS_1_G_n_int_0_3_2_port, outb =>
mult_125_G4_FS_1_TEMP_G_0_3_2_port);
U531 : oai22 port map( a => mult_125_G4_QB, b => mult_125_G4_ab_15_15_port,
c => mult_125_G4_QA, d => n240, outb =>
mult_125_G4_A1_29_port);
U532 : nor2 port map( a => n241, b => n242, outb => mult_125_G4_A2_29_port);
U533 : nor2 port map( a => n243, b => n244, outb => mult_125_G4_A2_28_port);
U534 : nor2 port map( a => n245, b => n246, outb => mult_125_G4_A2_27_port);
U535 : nor2 port map( a => n247, b => n248, outb => mult_125_G4_A2_26_port);
U536 : nor2 port map( a => n249, b => n250, outb => mult_125_G4_A2_25_port);
U537 : nor2 port map( a => n251, b => n252, outb => mult_125_G4_A2_24_port);
U538 : nor2 port map( a => n253, b => n254, outb => mult_125_G4_A2_23_port);
U539 : nor2 port map( a => n255, b => n256, outb => mult_125_G4_A2_22_port);
U540 : nor2 port map( a => n257, b => n258, outb => mult_125_G4_A2_21_port);
U541 : nor2 port map( a => n259, b => n260, outb => mult_125_G4_A2_20_port);
U542 : nor2 port map( a => n261, b => n262, outb => mult_125_G4_A2_19_port);
U543 : nor2 port map( a => n263, b => n264, outb => mult_125_G4_A2_18_port);
U544 : nand2 port map( a => n266, b => n267, outb => n265);
U545 : nor2 port map( a => n268, b => n269, outb => mult_125_G4_A2_16_port);
U546 : nor2 port map( a => n270, b => n271, outb => mult_125_G4_A2_15_port);
U547 : oai22 port map( a => n272, b => n273, c => n274, d => n275, outb =>
mult_125_G4_A2_14_port);
U548 : oai22 port map( a => mult_125_QB, b => mult_125_ab_15_15_port, c =>
mult_125_QA, d => n276, outb => mult_125_A1_29_port)
;
U549 : nor2 port map( a => n277, b => n278, outb => mult_125_A2_29_port);
U550 : nor2 port map( a => n279, b => n280, outb => mult_125_A2_28_port);
U551 : nor2 port map( a => n281, b => n282, outb => mult_125_A2_27_port);
U552 : nor2 port map( a => n283, b => n284, outb => mult_125_A2_26_port);
U553 : nor2 port map( a => n285, b => n286, outb => mult_125_A2_25_port);
U554 : nor2 port map( a => n287, b => n288, outb => mult_125_A2_24_port);
U555 : nor2 port map( a => n289, b => n290, outb => mult_125_A2_23_port);
U556 : nor2 port map( a => n291, b => n292, outb => mult_125_A2_22_port);
U557 : nor2 port map( a => n293, b => n294, outb => mult_125_A2_21_port);
U558 : nor2 port map( a => n295, b => n296, outb => mult_125_A2_20_port);
U559 : nor2 port map( a => n297, b => n298, outb => mult_125_A2_19_port);
U560 : nor2 port map( a => n299, b => n300, outb => mult_125_A2_18_port);
U561 : nand2 port map( a => n302, b => n303, outb => n301);
U562 : nor2 port map( a => n304, b => n305, outb => mult_125_A2_16_port);
U563 : nor2 port map( a => n306, b => n307, outb => mult_125_A2_15_port);
U564 : oai22 port map( a => n308, b => n309, c => n310, d => n311, outb =>
mult_125_A2_14_port);
U565 : oai22 port map( a => mult_125_G2_QB, b => mult_125_G2_ab_15_15_port,
c => mult_125_G2_QA, d => n312, outb =>
mult_125_G2_A1_29_port);
U566 : nor2 port map( a => n313, b => n314, outb => mult_125_G2_A2_29_port);
U567 : nor2 port map( a => n315, b => n316, outb => mult_125_G2_A2_28_port);
U568 : nor2 port map( a => n317, b => n318, outb => mult_125_G2_A2_27_port);
U569 : nor2 port map( a => n319, b => n320, outb => mult_125_G2_A2_26_port);
U570 : nor2 port map( a => n321, b => n322, outb => mult_125_G2_A2_25_port);
U571 : nor2 port map( a => n323, b => n324, outb => mult_125_G2_A2_24_port);
U572 : nor2 port map( a => n325, b => n326, outb => mult_125_G2_A2_23_port);
U573 : nor2 port map( a => n327, b => n328, outb => mult_125_G2_A2_22_port);
U574 : nor2 port map( a => n329, b => n330, outb => mult_125_G2_A2_21_port);
U575 : nor2 port map( a => n331, b => n332, outb => mult_125_G2_A2_20_port);
U576 : nor2 port map( a => n333, b => n334, outb => mult_125_G2_A2_19_port);
U577 : nor2 port map( a => n335, b => n336, outb => mult_125_G2_A2_18_port);
U578 : nand2 port map( a => n338, b => n339, outb => n337);
U579 : nor2 port map( a => n340, b => n341, outb => mult_125_G2_A2_16_port);
U580 : nor2 port map( a => n342, b => n343, outb => mult_125_G2_A2_15_port);
U581 : oai22 port map( a => n344, b => n345, c => n346, d => n347, outb =>
mult_125_G2_A2_14_port);
U582 : oai22 port map( a => mult_125_G3_QB, b => mult_125_G3_ab_15_15_port,
c => mult_125_G3_QA, d => n348, outb =>
mult_125_G3_A1_29_port);
U583 : nor2 port map( a => n349, b => n350, outb => mult_125_G3_A2_29_port);
U584 : nor2 port map( a => n351, b => n352, outb => mult_125_G3_A2_28_port);
U585 : nor2 port map( a => n353, b => n354, outb => mult_125_G3_A2_27_port);
U586 : nor2 port map( a => n355, b => n356, outb => mult_125_G3_A2_26_port);
U587 : nor2 port map( a => n357, b => n358, outb => mult_125_G3_A2_25_port);
U588 : nor2 port map( a => n359, b => n360, outb => mult_125_G3_A2_24_port);
U589 : nor2 port map( a => n361, b => n362, outb => mult_125_G3_A2_23_port);
U590 : nor2 port map( a => n363, b => n364, outb => mult_125_G3_A2_22_port);
U591 : nor2 port map( a => n365, b => n366, outb => mult_125_G3_A2_21_port);
U592 : nor2 port map( a => n367, b => n368, outb => mult_125_G3_A2_20_port);
U593 : nor2 port map( a => n369, b => n370, outb => mult_125_G3_A2_19_port);
U594 : nor2 port map( a => n371, b => n372, outb => mult_125_G3_A2_18_port);
U595 : nand2 port map( a => n374, b => n375, outb => n373);
U596 : nor2 port map( a => n376, b => n377, outb => mult_125_G3_A2_16_port);
U597 : nor2 port map( a => n378, b => n379, outb => mult_125_G3_A2_15_port);
U598 : oai22 port map( a => n380, b => n381, c => n382, d => n383, outb =>
mult_125_G3_A2_14_port);
U599 : nor2 port map( a => mult_125_G4_ab_1_15_port, b =>
mult_125_G4_ab_2_14_port, outb => n384);
U600 : nor2 port map( a => mult_125_G4_ab_2_15_port, b =>
mult_125_G4_ab_3_14_port, outb => n385);
U601 : nor2 port map( a => mult_125_G4_ab_3_15_port, b =>
mult_125_G4_ab_4_14_port, outb => n386);
U602 : nor2 port map( a => mult_125_G4_ab_4_15_port, b =>
mult_125_G4_ab_5_14_port, outb => n387);
U603 : nor2 port map( a => mult_125_G4_ab_5_15_port, b =>
mult_125_G4_ab_6_14_port, outb => n388);
U604 : nor2 port map( a => mult_125_G4_ab_6_15_port, b =>
mult_125_G4_ab_7_14_port, outb => n389);
U605 : nor2 port map( a => mult_125_G4_ab_7_15_port, b =>
mult_125_G4_ab_8_14_port, outb => n390);
U606 : nor2 port map( a => mult_125_G4_ab_8_15_port, b =>
mult_125_G4_ab_9_14_port, outb => n391);
U607 : nor2 port map( a => mult_125_G4_ab_9_15_port, b =>
mult_125_G4_ab_10_14_port, outb => n392);
U608 : nor2 port map( a => mult_125_G4_ab_10_15_port, b =>
mult_125_G4_ab_11_14_port, outb => n393);
U609 : nor2 port map( a => mult_125_G4_ab_11_15_port, b =>
mult_125_G4_ab_12_14_port, outb => n394);
U610 : nor2 port map( a => mult_125_G4_ab_12_15_port, b =>
mult_125_G4_ab_13_14_port, outb => n395);
U611 : nor2 port map( a => mult_125_G4_ab_13_15_port, b =>
mult_125_G4_ab_14_14_port, outb => n396);
U612 : nor2 port map( a => mult_125_G4_ab_14_15_port, b =>
mult_125_G4_ab_15_14_port, outb => n397);
U613 : nand2 port map( a => n399, b => n400, outb => n398);
U614 : nand2 port map( a => n402, b => n403, outb => n401);
U615 : nor2 port map( a => mult_125_G4_ab_4_13_port, b => n405, outb => n404
);
U616 : nor2 port map( a => mult_125_G4_ab_5_13_port, b => n407, outb => n406
);
U617 : nor2 port map( a => mult_125_G4_ab_6_13_port, b => n409, outb => n408
);
U618 : nor2 port map( a => mult_125_G4_ab_7_13_port, b => n411, outb => n410
);
U619 : nor2 port map( a => mult_125_G4_ab_8_13_port, b => n413, outb => n412
);
U620 : nor2 port map( a => mult_125_G4_ab_9_13_port, b => n415, outb => n414
);
U621 : nor2 port map( a => mult_125_G4_ab_10_13_port, b => n417, outb =>
n416);
U622 : nor2 port map( a => mult_125_G4_ab_11_13_port, b => n419, outb =>
n418);
U623 : nor2 port map( a => mult_125_G4_ab_12_13_port, b => n421, outb =>
n420);
U624 : nor2 port map( a => mult_125_G4_ab_13_13_port, b => n423, outb =>
n422);
U625 : nor2 port map( a => mult_125_G4_ab_14_13_port, b => n425, outb =>
n424);
U626 : nor2 port map( a => mult_125_G4_ab_15_13_port, b => n427, outb =>
n426);
U627 : nand2 port map( a => n429, b => n430, outb => n428);
U628 : nor2 port map( a => mult_125_G4_ab_3_12_port, b => n432, outb => n431
);
U629 : nor2 port map( a => mult_125_G4_ab_4_12_port, b => n434, outb => n433
);
U630 : nor2 port map( a => mult_125_G4_ab_5_12_port, b => n436, outb => n435
);
U631 : nor2 port map( a => mult_125_G4_ab_6_12_port, b => n438, outb => n437
);
U632 : nor2 port map( a => mult_125_G4_ab_7_12_port, b => n440, outb => n439
);
U633 : nor2 port map( a => mult_125_G4_ab_8_12_port, b => n442, outb => n441
);
U634 : nor2 port map( a => mult_125_G4_ab_9_12_port, b => n444, outb => n443
);
U635 : nor2 port map( a => mult_125_G4_ab_10_12_port, b => n446, outb =>
n445);
U636 : nor2 port map( a => mult_125_G4_ab_11_12_port, b => n448, outb =>
n447);
U637 : nor2 port map( a => mult_125_G4_ab_12_12_port, b => n450, outb =>
n449);
U638 : nor2 port map( a => mult_125_G4_ab_13_12_port, b => n452, outb =>
n451);
U639 : nor2 port map( a => mult_125_G4_ab_14_12_port, b => n454, outb =>
n453);
U640 : nor2 port map( a => mult_125_G4_ab_15_12_port, b => n456, outb =>
n455);
U641 : nand2 port map( a => n458, b => n459, outb => n457);
U642 : nand2 port map( a => n461, b => n462, outb => n460);
U643 : nor2 port map( a => mult_125_G4_ab_4_11_port, b => n464, outb => n463
);
U644 : nor2 port map( a => mult_125_G4_ab_5_11_port, b => n466, outb => n465
);
U645 : nor2 port map( a => mult_125_G4_ab_6_11_port, b => n468, outb => n467
);
U646 : nor2 port map( a => mult_125_G4_ab_7_11_port, b => n470, outb => n469
);
U647 : nor2 port map( a => mult_125_G4_ab_8_11_port, b => n472, outb => n471
);
U648 : nor2 port map( a => mult_125_G4_ab_9_11_port, b => n474, outb => n473
);
U649 : nor2 port map( a => mult_125_G4_ab_10_11_port, b => n476, outb =>
n475);
U650 : nor2 port map( a => mult_125_G4_ab_11_11_port, b => n478, outb =>
n477);
U651 : nor2 port map( a => mult_125_G4_ab_12_11_port, b => n480, outb =>
n479);
U652 : nor2 port map( a => mult_125_G4_ab_13_11_port, b => n482, outb =>
n481);
U653 : nor2 port map( a => mult_125_G4_ab_14_11_port, b => n484, outb =>
n483);
U654 : nand2 port map( a => n486, b => n487, outb => n485);
U655 : nand2 port map( a => n489, b => n490, outb => n488);
U656 : nor2 port map( a => mult_125_G4_ab_3_10_port, b => n492, outb => n491
);
U657 : nor2 port map( a => mult_125_G4_ab_4_10_port, b => n494, outb => n493
);
U658 : nand2 port map( a => n496, b => n497, outb => n495);
U659 : nor2 port map( a => mult_125_G4_ab_6_10_port, b => n499, outb => n498
);
U660 : nor2 port map( a => mult_125_G4_ab_7_10_port, b => n501, outb => n500
);
U661 : nor2 port map( a => mult_125_G4_ab_8_10_port, b => n503, outb => n502
);
U662 : nor2 port map( a => mult_125_G4_ab_9_10_port, b => n505, outb => n504
);
U663 : nor2 port map( a => mult_125_G4_ab_10_10_port, b => n507, outb =>
n506);
U664 : nor2 port map( a => mult_125_G4_ab_11_10_port, b => n509, outb =>
n508);
U665 : nor2 port map( a => mult_125_G4_ab_12_10_port, b => n511, outb =>
n510);
U666 : nor2 port map( a => mult_125_G4_ab_13_10_port, b => n513, outb =>
n512);
U667 : nor2 port map( a => mult_125_G4_ab_14_10_port, b => n515, outb =>
n514);
U668 : nor2 port map( a => mult_125_G4_ab_15_10_port, b => n517, outb =>
n516);
U669 : nand2 port map( a => n519, b => n520, outb => n518);
U670 : nor2 port map( a => mult_125_G4_ab_3_9_port, b => n522, outb => n521)
;
U671 : nor2 port map( a => mult_125_G4_ab_4_9_port, b => n524, outb => n523)
;
U672 : nor2 port map( a => mult_125_G4_ab_5_9_port, b => n526, outb => n525)
;
U673 : nor2 port map( a => mult_125_G4_ab_6_9_port, b => n528, outb => n527)
;
U674 : nor2 port map( a => mult_125_G4_ab_7_9_port, b => n530, outb => n529)
;
U675 : nor2 port map( a => mult_125_G4_ab_8_9_port, b => n532, outb => n531)
;
U676 : nor2 port map( a => mult_125_G4_ab_9_9_port, b => n534, outb => n533)
;
U677 : nor2 port map( a => mult_125_G4_ab_10_9_port, b => n536, outb => n535
);
U678 : nor2 port map( a => mult_125_G4_ab_11_9_port, b => n538, outb => n537
);
U679 : nor2 port map( a => mult_125_G4_ab_12_9_port, b => n540, outb => n539
);
U680 : nor2 port map( a => mult_125_G4_ab_13_9_port, b => n542, outb => n541
);
U681 : nor2 port map( a => mult_125_G4_ab_14_9_port, b => n544, outb => n543
);
U682 : nand2 port map( a => n546, b => n547, outb => n545);
U683 : nand2 port map( a => n549, b => n550, outb => n548);
U684 : nor2 port map( a => mult_125_G4_ab_3_8_port, b => n552, outb => n551)
;
U685 : nor2 port map( a => mult_125_G4_ab_4_8_port, b => n554, outb => n553)
;
U686 : nor2 port map( a => mult_125_G4_ab_5_8_port, b => n556, outb => n555)
;
U687 : nor2 port map( a => mult_125_G4_ab_6_8_port, b => n558, outb => n557)
;
U688 : nor2 port map( a => mult_125_G4_ab_7_8_port, b => n560, outb => n559)
;
U689 : nor2 port map( a => mult_125_G4_ab_8_8_port, b => n562, outb => n561)
;
U690 : nor2 port map( a => mult_125_G4_ab_9_8_port, b => n564, outb => n563)
;
U691 : nor2 port map( a => mult_125_G4_ab_10_8_port, b => n566, outb => n565
);
U692 : nor2 port map( a => mult_125_G4_ab_11_8_port, b => n568, outb => n567
);
U693 : nor2 port map( a => mult_125_G4_ab_12_8_port, b => n570, outb => n569
);
U694 : nor2 port map( a => mult_125_G4_ab_13_8_port, b => n572, outb => n571
);
U695 : nor2 port map( a => mult_125_G4_ab_14_8_port, b => n574, outb => n573
);
U696 : nor2 port map( a => mult_125_G4_ab_15_8_port, b => n576, outb => n575
);
U697 : nand2 port map( a => n578, b => n579, outb => n577);
U698 : nand2 port map( a => n581, b => n582, outb => n580);
U699 : nor2 port map( a => mult_125_G4_ab_4_7_port, b => n584, outb => n583)
;
U700 : nor2 port map( a => mult_125_G4_ab_5_7_port, b => n586, outb => n585)
;
U701 : nor2 port map( a => mult_125_G4_ab_6_7_port, b => n588, outb => n587)
;
U702 : nor2 port map( a => mult_125_G4_ab_7_7_port, b => n590, outb => n589)
;
U703 : nor2 port map( a => mult_125_G4_ab_8_7_port, b => n592, outb => n591)
;
U704 : nor2 port map( a => mult_125_G4_ab_9_7_port, b => n594, outb => n593)
;
U705 : nor2 port map( a => mult_125_G4_ab_10_7_port, b => n596, outb => n595
);
U706 : nor2 port map( a => mult_125_G4_ab_11_7_port, b => n598, outb => n597
);
U707 : nor2 port map( a => mult_125_G4_ab_12_7_port, b => n600, outb => n599
);
U708 : nor2 port map( a => mult_125_G4_ab_13_7_port, b => n602, outb => n601
);
U709 : nor2 port map( a => mult_125_G4_ab_14_7_port, b => n604, outb => n603
);
U710 : nand2 port map( a => n606, b => n607, outb => n605);
U711 : nand2 port map( a => n609, b => n610, outb => n608);
U712 : nor2 port map( a => mult_125_G4_ab_3_6_port, b => n612, outb => n611)
;
U713 : nor2 port map( a => mult_125_G4_ab_4_6_port, b => n614, outb => n613)
;
U714 : nand2 port map( a => n616, b => n617, outb => n615);
U715 : nor2 port map( a => mult_125_G4_ab_6_6_port, b => n619, outb => n618)
;
U716 : nor2 port map( a => mult_125_G4_ab_7_6_port, b => n621, outb => n620)
;
U717 : nor2 port map( a => mult_125_G4_ab_8_6_port, b => n623, outb => n622)
;
U718 : nand2 port map( a => n625, b => n626, outb => n624);
U719 : nor2 port map( a => mult_125_G4_ab_10_6_port, b => n628, outb => n627
);
U720 : nor2 port map( a => mult_125_G4_ab_11_6_port, b => n630, outb => n629
);
U721 : nor2 port map( a => mult_125_G4_ab_12_6_port, b => n632, outb => n631
);
U722 : nor2 port map( a => mult_125_G4_ab_13_6_port, b => n634, outb => n633
);
U723 : nor2 port map( a => mult_125_G4_ab_14_6_port, b => n636, outb => n635
);
U724 : nor2 port map( a => mult_125_G4_ab_15_6_port, b => n638, outb => n637
);
U725 : nand2 port map( a => n640, b => n641, outb => n639);
U726 : nand2 port map( a => n643, b => n644, outb => n642);
U727 : nor2 port map( a => mult_125_G4_ab_4_5_port, b => n646, outb => n645)
;
U728 : nor2 port map( a => mult_125_G4_ab_5_5_port, b => n648, outb => n647)
;
U729 : nand2 port map( a => n650, b => n651, outb => n649);
U730 : nor2 port map( a => mult_125_G4_ab_7_5_port, b => n653, outb => n652)
;
U731 : nor2 port map( a => mult_125_G4_ab_8_5_port, b => n655, outb => n654)
;
U732 : nor2 port map( a => mult_125_G4_ab_9_5_port, b => n657, outb => n656)
;
U733 : nor2 port map( a => mult_125_G4_ab_10_5_port, b => n659, outb => n658
);
U734 : nor2 port map( a => mult_125_G4_ab_11_5_port, b => n661, outb => n660
);
U735 : nor2 port map( a => mult_125_G4_ab_12_5_port, b => n663, outb => n662
);
U736 : nor2 port map( a => mult_125_G4_ab_13_5_port, b => n665, outb => n664
);
U737 : nor2 port map( a => mult_125_G4_ab_14_5_port, b => n667, outb => n666
);
U738 : nand2 port map( a => n669, b => n670, outb => n668);
U739 : nand2 port map( a => n672, b => n673, outb => n671);
U740 : nor2 port map( a => mult_125_G4_ab_3_4_port, b => n675, outb => n674)
;
U741 : nor2 port map( a => mult_125_G4_ab_4_4_port, b => n677, outb => n676)
;
U742 : nand2 port map( a => n679, b => n680, outb => n678);
U743 : nor2 port map( a => mult_125_G4_ab_6_4_port, b => n682, outb => n681)
;
U744 : nand2 port map( a => n684, b => n685, outb => n683);
U745 : nor2 port map( a => mult_125_G4_ab_8_4_port, b => n687, outb => n686)
;
U746 : nor2 port map( a => mult_125_G4_ab_9_4_port, b => n689, outb => n688)
;
U747 : nor2 port map( a => mult_125_G4_ab_10_4_port, b => n691, outb => n690
);
U748 : nand2 port map( a => n693, b => n694, outb => n692);
U749 : nor2 port map( a => mult_125_G4_ab_12_4_port, b => n696, outb => n695
);
U750 : nor2 port map( a => mult_125_G4_ab_13_4_port, b => n698, outb => n697
);
U751 : nor2 port map( a => mult_125_G4_ab_14_4_port, b => n700, outb => n699
);
U752 : nand2 port map( a => n702, b => n703, outb => n701);
U753 : nand2 port map( a => n705, b => n706, outb => n704);
U754 : nand2 port map( a => n708, b => n709, outb => n707);
U755 : nor2 port map( a => mult_125_G4_ab_4_3_port, b => n711, outb => n710)
;
U756 : nor2 port map( a => mult_125_G4_ab_5_3_port, b => n713, outb => n712)
;
U757 : nor2 port map( a => mult_125_G4_ab_6_3_port, b => n715, outb => n714)
;
U758 : nor2 port map( a => mult_125_G4_ab_7_3_port, b => n717, outb => n716)
;
U759 : nor2 port map( a => mult_125_G4_ab_8_3_port, b => n719, outb => n718)
;
U760 : nor2 port map( a => mult_125_G4_ab_9_3_port, b => n721, outb => n720)
;
U761 : nor2 port map( a => mult_125_G4_ab_10_3_port, b => n723, outb => n722
);
U762 : nor2 port map( a => mult_125_G4_ab_11_3_port, b => n725, outb => n724
);
U763 : nor2 port map( a => mult_125_G4_ab_12_3_port, b => n727, outb => n726
);
U764 : nor2 port map( a => mult_125_G4_ab_13_3_port, b => n729, outb => n728
);
U765 : nor2 port map( a => mult_125_G4_ab_14_3_port, b => n731, outb => n730
);
U766 : nor2 port map( a => mult_125_G4_ab_15_3_port, b => n733, outb => n732
);
U767 : nand2 port map( a => n735, b => n736, outb => n734);
U768 : nor2 port map( a => mult_125_G4_ab_3_2_port, b => n738, outb => n737)
;
U769 : nor2 port map( a => mult_125_G4_ab_4_2_port, b => n740, outb => n739)
;
U770 : nor2 port map( a => mult_125_G4_ab_5_2_port, b => n742, outb => n741)
;
U771 : nor2 port map( a => mult_125_G4_ab_6_2_port, b => n744, outb => n743)
;
U772 : nand2 port map( a => n746, b => n747, outb => n745);
U773 : nor2 port map( a => mult_125_G4_ab_8_2_port, b => n749, outb => n748)
;
U774 : nor2 port map( a => mult_125_G4_ab_9_2_port, b => n751, outb => n750)
;
U775 : nor2 port map( a => mult_125_G4_ab_10_2_port, b => n753, outb => n752
);
U776 : nor2 port map( a => mult_125_G4_ab_11_2_port, b => n755, outb => n754
);
U777 : nor2 port map( a => mult_125_G4_ab_12_2_port, b => n757, outb => n756
);
U778 : nor2 port map( a => mult_125_G4_ab_13_2_port, b => n759, outb => n758
);
U779 : nor2 port map( a => mult_125_G4_ab_14_2_port, b => n761, outb => n760
);
U780 : nor2 port map( a => mult_125_G4_ab_15_2_port, b => n763, outb => n762
);
U781 : nand2 port map( a => n765, b => n766, outb => n764);
U782 : nor2 port map( a => mult_125_G4_ab_3_1_port, b => n768, outb => n767)
;
U783 : nor2 port map( a => mult_125_G4_ab_4_1_port, b => n770, outb => n769)
;
U784 : nor2 port map( a => mult_125_G4_ab_5_1_port, b => n772, outb => n771)
;
U785 : nor2 port map( a => mult_125_G4_ab_6_1_port, b => n774, outb => n773)
;
U786 : nor2 port map( a => mult_125_G4_ab_7_1_port, b => n776, outb => n775)
;
U787 : nor2 port map( a => mult_125_G4_ab_8_1_port, b => n778, outb => n777)
;
U788 : nor2 port map( a => mult_125_G4_ab_9_1_port, b => n780, outb => n779)
;
U789 : nor2 port map( a => mult_125_G4_ab_10_1_port, b => n782, outb => n781
);
U790 : nor2 port map( a => mult_125_G4_ab_11_1_port, b => n784, outb => n783
);
U791 : nor2 port map( a => mult_125_G4_ab_12_1_port, b => n786, outb => n785
);
U792 : nor2 port map( a => mult_125_G4_ab_13_1_port, b => n788, outb => n787
);
U793 : nor2 port map( a => mult_125_G4_ab_14_1_port, b => n790, outb => n789
);
U794 : nor2 port map( a => mult_125_G4_ab_15_1_port, b => n792, outb => n791
);
U795 : nor2 port map( a => mult_125_G4_ab_2_0_port, b => n794, outb => n793)
;
U796 : nor2 port map( a => mult_125_G4_ab_3_0_port, b => n796, outb => n795)
;
U797 : nor2 port map( a => mult_125_G4_ab_4_0_port, b => n798, outb => n797)
;
U798 : nor2 port map( a => mult_125_G4_ab_5_0_port, b => n800, outb => n799)
;
U799 : nor2 port map( a => mult_125_G4_ab_6_0_port, b => n802, outb => n801)
;
U800 : nor2 port map( a => mult_125_G4_ab_7_0_port, b => n804, outb => n803)
;
U801 : nor2 port map( a => mult_125_G4_ab_8_0_port, b => n806, outb => n805)
;
U802 : nor2 port map( a => mult_125_G4_ab_9_0_port, b => n808, outb => n807)
;
U803 : nor2 port map( a => mult_125_G4_ab_10_0_port, b => n810, outb => n809
);
U804 : nor2 port map( a => mult_125_G4_ab_11_0_port, b => n812, outb => n811
);
U805 : nor2 port map( a => mult_125_G4_ab_12_0_port, b => n814, outb => n813
);
U806 : nor2 port map( a => mult_125_G4_ab_13_0_port, b => n816, outb => n815
);
U807 : nor2 port map( a => mult_125_G4_ab_14_0_port, b => n818, outb => n817
);
U808 : nor2 port map( a => mult_125_G4_ab_15_0_port, b => n820, outb => n819
);
U809 : nor2 port map( a => mult_125_G4_ZB, b => mult_125_G4_ZA, outb => n274
);
U810 : nand2 port map( a => mult_125_G4_QB, b => mult_125_G4_ab_15_15_port,
outb => n821);
U811 : nor2 port map( a => mult_125_G3_ab_1_15_port, b =>
mult_125_G3_ab_2_14_port, outb => n822);
U812 : nor2 port map( a => mult_125_G3_ab_2_15_port, b =>
mult_125_G3_ab_3_14_port, outb => n823);
U813 : nor2 port map( a => mult_125_G3_ab_3_15_port, b =>
mult_125_G3_ab_4_14_port, outb => n824);
U814 : nor2 port map( a => mult_125_G3_ab_4_15_port, b =>
mult_125_G3_ab_5_14_port, outb => n825);
U815 : nor2 port map( a => mult_125_G3_ab_5_15_port, b =>
mult_125_G3_ab_6_14_port, outb => n826);
U816 : nor2 port map( a => mult_125_G3_ab_6_15_port, b =>
mult_125_G3_ab_7_14_port, outb => n827);
U817 : nor2 port map( a => mult_125_G3_ab_7_15_port, b =>
mult_125_G3_ab_8_14_port, outb => n828);
U818 : nor2 port map( a => mult_125_G3_ab_8_15_port, b =>
mult_125_G3_ab_9_14_port, outb => n829);
U819 : nor2 port map( a => mult_125_G3_ab_9_15_port, b =>
mult_125_G3_ab_10_14_port, outb => n830);
U820 : nor2 port map( a => mult_125_G3_ab_10_15_port, b =>
mult_125_G3_ab_11_14_port, outb => n831);
U821 : nor2 port map( a => mult_125_G3_ab_11_15_port, b =>
mult_125_G3_ab_12_14_port, outb => n832);
U822 : nor2 port map( a => mult_125_G3_ab_12_15_port, b =>
mult_125_G3_ab_13_14_port, outb => n833);
U823 : nor2 port map( a => mult_125_G3_ab_13_15_port, b =>
mult_125_G3_ab_14_14_port, outb => n834);
U824 : nor2 port map( a => mult_125_G3_ab_14_15_port, b =>
mult_125_G3_ab_15_14_port, outb => n835);
U825 : nand2 port map( a => n837, b => n838, outb => n836);
U826 : nand2 port map( a => n840, b => n841, outb => n839);
U827 : nor2 port map( a => mult_125_G3_ab_4_13_port, b => n843, outb => n842
);
U828 : nor2 port map( a => mult_125_G3_ab_5_13_port, b => n845, outb => n844
);
U829 : nor2 port map( a => mult_125_G3_ab_6_13_port, b => n847, outb => n846
);
U830 : nor2 port map( a => mult_125_G3_ab_7_13_port, b => n849, outb => n848
);
U831 : nor2 port map( a => mult_125_G3_ab_8_13_port, b => n851, outb => n850
);
U832 : nor2 port map( a => mult_125_G3_ab_9_13_port, b => n853, outb => n852
);
U833 : nor2 port map( a => mult_125_G3_ab_10_13_port, b => n855, outb =>
n854);
U834 : nor2 port map( a => mult_125_G3_ab_11_13_port, b => n857, outb =>
n856);
U835 : nor2 port map( a => mult_125_G3_ab_12_13_port, b => n859, outb =>
n858);
U836 : nor2 port map( a => mult_125_G3_ab_13_13_port, b => n861, outb =>
n860);
U837 : nor2 port map( a => mult_125_G3_ab_14_13_port, b => n863, outb =>
n862);
U838 : nor2 port map( a => mult_125_G3_ab_15_13_port, b => n865, outb =>
n864);
U839 : nand2 port map( a => n867, b => n868, outb => n866);
U840 : nor2 port map( a => mult_125_G3_ab_3_12_port, b => n870, outb => n869
);
U841 : nor2 port map( a => mult_125_G3_ab_4_12_port, b => n872, outb => n871
);
U842 : nor2 port map( a => mult_125_G3_ab_5_12_port, b => n874, outb => n873
);
U843 : nor2 port map( a => mult_125_G3_ab_6_12_port, b => n876, outb => n875
);
U844 : nor2 port map( a => mult_125_G3_ab_7_12_port, b => n878, outb => n877
);
U845 : nor2 port map( a => mult_125_G3_ab_8_12_port, b => n880, outb => n879
);
U846 : nor2 port map( a => mult_125_G3_ab_9_12_port, b => n882, outb => n881
);
U847 : nor2 port map( a => mult_125_G3_ab_10_12_port, b => n884, outb =>
n883);
U848 : nor2 port map( a => mult_125_G3_ab_11_12_port, b => n886, outb =>
n885);
U849 : nor2 port map( a => mult_125_G3_ab_12_12_port, b => n888, outb =>
n887);
U850 : nor2 port map( a => mult_125_G3_ab_13_12_port, b => n890, outb =>
n889);
U851 : nor2 port map( a => mult_125_G3_ab_14_12_port, b => n892, outb =>
n891);
U852 : nor2 port map( a => mult_125_G3_ab_15_12_port, b => n894, outb =>
n893);
U853 : nand2 port map( a => n896, b => n897, outb => n895);
U854 : nand2 port map( a => n899, b => n900, outb => n898);
U855 : nor2 port map( a => mult_125_G3_ab_4_11_port, b => n902, outb => n901
);
U856 : nor2 port map( a => mult_125_G3_ab_5_11_port, b => n904, outb => n903
);
U857 : nor2 port map( a => mult_125_G3_ab_6_11_port, b => n906, outb => n905
);
U858 : nor2 port map( a => mult_125_G3_ab_7_11_port, b => n908, outb => n907
);
U859 : nor2 port map( a => mult_125_G3_ab_8_11_port, b => n910, outb => n909
);
U860 : nor2 port map( a => mult_125_G3_ab_9_11_port, b => n912, outb => n911
);
U861 : nor2 port map( a => mult_125_G3_ab_10_11_port, b => n914, outb =>
n913);
U862 : nor2 port map( a => mult_125_G3_ab_11_11_port, b => n916, outb =>
n915);
U863 : nor2 port map( a => mult_125_G3_ab_12_11_port, b => n918, outb =>
n917);
U864 : nor2 port map( a => mult_125_G3_ab_13_11_port, b => n920, outb =>
n919);
U865 : nor2 port map( a => mult_125_G3_ab_14_11_port, b => n922, outb =>
n921);
U866 : nand2 port map( a => n924, b => n925, outb => n923);
U867 : nand2 port map( a => n927, b => n928, outb => n926);
U868 : nor2 port map( a => mult_125_G3_ab_3_10_port, b => n930, outb => n929
);
U869 : nor2 port map( a => mult_125_G3_ab_4_10_port, b => n932, outb => n931
);
U870 : nand2 port map( a => n934, b => n935, outb => n933);
U871 : nor2 port map( a => mult_125_G3_ab_6_10_port, b => n937, outb => n936
);
U872 : nor2 port map( a => mult_125_G3_ab_7_10_port, b => n939, outb => n938
);
U873 : nor2 port map( a => mult_125_G3_ab_8_10_port, b => n941, outb => n940
);
U874 : nor2 port map( a => mult_125_G3_ab_9_10_port, b => n943, outb => n942
);
U875 : nor2 port map( a => mult_125_G3_ab_10_10_port, b => n945, outb =>
n944);
U876 : nor2 port map( a => mult_125_G3_ab_11_10_port, b => n947, outb =>
n946);
U877 : nor2 port map( a => mult_125_G3_ab_12_10_port, b => n949, outb =>
n948);
U878 : nor2 port map( a => mult_125_G3_ab_13_10_port, b => n951, outb =>
n950);
U879 : nor2 port map( a => mult_125_G3_ab_14_10_port, b => n953, outb =>
n952);
U880 : nor2 port map( a => mult_125_G3_ab_15_10_port, b => n955, outb =>
n954);
U881 : nand2 port map( a => n957, b => n958, outb => n956);
U882 : nor2 port map( a => mult_125_G3_ab_3_9_port, b => n960, outb => n959)
;
U883 : nor2 port map( a => mult_125_G3_ab_4_9_port, b => n962, outb => n961)
;
U884 : nor2 port map( a => mult_125_G3_ab_5_9_port, b => n964, outb => n963)
;
U885 : nor2 port map( a => mult_125_G3_ab_6_9_port, b => n966, outb => n965)
;
U886 : nor2 port map( a => mult_125_G3_ab_7_9_port, b => n968, outb => n967)
;
U887 : nor2 port map( a => mult_125_G3_ab_8_9_port, b => n970, outb => n969)
;
U888 : nor2 port map( a => mult_125_G3_ab_9_9_port, b => n972, outb => n971)
;
U889 : nor2 port map( a => mult_125_G3_ab_10_9_port, b => n974, outb => n973
);
U890 : nor2 port map( a => mult_125_G3_ab_11_9_port, b => n976, outb => n975
);
U891 : nor2 port map( a => mult_125_G3_ab_12_9_port, b => n978, outb => n977
);
U892 : nor2 port map( a => mult_125_G3_ab_13_9_port, b => n980, outb => n979
);
U893 : nor2 port map( a => mult_125_G3_ab_14_9_port, b => n982, outb => n981
);
U894 : nand2 port map( a => n984, b => n985, outb => n983);
U895 : nand2 port map( a => n987, b => n988, outb => n986);
U896 : nor2 port map( a => mult_125_G3_ab_3_8_port, b => n990, outb => n989)
;
U897 : nor2 port map( a => mult_125_G3_ab_4_8_port, b => n992, outb => n991)
;
U898 : nor2 port map( a => mult_125_G3_ab_5_8_port, b => n994, outb => n993)
;
U899 : nor2 port map( a => mult_125_G3_ab_6_8_port, b => n996, outb => n995)
;
U900 : nor2 port map( a => mult_125_G3_ab_7_8_port, b => n998, outb => n997)
;
U901 : nor2 port map( a => mult_125_G3_ab_8_8_port, b => n1000, outb => n999
);
U902 : nor2 port map( a => mult_125_G3_ab_9_8_port, b => n1002, outb =>
n1001);
U903 : nor2 port map( a => mult_125_G3_ab_10_8_port, b => n1004, outb =>
n1003);
U904 : nor2 port map( a => mult_125_G3_ab_11_8_port, b => n1006, outb =>
n1005);
U905 : nor2 port map( a => mult_125_G3_ab_12_8_port, b => n1008, outb =>
n1007);
U906 : nor2 port map( a => mult_125_G3_ab_13_8_port, b => n1010, outb =>
n1009);
U907 : nor2 port map( a => mult_125_G3_ab_14_8_port, b => n1012, outb =>
n1011);
U908 : nor2 port map( a => mult_125_G3_ab_15_8_port, b => n1014, outb =>
n1013);
U909 : nand2 port map( a => n1016, b => n1017, outb => n1015);
U910 : nand2 port map( a => n1019, b => n1020, outb => n1018);
U911 : nor2 port map( a => mult_125_G3_ab_4_7_port, b => n1022, outb =>
n1021);
U912 : nor2 port map( a => mult_125_G3_ab_5_7_port, b => n1024, outb =>
n1023);
U913 : nor2 port map( a => mult_125_G3_ab_6_7_port, b => n1026, outb =>
n1025);
U914 : nor2 port map( a => mult_125_G3_ab_7_7_port, b => n1028, outb =>
n1027);
U915 : nor2 port map( a => mult_125_G3_ab_8_7_port, b => n1030, outb =>
n1029);
U916 : nor2 port map( a => mult_125_G3_ab_9_7_port, b => n1032, outb =>
n1031);
U917 : nor2 port map( a => mult_125_G3_ab_10_7_port, b => n1034, outb =>
n1033);
U918 : nor2 port map( a => mult_125_G3_ab_11_7_port, b => n1036, outb =>
n1035);
U919 : nor2 port map( a => mult_125_G3_ab_12_7_port, b => n1038, outb =>
n1037);
U920 : nor2 port map( a => mult_125_G3_ab_13_7_port, b => n1040, outb =>
n1039);
U921 : nor2 port map( a => mult_125_G3_ab_14_7_port, b => n1042, outb =>
n1041);
U922 : nand2 port map( a => n1044, b => n1045, outb => n1043);
U923 : nand2 port map( a => n1047, b => n1048, outb => n1046);
U924 : nor2 port map( a => mult_125_G3_ab_3_6_port, b => n1050, outb =>
n1049);
U925 : nor2 port map( a => mult_125_G3_ab_4_6_port, b => n1052, outb =>
n1051);
U926 : nand2 port map( a => n1054, b => n1055, outb => n1053);
U927 : nor2 port map( a => mult_125_G3_ab_6_6_port, b => n1057, outb =>
n1056);
U928 : nor2 port map( a => mult_125_G3_ab_7_6_port, b => n1059, outb =>
n1058);
U929 : nor2 port map( a => mult_125_G3_ab_8_6_port, b => n1061, outb =>
n1060);
U930 : nand2 port map( a => n1063, b => n1064, outb => n1062);
U931 : nor2 port map( a => mult_125_G3_ab_10_6_port, b => n1066, outb =>
n1065);
U932 : nor2 port map( a => mult_125_G3_ab_11_6_port, b => n1068, outb =>
n1067);
U933 : nor2 port map( a => mult_125_G3_ab_12_6_port, b => n1070, outb =>
n1069);
U934 : nor2 port map( a => mult_125_G3_ab_13_6_port, b => n1072, outb =>
n1071);
U935 : nor2 port map( a => mult_125_G3_ab_14_6_port, b => n1074, outb =>
n1073);
U936 : nor2 port map( a => mult_125_G3_ab_15_6_port, b => n1076, outb =>
n1075);
U937 : nand2 port map( a => n1078, b => n1079, outb => n1077);
U938 : nand2 port map( a => n1081, b => n1082, outb => n1080);
U939 : nor2 port map( a => mult_125_G3_ab_4_5_port, b => n1084, outb =>
n1083);
U940 : nor2 port map( a => mult_125_G3_ab_5_5_port, b => n1086, outb =>
n1085);
U941 : nand2 port map( a => n1088, b => n1089, outb => n1087);
U942 : nor2 port map( a => mult_125_G3_ab_7_5_port, b => n1091, outb =>
n1090);
U943 : nor2 port map( a => mult_125_G3_ab_8_5_port, b => n1093, outb =>
n1092);
U944 : nor2 port map( a => mult_125_G3_ab_9_5_port, b => n1095, outb =>
n1094);
U945 : nor2 port map( a => mult_125_G3_ab_10_5_port, b => n1097, outb =>
n1096);
U946 : nor2 port map( a => mult_125_G3_ab_11_5_port, b => n1099, outb =>
n1098);
U947 : nor2 port map( a => mult_125_G3_ab_12_5_port, b => n1101, outb =>
n1100);
U948 : nor2 port map( a => mult_125_G3_ab_13_5_port, b => n1103, outb =>
n1102);
U949 : nor2 port map( a => mult_125_G3_ab_14_5_port, b => n1105, outb =>
n1104);
U950 : nand2 port map( a => n1107, b => n1108, outb => n1106);
U951 : nand2 port map( a => n1110, b => n1111, outb => n1109);
U952 : nor2 port map( a => mult_125_G3_ab_3_4_port, b => n1113, outb =>
n1112);
U953 : nor2 port map( a => mult_125_G3_ab_4_4_port, b => n1115, outb =>
n1114);
U954 : nand2 port map( a => n1117, b => n1118, outb => n1116);
U955 : nor2 port map( a => mult_125_G3_ab_6_4_port, b => n1120, outb =>
n1119);
U956 : nand2 port map( a => n1122, b => n1123, outb => n1121);
U957 : nor2 port map( a => mult_125_G3_ab_8_4_port, b => n1125, outb =>
n1124);
U958 : nor2 port map( a => mult_125_G3_ab_9_4_port, b => n1127, outb =>
n1126);
U959 : nor2 port map( a => mult_125_G3_ab_10_4_port, b => n1129, outb =>
n1128);
U960 : nand2 port map( a => n1131, b => n1132, outb => n1130);
U961 : nor2 port map( a => mult_125_G3_ab_12_4_port, b => n1134, outb =>
n1133);
U962 : nor2 port map( a => mult_125_G3_ab_13_4_port, b => n1136, outb =>
n1135);
U963 : nor2 port map( a => mult_125_G3_ab_14_4_port, b => n1138, outb =>
n1137);
U964 : nand2 port map( a => n1140, b => n1141, outb => n1139);
U965 : nand2 port map( a => n1143, b => n1144, outb => n1142);
U966 : nand2 port map( a => n1146, b => n1147, outb => n1145);
U967 : nor2 port map( a => mult_125_G3_ab_4_3_port, b => n1149, outb =>
n1148);
U968 : nor2 port map( a => mult_125_G3_ab_5_3_port, b => n1151, outb =>
n1150);
U969 : nor2 port map( a => mult_125_G3_ab_6_3_port, b => n1153, outb =>
n1152);
U970 : nor2 port map( a => mult_125_G3_ab_7_3_port, b => n1155, outb =>
n1154);
U971 : nor2 port map( a => mult_125_G3_ab_8_3_port, b => n1157, outb =>
n1156);
U972 : nor2 port map( a => mult_125_G3_ab_9_3_port, b => n1159, outb =>
n1158);
U973 : nor2 port map( a => mult_125_G3_ab_10_3_port, b => n1161, outb =>
n1160);
U974 : nor2 port map( a => mult_125_G3_ab_11_3_port, b => n1163, outb =>
n1162);
U975 : nor2 port map( a => mult_125_G3_ab_12_3_port, b => n1165, outb =>
n1164);
U976 : nor2 port map( a => mult_125_G3_ab_13_3_port, b => n1167, outb =>
n1166);
U977 : nor2 port map( a => mult_125_G3_ab_14_3_port, b => n1169, outb =>
n1168);
U978 : nor2 port map( a => mult_125_G3_ab_15_3_port, b => n1171, outb =>
n1170);
U979 : nand2 port map( a => n1173, b => n1174, outb => n1172);
U980 : nor2 port map( a => mult_125_G3_ab_3_2_port, b => n1176, outb =>
n1175);
U981 : nor2 port map( a => mult_125_G3_ab_4_2_port, b => n1178, outb =>
n1177);
U982 : nor2 port map( a => mult_125_G3_ab_5_2_port, b => n1180, outb =>
n1179);
U983 : nor2 port map( a => mult_125_G3_ab_6_2_port, b => n1182, outb =>
n1181);
U984 : nand2 port map( a => n1184, b => n1185, outb => n1183);
U985 : nor2 port map( a => mult_125_G3_ab_8_2_port, b => n1187, outb =>
n1186);
U986 : nor2 port map( a => mult_125_G3_ab_9_2_port, b => n1189, outb =>
n1188);
U987 : nor2 port map( a => mult_125_G3_ab_10_2_port, b => n1191, outb =>
n1190);
U988 : nor2 port map( a => mult_125_G3_ab_11_2_port, b => n1193, outb =>
n1192);
U989 : nor2 port map( a => mult_125_G3_ab_12_2_port, b => n1195, outb =>
n1194);
U990 : nor2 port map( a => mult_125_G3_ab_13_2_port, b => n1197, outb =>
n1196);
U991 : nor2 port map( a => mult_125_G3_ab_14_2_port, b => n1199, outb =>
n1198);
U992 : nor2 port map( a => mult_125_G3_ab_15_2_port, b => n1201, outb =>
n1200);
U993 : nand2 port map( a => n1203, b => n1204, outb => n1202);
U994 : nor2 port map( a => mult_125_G3_ab_3_1_port, b => n1206, outb =>
n1205);
U995 : nor2 port map( a => mult_125_G3_ab_4_1_port, b => n1208, outb =>
n1207);
U996 : nor2 port map( a => mult_125_G3_ab_5_1_port, b => n1210, outb =>
n1209);
U997 : nor2 port map( a => mult_125_G3_ab_6_1_port, b => n1212, outb =>
n1211);
U998 : nor2 port map( a => mult_125_G3_ab_7_1_port, b => n1214, outb =>
n1213);
U999 : nor2 port map( a => mult_125_G3_ab_8_1_port, b => n1216, outb =>
n1215);
U1000 : nor2 port map( a => mult_125_G3_ab_9_1_port, b => n1218, outb =>
n1217);
U1001 : nor2 port map( a => mult_125_G3_ab_10_1_port, b => n1220, outb =>
n1219);
U1002 : nor2 port map( a => mult_125_G3_ab_11_1_port, b => n1222, outb =>
n1221);
U1003 : nor2 port map( a => mult_125_G3_ab_12_1_port, b => n1224, outb =>
n1223);
U1004 : nor2 port map( a => mult_125_G3_ab_13_1_port, b => n1226, outb =>
n1225);
U1005 : nor2 port map( a => mult_125_G3_ab_14_1_port, b => n1228, outb =>
n1227);
U1006 : nor2 port map( a => mult_125_G3_ab_15_1_port, b => n1230, outb =>
n1229);
U1007 : nor2 port map( a => mult_125_G3_ab_2_0_port, b => n1232, outb =>
n1231);
U1008 : nor2 port map( a => mult_125_G3_ab_3_0_port, b => n1234, outb =>
n1233);
U1009 : nor2 port map( a => mult_125_G3_ab_4_0_port, b => n1236, outb =>
n1235);
U1010 : nor2 port map( a => mult_125_G3_ab_5_0_port, b => n1238, outb =>
n1237);
U1011 : nor2 port map( a => mult_125_G3_ab_6_0_port, b => n1240, outb =>
n1239);
U1012 : nor2 port map( a => mult_125_G3_ab_7_0_port, b => n1242, outb =>
n1241);
U1013 : nor2 port map( a => mult_125_G3_ab_8_0_port, b => n1244, outb =>
n1243);
U1014 : nor2 port map( a => mult_125_G3_ab_9_0_port, b => n1246, outb =>
n1245);
U1015 : nor2 port map( a => mult_125_G3_ab_10_0_port, b => n1248, outb =>
n1247);
U1016 : nor2 port map( a => mult_125_G3_ab_11_0_port, b => n1250, outb =>
n1249);
U1017 : nor2 port map( a => mult_125_G3_ab_12_0_port, b => n1252, outb =>
n1251);
U1018 : nor2 port map( a => mult_125_G3_ab_13_0_port, b => n1254, outb =>
n1253);
U1019 : nor2 port map( a => mult_125_G3_ab_14_0_port, b => n1256, outb =>
n1255);
U1020 : nor2 port map( a => mult_125_G3_ab_15_0_port, b => n1258, outb =>
n1257);
U1021 : nor2 port map( a => mult_125_G3_ZB, b => mult_125_G3_ZA, outb =>
n382);
U1022 : nand2 port map( a => mult_125_G3_QB, b => mult_125_G3_ab_15_15_port,
outb => n1259);
U1023 : nor2 port map( a => mult_125_G2_ab_1_15_port, b =>
mult_125_G2_ab_2_14_port, outb => n1260);
U1024 : nor2 port map( a => mult_125_G2_ab_2_15_port, b =>
mult_125_G2_ab_3_14_port, outb => n1261);
U1025 : nor2 port map( a => mult_125_G2_ab_3_15_port, b =>
mult_125_G2_ab_4_14_port, outb => n1262);
U1026 : nor2 port map( a => mult_125_G2_ab_4_15_port, b =>
mult_125_G2_ab_5_14_port, outb => n1263);
U1027 : nor2 port map( a => mult_125_G2_ab_5_15_port, b =>
mult_125_G2_ab_6_14_port, outb => n1264);
U1028 : nor2 port map( a => mult_125_G2_ab_6_15_port, b =>
mult_125_G2_ab_7_14_port, outb => n1265);
U1029 : nor2 port map( a => mult_125_G2_ab_7_15_port, b =>
mult_125_G2_ab_8_14_port, outb => n1266);
U1030 : nor2 port map( a => mult_125_G2_ab_8_15_port, b =>
mult_125_G2_ab_9_14_port, outb => n1267);
U1031 : nor2 port map( a => mult_125_G2_ab_9_15_port, b =>
mult_125_G2_ab_10_14_port, outb => n1268);
U1032 : nor2 port map( a => mult_125_G2_ab_10_15_port, b =>
mult_125_G2_ab_11_14_port, outb => n1269);
U1033 : nor2 port map( a => mult_125_G2_ab_11_15_port, b =>
mult_125_G2_ab_12_14_port, outb => n1270);
U1034 : nor2 port map( a => mult_125_G2_ab_12_15_port, b =>
mult_125_G2_ab_13_14_port, outb => n1271);
U1035 : nor2 port map( a => mult_125_G2_ab_13_15_port, b =>
mult_125_G2_ab_14_14_port, outb => n1272);
U1036 : nor2 port map( a => mult_125_G2_ab_14_15_port, b =>
mult_125_G2_ab_15_14_port, outb => n1273);
U1037 : nand2 port map( a => n1275, b => n1276, outb => n1274);
U1038 : nand2 port map( a => n1278, b => n1279, outb => n1277);
U1039 : nor2 port map( a => mult_125_G2_ab_4_13_port, b => n1281, outb =>
n1280);
U1040 : nor2 port map( a => mult_125_G2_ab_5_13_port, b => n1283, outb =>
n1282);
U1041 : nor2 port map( a => mult_125_G2_ab_6_13_port, b => n1285, outb =>
n1284);
U1042 : nor2 port map( a => mult_125_G2_ab_7_13_port, b => n1287, outb =>
n1286);
U1043 : nor2 port map( a => mult_125_G2_ab_8_13_port, b => n1289, outb =>
n1288);
U1044 : nor2 port map( a => mult_125_G2_ab_9_13_port, b => n1291, outb =>
n1290);
U1045 : nor2 port map( a => mult_125_G2_ab_10_13_port, b => n1293, outb =>
n1292);
U1046 : nor2 port map( a => mult_125_G2_ab_11_13_port, b => n1295, outb =>
n1294);
U1047 : nor2 port map( a => mult_125_G2_ab_12_13_port, b => n1297, outb =>
n1296);
U1048 : nor2 port map( a => mult_125_G2_ab_13_13_port, b => n1299, outb =>
n1298);
U1049 : nor2 port map( a => mult_125_G2_ab_14_13_port, b => n1301, outb =>
n1300);
U1050 : nor2 port map( a => mult_125_G2_ab_15_13_port, b => n1303, outb =>
n1302);
U1051 : nand2 port map( a => n1305, b => n1306, outb => n1304);
U1052 : nor2 port map( a => mult_125_G2_ab_3_12_port, b => n1308, outb =>
n1307);
U1053 : nor2 port map( a => mult_125_G2_ab_4_12_port, b => n1310, outb =>
n1309);
U1054 : nor2 port map( a => mult_125_G2_ab_5_12_port, b => n1312, outb =>
n1311);
U1055 : nor2 port map( a => mult_125_G2_ab_6_12_port, b => n1314, outb =>
n1313);
U1056 : nor2 port map( a => mult_125_G2_ab_7_12_port, b => n1316, outb =>
n1315);
U1057 : nor2 port map( a => mult_125_G2_ab_8_12_port, b => n1318, outb =>
n1317);
U1058 : nor2 port map( a => mult_125_G2_ab_9_12_port, b => n1320, outb =>
n1319);
U1059 : nor2 port map( a => mult_125_G2_ab_10_12_port, b => n1322, outb =>
n1321);
U1060 : nor2 port map( a => mult_125_G2_ab_11_12_port, b => n1324, outb =>
n1323);
U1061 : nor2 port map( a => mult_125_G2_ab_12_12_port, b => n1326, outb =>
n1325);
U1062 : nor2 port map( a => mult_125_G2_ab_13_12_port, b => n1328, outb =>
n1327);
U1063 : nor2 port map( a => mult_125_G2_ab_14_12_port, b => n1330, outb =>
n1329);
U1064 : nor2 port map( a => mult_125_G2_ab_15_12_port, b => n1332, outb =>
n1331);
U1065 : nand2 port map( a => n1334, b => n1335, outb => n1333);
U1066 : nand2 port map( a => n1337, b => n1338, outb => n1336);
U1067 : nor2 port map( a => mult_125_G2_ab_4_11_port, b => n1340, outb =>
n1339);
U1068 : nor2 port map( a => mult_125_G2_ab_5_11_port, b => n1342, outb =>
n1341);
U1069 : nor2 port map( a => mult_125_G2_ab_6_11_port, b => n1344, outb =>
n1343);
U1070 : nor2 port map( a => mult_125_G2_ab_7_11_port, b => n1346, outb =>
n1345);
U1071 : nor2 port map( a => mult_125_G2_ab_8_11_port, b => n1348, outb =>
n1347);
U1072 : nor2 port map( a => mult_125_G2_ab_9_11_port, b => n1350, outb =>
n1349);
U1073 : nor2 port map( a => mult_125_G2_ab_10_11_port, b => n1352, outb =>
n1351);
U1074 : nor2 port map( a => mult_125_G2_ab_11_11_port, b => n1354, outb =>
n1353);
U1075 : nor2 port map( a => mult_125_G2_ab_12_11_port, b => n1356, outb =>
n1355);
U1076 : nor2 port map( a => mult_125_G2_ab_13_11_port, b => n1358, outb =>
n1357);
U1077 : nor2 port map( a => mult_125_G2_ab_14_11_port, b => n1360, outb =>
n1359);
U1078 : nand2 port map( a => n1362, b => n1363, outb => n1361);
U1079 : nand2 port map( a => n1365, b => n1366, outb => n1364);
U1080 : nor2 port map( a => mult_125_G2_ab_3_10_port, b => n1368, outb =>
n1367);
U1081 : nor2 port map( a => mult_125_G2_ab_4_10_port, b => n1370, outb =>
n1369);
U1082 : nand2 port map( a => n1372, b => n1373, outb => n1371);
U1083 : nor2 port map( a => mult_125_G2_ab_6_10_port, b => n1375, outb =>
n1374);
U1084 : nor2 port map( a => mult_125_G2_ab_7_10_port, b => n1377, outb =>
n1376);
U1085 : nor2 port map( a => mult_125_G2_ab_8_10_port, b => n1379, outb =>
n1378);
U1086 : nor2 port map( a => mult_125_G2_ab_9_10_port, b => n1381, outb =>
n1380);
U1087 : nor2 port map( a => mult_125_G2_ab_10_10_port, b => n1383, outb =>
n1382);
U1088 : nor2 port map( a => mult_125_G2_ab_11_10_port, b => n1385, outb =>
n1384);
U1089 : nor2 port map( a => mult_125_G2_ab_12_10_port, b => n1387, outb =>
n1386);
U1090 : nor2 port map( a => mult_125_G2_ab_13_10_port, b => n1389, outb =>
n1388);
U1091 : nor2 port map( a => mult_125_G2_ab_14_10_port, b => n1391, outb =>
n1390);
U1092 : nor2 port map( a => mult_125_G2_ab_15_10_port, b => n1393, outb =>
n1392);
U1093 : nand2 port map( a => n1395, b => n1396, outb => n1394);
U1094 : nor2 port map( a => mult_125_G2_ab_3_9_port, b => n1398, outb =>
n1397);
U1095 : nor2 port map( a => mult_125_G2_ab_4_9_port, b => n1400, outb =>
n1399);
U1096 : nor2 port map( a => mult_125_G2_ab_5_9_port, b => n1402, outb =>
n1401);
U1097 : nor2 port map( a => mult_125_G2_ab_6_9_port, b => n1404, outb =>
n1403);
U1098 : nor2 port map( a => mult_125_G2_ab_7_9_port, b => n1406, outb =>
n1405);
U1099 : nor2 port map( a => mult_125_G2_ab_8_9_port, b => n1408, outb =>
n1407);
U1100 : nor2 port map( a => mult_125_G2_ab_9_9_port, b => n1410, outb =>
n1409);
U1101 : nor2 port map( a => mult_125_G2_ab_10_9_port, b => n1412, outb =>
n1411);
U1102 : nor2 port map( a => mult_125_G2_ab_11_9_port, b => n1414, outb =>
n1413);
U1103 : nor2 port map( a => mult_125_G2_ab_12_9_port, b => n1416, outb =>
n1415);
U1104 : nor2 port map( a => mult_125_G2_ab_13_9_port, b => n1418, outb =>
n1417);
U1105 : nor2 port map( a => mult_125_G2_ab_14_9_port, b => n1420, outb =>
n1419);
U1106 : nand2 port map( a => n1422, b => n1423, outb => n1421);
U1107 : nand2 port map( a => n1425, b => n1426, outb => n1424);
U1108 : nor2 port map( a => mult_125_G2_ab_3_8_port, b => n1428, outb =>
n1427);
U1109 : nor2 port map( a => mult_125_G2_ab_4_8_port, b => n1430, outb =>
n1429);
U1110 : nor2 port map( a => mult_125_G2_ab_5_8_port, b => n1432, outb =>
n1431);
U1111 : nor2 port map( a => mult_125_G2_ab_6_8_port, b => n1434, outb =>
n1433);
U1112 : nor2 port map( a => mult_125_G2_ab_7_8_port, b => n1436, outb =>
n1435);
U1113 : nor2 port map( a => mult_125_G2_ab_8_8_port, b => n1438, outb =>
n1437);
U1114 : nor2 port map( a => mult_125_G2_ab_9_8_port, b => n1440, outb =>
n1439);
U1115 : nor2 port map( a => mult_125_G2_ab_10_8_port, b => n1442, outb =>
n1441);
U1116 : nor2 port map( a => mult_125_G2_ab_11_8_port, b => n1444, outb =>
n1443);
U1117 : nor2 port map( a => mult_125_G2_ab_12_8_port, b => n1446, outb =>
n1445);
U1118 : nor2 port map( a => mult_125_G2_ab_13_8_port, b => n1448, outb =>
n1447);
U1119 : nor2 port map( a => mult_125_G2_ab_14_8_port, b => n1450, outb =>
n1449);
U1120 : nor2 port map( a => mult_125_G2_ab_15_8_port, b => n1452, outb =>
n1451);
U1121 : nand2 port map( a => n1454, b => n1455, outb => n1453);
U1122 : nand2 port map( a => n1457, b => n1458, outb => n1456);
U1123 : nor2 port map( a => mult_125_G2_ab_4_7_port, b => n1460, outb =>
n1459);
U1124 : nor2 port map( a => mult_125_G2_ab_5_7_port, b => n1462, outb =>
n1461);
U1125 : nor2 port map( a => mult_125_G2_ab_6_7_port, b => n1464, outb =>
n1463);
U1126 : nor2 port map( a => mult_125_G2_ab_7_7_port, b => n1466, outb =>
n1465);
U1127 : nor2 port map( a => mult_125_G2_ab_8_7_port, b => n1468, outb =>
n1467);
U1128 : nor2 port map( a => mult_125_G2_ab_9_7_port, b => n1470, outb =>
n1469);
U1129 : nor2 port map( a => mult_125_G2_ab_10_7_port, b => n1472, outb =>
n1471);
U1130 : nor2 port map( a => mult_125_G2_ab_11_7_port, b => n1474, outb =>
n1473);
U1131 : nor2 port map( a => mult_125_G2_ab_12_7_port, b => n1476, outb =>
n1475);
U1132 : nor2 port map( a => mult_125_G2_ab_13_7_port, b => n1478, outb =>
n1477);
U1133 : nor2 port map( a => mult_125_G2_ab_14_7_port, b => n1480, outb =>
n1479);
U1134 : nand2 port map( a => n1482, b => n1483, outb => n1481);
U1135 : nand2 port map( a => n1485, b => n1486, outb => n1484);
U1136 : nor2 port map( a => mult_125_G2_ab_3_6_port, b => n1488, outb =>
n1487);
U1137 : nor2 port map( a => mult_125_G2_ab_4_6_port, b => n1490, outb =>
n1489);
U1138 : nand2 port map( a => n1492, b => n1493, outb => n1491);
U1139 : nor2 port map( a => mult_125_G2_ab_6_6_port, b => n1495, outb =>
n1494);
U1140 : nor2 port map( a => mult_125_G2_ab_7_6_port, b => n1497, outb =>
n1496);
U1141 : nor2 port map( a => mult_125_G2_ab_8_6_port, b => n1499, outb =>
n1498);
U1142 : nand2 port map( a => n1501, b => n1502, outb => n1500);
U1143 : nor2 port map( a => mult_125_G2_ab_10_6_port, b => n1504, outb =>
n1503);
U1144 : nor2 port map( a => mult_125_G2_ab_11_6_port, b => n1506, outb =>
n1505);
U1145 : nor2 port map( a => mult_125_G2_ab_12_6_port, b => n1508, outb =>
n1507);
U1146 : nor2 port map( a => mult_125_G2_ab_13_6_port, b => n1510, outb =>
n1509);
U1147 : nor2 port map( a => mult_125_G2_ab_14_6_port, b => n1512, outb =>
n1511);
U1148 : nor2 port map( a => mult_125_G2_ab_15_6_port, b => n1514, outb =>
n1513);
U1149 : nand2 port map( a => n1516, b => n1517, outb => n1515);
U1150 : nand2 port map( a => n1519, b => n1520, outb => n1518);
U1151 : nor2 port map( a => mult_125_G2_ab_4_5_port, b => n1522, outb =>
n1521);
U1152 : nor2 port map( a => mult_125_G2_ab_5_5_port, b => n1524, outb =>
n1523);
U1153 : nand2 port map( a => n1526, b => n1527, outb => n1525);
U1154 : nor2 port map( a => mult_125_G2_ab_7_5_port, b => n1529, outb =>
n1528);
U1155 : nor2 port map( a => mult_125_G2_ab_8_5_port, b => n1531, outb =>
n1530);
U1156 : nor2 port map( a => mult_125_G2_ab_9_5_port, b => n1533, outb =>
n1532);
U1157 : nor2 port map( a => mult_125_G2_ab_10_5_port, b => n1535, outb =>
n1534);
U1158 : nor2 port map( a => mult_125_G2_ab_11_5_port, b => n1537, outb =>
n1536);
U1159 : nor2 port map( a => mult_125_G2_ab_12_5_port, b => n1539, outb =>
n1538);
U1160 : nor2 port map( a => mult_125_G2_ab_13_5_port, b => n1541, outb =>
n1540);
U1161 : nor2 port map( a => mult_125_G2_ab_14_5_port, b => n1543, outb =>
n1542);
U1162 : nand2 port map( a => n1545, b => n1546, outb => n1544);
U1163 : nand2 port map( a => n1548, b => n1549, outb => n1547);
U1164 : nor2 port map( a => mult_125_G2_ab_3_4_port, b => n1551, outb =>
n1550);
U1165 : nor2 port map( a => mult_125_G2_ab_4_4_port, b => n1553, outb =>
n1552);
U1166 : nand2 port map( a => n1555, b => n1556, outb => n1554);
U1167 : nor2 port map( a => mult_125_G2_ab_6_4_port, b => n1558, outb =>
n1557);
U1168 : nand2 port map( a => n1560, b => n1561, outb => n1559);
U1169 : nor2 port map( a => mult_125_G2_ab_8_4_port, b => n1563, outb =>
n1562);
U1170 : nor2 port map( a => mult_125_G2_ab_9_4_port, b => n1565, outb =>
n1564);
U1171 : nor2 port map( a => mult_125_G2_ab_10_4_port, b => n1567, outb =>
n1566);
U1172 : nand2 port map( a => n1569, b => n1570, outb => n1568);
U1173 : nor2 port map( a => mult_125_G2_ab_12_4_port, b => n1572, outb =>
n1571);
U1174 : nor2 port map( a => mult_125_G2_ab_13_4_port, b => n1574, outb =>
n1573);
U1175 : nor2 port map( a => mult_125_G2_ab_14_4_port, b => n1576, outb =>
n1575);
U1176 : nand2 port map( a => n1578, b => n1579, outb => n1577);
U1177 : nand2 port map( a => n1581, b => n1582, outb => n1580);
U1178 : nand2 port map( a => n1584, b => n1585, outb => n1583);
U1179 : nor2 port map( a => mult_125_G2_ab_4_3_port, b => n1587, outb =>
n1586);
U1180 : nor2 port map( a => mult_125_G2_ab_5_3_port, b => n1589, outb =>
n1588);
U1181 : nor2 port map( a => mult_125_G2_ab_6_3_port, b => n1591, outb =>
n1590);
U1182 : nor2 port map( a => mult_125_G2_ab_7_3_port, b => n1593, outb =>
n1592);
U1183 : nor2 port map( a => mult_125_G2_ab_8_3_port, b => n1595, outb =>
n1594);
U1184 : nor2 port map( a => mult_125_G2_ab_9_3_port, b => n1597, outb =>
n1596);
U1185 : nor2 port map( a => mult_125_G2_ab_10_3_port, b => n1599, outb =>
n1598);
U1186 : nor2 port map( a => mult_125_G2_ab_11_3_port, b => n1601, outb =>
n1600);
U1187 : nor2 port map( a => mult_125_G2_ab_12_3_port, b => n1603, outb =>
n1602);
U1188 : nor2 port map( a => mult_125_G2_ab_13_3_port, b => n1605, outb =>
n1604);
U1189 : nor2 port map( a => mult_125_G2_ab_14_3_port, b => n1607, outb =>
n1606);
U1190 : nor2 port map( a => mult_125_G2_ab_15_3_port, b => n1609, outb =>
n1608);
U1191 : nand2 port map( a => n1611, b => n1612, outb => n1610);
U1192 : nor2 port map( a => mult_125_G2_ab_3_2_port, b => n1614, outb =>
n1613);
U1193 : nor2 port map( a => mult_125_G2_ab_4_2_port, b => n1616, outb =>
n1615);
U1194 : nor2 port map( a => mult_125_G2_ab_5_2_port, b => n1618, outb =>
n1617);
U1195 : nor2 port map( a => mult_125_G2_ab_6_2_port, b => n1620, outb =>
n1619);
U1196 : nand2 port map( a => n1622, b => n1623, outb => n1621);
U1197 : nor2 port map( a => mult_125_G2_ab_8_2_port, b => n1625, outb =>
n1624);
U1198 : nor2 port map( a => mult_125_G2_ab_9_2_port, b => n1627, outb =>
n1626);
U1199 : nor2 port map( a => mult_125_G2_ab_10_2_port, b => n1629, outb =>
n1628);
U1200 : nor2 port map( a => mult_125_G2_ab_11_2_port, b => n1631, outb =>
n1630);
U1201 : nor2 port map( a => mult_125_G2_ab_12_2_port, b => n1633, outb =>
n1632);
U1202 : nor2 port map( a => mult_125_G2_ab_13_2_port, b => n1635, outb =>
n1634);
U1203 : nor2 port map( a => mult_125_G2_ab_14_2_port, b => n1637, outb =>
n1636);
U1204 : nor2 port map( a => mult_125_G2_ab_15_2_port, b => n1639, outb =>
n1638);
U1205 : nand2 port map( a => n1641, b => n1642, outb => n1640);
U1206 : nor2 port map( a => mult_125_G2_ab_3_1_port, b => n1644, outb =>
n1643);
U1207 : nor2 port map( a => mult_125_G2_ab_4_1_port, b => n1646, outb =>
n1645);
U1208 : nor2 port map( a => mult_125_G2_ab_5_1_port, b => n1648, outb =>
n1647);
U1209 : nor2 port map( a => mult_125_G2_ab_6_1_port, b => n1650, outb =>
n1649);
U1210 : nor2 port map( a => mult_125_G2_ab_7_1_port, b => n1652, outb =>
n1651);
U1211 : nor2 port map( a => mult_125_G2_ab_8_1_port, b => n1654, outb =>
n1653);
U1212 : nor2 port map( a => mult_125_G2_ab_9_1_port, b => n1656, outb =>
n1655);
U1213 : nor2 port map( a => mult_125_G2_ab_10_1_port, b => n1658, outb =>
n1657);
U1214 : nor2 port map( a => mult_125_G2_ab_11_1_port, b => n1660, outb =>
n1659);
U1215 : nor2 port map( a => mult_125_G2_ab_12_1_port, b => n1662, outb =>
n1661);
U1216 : nor2 port map( a => mult_125_G2_ab_13_1_port, b => n1664, outb =>
n1663);
U1217 : nor2 port map( a => mult_125_G2_ab_14_1_port, b => n1666, outb =>
n1665);
U1218 : nor2 port map( a => mult_125_G2_ab_15_1_port, b => n1668, outb =>
n1667);
U1219 : nor2 port map( a => mult_125_G2_ab_2_0_port, b => n1670, outb =>
n1669);
U1220 : nor2 port map( a => mult_125_G2_ab_3_0_port, b => n1672, outb =>
n1671);
U1221 : nor2 port map( a => mult_125_G2_ab_4_0_port, b => n1674, outb =>
n1673);
U1222 : nor2 port map( a => mult_125_G2_ab_5_0_port, b => n1676, outb =>
n1675);
U1223 : nor2 port map( a => mult_125_G2_ab_6_0_port, b => n1678, outb =>
n1677);
U1224 : nor2 port map( a => mult_125_G2_ab_7_0_port, b => n1680, outb =>
n1679);
U1225 : nor2 port map( a => mult_125_G2_ab_8_0_port, b => n1682, outb =>
n1681);
U1226 : nor2 port map( a => mult_125_G2_ab_9_0_port, b => n1684, outb =>
n1683);
U1227 : nor2 port map( a => mult_125_G2_ab_10_0_port, b => n1686, outb =>
n1685);
U1228 : nor2 port map( a => mult_125_G2_ab_11_0_port, b => n1688, outb =>
n1687);
U1229 : nor2 port map( a => mult_125_G2_ab_12_0_port, b => n1690, outb =>
n1689);
U1230 : nor2 port map( a => mult_125_G2_ab_13_0_port, b => n1692, outb =>
n1691);
U1231 : nor2 port map( a => mult_125_G2_ab_14_0_port, b => n1694, outb =>
n1693);
U1232 : nor2 port map( a => mult_125_G2_ab_15_0_port, b => n1696, outb =>
n1695);
U1233 : nor2 port map( a => mult_125_G2_ZB, b => mult_125_G2_ZA, outb =>
n346);
U1234 : nand2 port map( a => mult_125_G2_QB, b => mult_125_G2_ab_15_15_port,
outb => n1697);
U1235 : nor2 port map( a => mult_125_ab_1_15_port, b =>
mult_125_ab_2_14_port, outb => n1698);
U1236 : nor2 port map( a => mult_125_ab_2_15_port, b =>
mult_125_ab_3_14_port, outb => n1699);
U1237 : nor2 port map( a => mult_125_ab_3_15_port, b =>
mult_125_ab_4_14_port, outb => n1700);
U1238 : nor2 port map( a => mult_125_ab_4_15_port, b =>
mult_125_ab_5_14_port, outb => n1701);
U1239 : nor2 port map( a => mult_125_ab_5_15_port, b =>
mult_125_ab_6_14_port, outb => n1702);
U1240 : nor2 port map( a => mult_125_ab_6_15_port, b =>
mult_125_ab_7_14_port, outb => n1703);
U1241 : nor2 port map( a => mult_125_ab_7_15_port, b =>
mult_125_ab_8_14_port, outb => n1704);
U1242 : nor2 port map( a => mult_125_ab_8_15_port, b =>
mult_125_ab_9_14_port, outb => n1705);
U1243 : nor2 port map( a => mult_125_ab_9_15_port, b =>
mult_125_ab_10_14_port, outb => n1706);
U1244 : nor2 port map( a => mult_125_ab_10_15_port, b =>
mult_125_ab_11_14_port, outb => n1707);
U1245 : nor2 port map( a => mult_125_ab_11_15_port, b =>
mult_125_ab_12_14_port, outb => n1708);
U1246 : nor2 port map( a => mult_125_ab_12_15_port, b =>
mult_125_ab_13_14_port, outb => n1709);
U1247 : nor2 port map( a => mult_125_ab_13_15_port, b =>
mult_125_ab_14_14_port, outb => n1710);
U1248 : nor2 port map( a => mult_125_ab_14_15_port, b =>
mult_125_ab_15_14_port, outb => n1711);
U1249 : nand2 port map( a => n1713, b => n1714, outb => n1712);
U1250 : nand2 port map( a => n1716, b => n1717, outb => n1715);
U1251 : nor2 port map( a => mult_125_ab_4_13_port, b => n1719, outb => n1718
);
U1252 : nor2 port map( a => mult_125_ab_5_13_port, b => n1721, outb => n1720
);
U1253 : nor2 port map( a => mult_125_ab_6_13_port, b => n1723, outb => n1722
);
U1254 : nor2 port map( a => mult_125_ab_7_13_port, b => n1725, outb => n1724
);
U1255 : nor2 port map( a => mult_125_ab_8_13_port, b => n1727, outb => n1726
);
U1256 : nor2 port map( a => mult_125_ab_9_13_port, b => n1729, outb => n1728
);
U1257 : nor2 port map( a => mult_125_ab_10_13_port, b => n1731, outb =>
n1730);
U1258 : nor2 port map( a => mult_125_ab_11_13_port, b => n1733, outb =>
n1732);
U1259 : nor2 port map( a => mult_125_ab_12_13_port, b => n1735, outb =>
n1734);
U1260 : nor2 port map( a => mult_125_ab_13_13_port, b => n1737, outb =>
n1736);
U1261 : nor2 port map( a => mult_125_ab_14_13_port, b => n1739, outb =>
n1738);
U1262 : nor2 port map( a => mult_125_ab_15_13_port, b => n1741, outb =>
n1740);
U1263 : nand2 port map( a => n1743, b => n1744, outb => n1742);
U1264 : nor2 port map( a => mult_125_ab_3_12_port, b => n1746, outb => n1745
);
U1265 : nor2 port map( a => mult_125_ab_4_12_port, b => n1748, outb => n1747
);
U1266 : nor2 port map( a => mult_125_ab_5_12_port, b => n1750, outb => n1749
);
U1267 : nor2 port map( a => mult_125_ab_6_12_port, b => n1752, outb => n1751
);
U1268 : nor2 port map( a => mult_125_ab_7_12_port, b => n1754, outb => n1753
);
U1269 : nor2 port map( a => mult_125_ab_8_12_port, b => n1756, outb => n1755
);
U1270 : nor2 port map( a => mult_125_ab_9_12_port, b => n1758, outb => n1757
);
U1271 : nor2 port map( a => mult_125_ab_10_12_port, b => n1760, outb =>
n1759);
U1272 : nor2 port map( a => mult_125_ab_11_12_port, b => n1762, outb =>
n1761);
U1273 : nor2 port map( a => mult_125_ab_12_12_port, b => n1764, outb =>
n1763);
U1274 : nor2 port map( a => mult_125_ab_13_12_port, b => n1766, outb =>
n1765);
U1275 : nor2 port map( a => mult_125_ab_14_12_port, b => n1768, outb =>
n1767);
U1276 : nor2 port map( a => mult_125_ab_15_12_port, b => n1770, outb =>
n1769);
U1277 : nand2 port map( a => n1772, b => n1773, outb => n1771);
U1278 : nand2 port map( a => n1775, b => n1776, outb => n1774);
U1279 : nor2 port map( a => mult_125_ab_4_11_port, b => n1778, outb => n1777
);
U1280 : nor2 port map( a => mult_125_ab_5_11_port, b => n1780, outb => n1779
);
U1281 : nor2 port map( a => mult_125_ab_6_11_port, b => n1782, outb => n1781
);
U1282 : nor2 port map( a => mult_125_ab_7_11_port, b => n1784, outb => n1783
);
U1283 : nor2 port map( a => mult_125_ab_8_11_port, b => n1786, outb => n1785
);
U1284 : nor2 port map( a => mult_125_ab_9_11_port, b => n1788, outb => n1787
);
U1285 : nor2 port map( a => mult_125_ab_10_11_port, b => n1790, outb =>
n1789);
U1286 : nor2 port map( a => mult_125_ab_11_11_port, b => n1792, outb =>
n1791);
U1287 : nor2 port map( a => mult_125_ab_12_11_port, b => n1794, outb =>
n1793);
U1288 : nor2 port map( a => mult_125_ab_13_11_port, b => n1796, outb =>
n1795);
U1289 : nor2 port map( a => mult_125_ab_14_11_port, b => n1798, outb =>
n1797);
U1290 : nand2 port map( a => n1800, b => n1801, outb => n1799);
U1291 : nand2 port map( a => n1803, b => n1804, outb => n1802);
U1292 : nor2 port map( a => mult_125_ab_3_10_port, b => n1806, outb => n1805
);
U1293 : nor2 port map( a => mult_125_ab_4_10_port, b => n1808, outb => n1807
);
U1294 : nand2 port map( a => n1810, b => n1811, outb => n1809);
U1295 : nor2 port map( a => mult_125_ab_6_10_port, b => n1813, outb => n1812
);
U1296 : nor2 port map( a => mult_125_ab_7_10_port, b => n1815, outb => n1814
);
U1297 : nor2 port map( a => mult_125_ab_8_10_port, b => n1817, outb => n1816
);
U1298 : nor2 port map( a => mult_125_ab_9_10_port, b => n1819, outb => n1818
);
U1299 : nor2 port map( a => mult_125_ab_10_10_port, b => n1821, outb =>
n1820);
U1300 : nor2 port map( a => mult_125_ab_11_10_port, b => n1823, outb =>
n1822);
U1301 : nor2 port map( a => mult_125_ab_12_10_port, b => n1825, outb =>
n1824);
U1302 : nor2 port map( a => mult_125_ab_13_10_port, b => n1827, outb =>
n1826);
U1303 : nor2 port map( a => mult_125_ab_14_10_port, b => n1829, outb =>
n1828);
U1304 : nor2 port map( a => mult_125_ab_15_10_port, b => n1831, outb =>
n1830);
U1305 : nand2 port map( a => n1833, b => n1834, outb => n1832);
U1306 : nor2 port map( a => mult_125_ab_3_9_port, b => n1836, outb => n1835)
;
U1307 : nor2 port map( a => mult_125_ab_4_9_port, b => n1838, outb => n1837)
;
U1308 : nor2 port map( a => mult_125_ab_5_9_port, b => n1840, outb => n1839)
;
U1309 : nor2 port map( a => mult_125_ab_6_9_port, b => n1842, outb => n1841)
;
U1310 : nor2 port map( a => mult_125_ab_7_9_port, b => n1844, outb => n1843)
;
U1311 : nor2 port map( a => mult_125_ab_8_9_port, b => n1846, outb => n1845)
;
U1312 : nor2 port map( a => mult_125_ab_9_9_port, b => n1848, outb => n1847)
;
U1313 : nor2 port map( a => mult_125_ab_10_9_port, b => n1850, outb => n1849
);
U1314 : nor2 port map( a => mult_125_ab_11_9_port, b => n1852, outb => n1851
);
U1315 : nor2 port map( a => mult_125_ab_12_9_port, b => n1854, outb => n1853
);
U1316 : nor2 port map( a => mult_125_ab_13_9_port, b => n1856, outb => n1855
);
U1317 : nor2 port map( a => mult_125_ab_14_9_port, b => n1858, outb => n1857
);
U1318 : nand2 port map( a => n1860, b => n1861, outb => n1859);
U1319 : nand2 port map( a => n1863, b => n1864, outb => n1862);
U1320 : nor2 port map( a => mult_125_ab_3_8_port, b => n1866, outb => n1865)
;
U1321 : nor2 port map( a => mult_125_ab_4_8_port, b => n1868, outb => n1867)
;
U1322 : nor2 port map( a => mult_125_ab_5_8_port, b => n1870, outb => n1869)
;
U1323 : nor2 port map( a => mult_125_ab_6_8_port, b => n1872, outb => n1871)
;
U1324 : nor2 port map( a => mult_125_ab_7_8_port, b => n1874, outb => n1873)
;
U1325 : nor2 port map( a => mult_125_ab_8_8_port, b => n1876, outb => n1875)
;
U1326 : nor2 port map( a => mult_125_ab_9_8_port, b => n1878, outb => n1877)
;
U1327 : nor2 port map( a => mult_125_ab_10_8_port, b => n1880, outb => n1879
);
U1328 : nor2 port map( a => mult_125_ab_11_8_port, b => n1882, outb => n1881
);
U1329 : nor2 port map( a => mult_125_ab_12_8_port, b => n1884, outb => n1883
);
U1330 : nor2 port map( a => mult_125_ab_13_8_port, b => n1886, outb => n1885
);
U1331 : nor2 port map( a => mult_125_ab_14_8_port, b => n1888, outb => n1887
);
U1332 : nor2 port map( a => mult_125_ab_15_8_port, b => n1890, outb => n1889
);
U1333 : nand2 port map( a => n1892, b => n1893, outb => n1891);
U1334 : nand2 port map( a => n1895, b => n1896, outb => n1894);
U1335 : nor2 port map( a => mult_125_ab_4_7_port, b => n1898, outb => n1897)
;
U1336 : nor2 port map( a => mult_125_ab_5_7_port, b => n1900, outb => n1899)
;
U1337 : nor2 port map( a => mult_125_ab_6_7_port, b => n1902, outb => n1901)
;
U1338 : nor2 port map( a => mult_125_ab_7_7_port, b => n1904, outb => n1903)
;
U1339 : nor2 port map( a => mult_125_ab_8_7_port, b => n1906, outb => n1905)
;
U1340 : nor2 port map( a => mult_125_ab_9_7_port, b => n1908, outb => n1907)
;
U1341 : nor2 port map( a => mult_125_ab_10_7_port, b => n1910, outb => n1909
);
U1342 : nor2 port map( a => mult_125_ab_11_7_port, b => n1912, outb => n1911
);
U1343 : nor2 port map( a => mult_125_ab_12_7_port, b => n1914, outb => n1913
);
U1344 : nor2 port map( a => mult_125_ab_13_7_port, b => n1916, outb => n1915
);
U1345 : nor2 port map( a => mult_125_ab_14_7_port, b => n1918, outb => n1917
);
U1346 : nand2 port map( a => n1920, b => n1921, outb => n1919);
U1347 : nand2 port map( a => n1923, b => n1924, outb => n1922);
U1348 : nor2 port map( a => mult_125_ab_3_6_port, b => n1926, outb => n1925)
;
U1349 : nor2 port map( a => mult_125_ab_4_6_port, b => n1928, outb => n1927)
;
U1350 : nand2 port map( a => n1930, b => n1931, outb => n1929);
U1351 : nor2 port map( a => mult_125_ab_6_6_port, b => n1933, outb => n1932)
;
U1352 : nor2 port map( a => mult_125_ab_7_6_port, b => n1935, outb => n1934)
;
U1353 : nor2 port map( a => mult_125_ab_8_6_port, b => n1937, outb => n1936)
;
U1354 : nand2 port map( a => n1939, b => n1940, outb => n1938);
U1355 : nor2 port map( a => mult_125_ab_10_6_port, b => n1942, outb => n1941
);
U1356 : nor2 port map( a => mult_125_ab_11_6_port, b => n1944, outb => n1943
);
U1357 : nor2 port map( a => mult_125_ab_12_6_port, b => n1946, outb => n1945
);
U1358 : nor2 port map( a => mult_125_ab_13_6_port, b => n1948, outb => n1947
);
U1359 : nor2 port map( a => mult_125_ab_14_6_port, b => n1950, outb => n1949
);
U1360 : nor2 port map( a => mult_125_ab_15_6_port, b => n1952, outb => n1951
);
U1361 : nand2 port map( a => n1954, b => n1955, outb => n1953);
U1362 : nand2 port map( a => n1957, b => n1958, outb => n1956);
U1363 : nor2 port map( a => mult_125_ab_4_5_port, b => n1960, outb => n1959)
;
U1364 : nor2 port map( a => mult_125_ab_5_5_port, b => n1962, outb => n1961)
;
U1365 : nand2 port map( a => n1964, b => n1965, outb => n1963);
U1366 : nor2 port map( a => mult_125_ab_7_5_port, b => n1967, outb => n1966)
;
U1367 : nor2 port map( a => mult_125_ab_8_5_port, b => n1969, outb => n1968)
;
U1368 : nor2 port map( a => mult_125_ab_9_5_port, b => n1971, outb => n1970)
;
U1369 : nor2 port map( a => mult_125_ab_10_5_port, b => n1973, outb => n1972
);
U1370 : nor2 port map( a => mult_125_ab_11_5_port, b => n1975, outb => n1974
);
U1371 : nor2 port map( a => mult_125_ab_12_5_port, b => n1977, outb => n1976
);
U1372 : nor2 port map( a => mult_125_ab_13_5_port, b => n1979, outb => n1978
);
U1373 : nor2 port map( a => mult_125_ab_14_5_port, b => n1981, outb => n1980
);
U1374 : nand2 port map( a => n1983, b => n1984, outb => n1982);
U1375 : nand2 port map( a => n1986, b => n1987, outb => n1985);
U1376 : nor2 port map( a => mult_125_ab_3_4_port, b => n1989, outb => n1988)
;
U1377 : nor2 port map( a => mult_125_ab_4_4_port, b => n1991, outb => n1990)
;
U1378 : nand2 port map( a => n1993, b => n1994, outb => n1992);
U1379 : nor2 port map( a => mult_125_ab_6_4_port, b => n1996, outb => n1995)
;
U1380 : nand2 port map( a => n1998, b => n1999, outb => n1997);
U1381 : nor2 port map( a => mult_125_ab_8_4_port, b => n2001, outb => n2000)
;
U1382 : nor2 port map( a => mult_125_ab_9_4_port, b => n2003, outb => n2002)
;
U1383 : nor2 port map( a => mult_125_ab_10_4_port, b => n2005, outb => n2004
);
U1384 : nand2 port map( a => n2007, b => n2008, outb => n2006);
U1385 : nor2 port map( a => mult_125_ab_12_4_port, b => n2010, outb => n2009
);
U1386 : nor2 port map( a => mult_125_ab_13_4_port, b => n2012, outb => n2011
);
U1387 : nor2 port map( a => mult_125_ab_14_4_port, b => n2014, outb => n2013
);
U1388 : nand2 port map( a => n2016, b => n2017, outb => n2015);
U1389 : nand2 port map( a => n2019, b => n2020, outb => n2018);
U1390 : nand2 port map( a => n2022, b => n2023, outb => n2021);
U1391 : nor2 port map( a => mult_125_ab_4_3_port, b => n2025, outb => n2024)
;
U1392 : nor2 port map( a => mult_125_ab_5_3_port, b => n2027, outb => n2026)
;
U1393 : nor2 port map( a => mult_125_ab_6_3_port, b => n2029, outb => n2028)
;
U1394 : nor2 port map( a => mult_125_ab_7_3_port, b => n2031, outb => n2030)
;
U1395 : nor2 port map( a => mult_125_ab_8_3_port, b => n2033, outb => n2032)
;
U1396 : nor2 port map( a => mult_125_ab_9_3_port, b => n2035, outb => n2034)
;
U1397 : nor2 port map( a => mult_125_ab_10_3_port, b => n2037, outb => n2036
);
U1398 : nor2 port map( a => mult_125_ab_11_3_port, b => n2039, outb => n2038
);
U1399 : nor2 port map( a => mult_125_ab_12_3_port, b => n2041, outb => n2040
);
U1400 : nor2 port map( a => mult_125_ab_13_3_port, b => n2043, outb => n2042
);
U1401 : nor2 port map( a => mult_125_ab_14_3_port, b => n2045, outb => n2044
);
U1402 : nor2 port map( a => mult_125_ab_15_3_port, b => n2047, outb => n2046
);
U1403 : nand2 port map( a => n2049, b => n2050, outb => n2048);
U1404 : nor2 port map( a => mult_125_ab_3_2_port, b => n2052, outb => n2051)
;
U1405 : nor2 port map( a => mult_125_ab_4_2_port, b => n2054, outb => n2053)
;
U1406 : nor2 port map( a => mult_125_ab_5_2_port, b => n2056, outb => n2055)
;
U1407 : nor2 port map( a => mult_125_ab_6_2_port, b => n2058, outb => n2057)
;
U1408 : nand2 port map( a => n2060, b => n2061, outb => n2059);
U1409 : nor2 port map( a => mult_125_ab_8_2_port, b => n2063, outb => n2062)
;
U1410 : nor2 port map( a => mult_125_ab_9_2_port, b => n2065, outb => n2064)
;
U1411 : nor2 port map( a => mult_125_ab_10_2_port, b => n2067, outb => n2066
);
U1412 : nor2 port map( a => mult_125_ab_11_2_port, b => n2069, outb => n2068
);
U1413 : nor2 port map( a => mult_125_ab_12_2_port, b => n2071, outb => n2070
);
U1414 : nor2 port map( a => mult_125_ab_13_2_port, b => n2073, outb => n2072
);
U1415 : nor2 port map( a => mult_125_ab_14_2_port, b => n2075, outb => n2074
);
U1416 : nor2 port map( a => mult_125_ab_15_2_port, b => n2077, outb => n2076
);
U1417 : nand2 port map( a => n2079, b => n2080, outb => n2078);
U1418 : nor2 port map( a => mult_125_ab_3_1_port, b => n2082, outb => n2081)
;
U1419 : nor2 port map( a => mult_125_ab_4_1_port, b => n2084, outb => n2083)
;
U1420 : nor2 port map( a => mult_125_ab_5_1_port, b => n2086, outb => n2085)
;
U1421 : nor2 port map( a => mult_125_ab_6_1_port, b => n2088, outb => n2087)
;
U1422 : nor2 port map( a => mult_125_ab_7_1_port, b => n2090, outb => n2089)
;
U1423 : nor2 port map( a => mult_125_ab_8_1_port, b => n2092, outb => n2091)
;
U1424 : nor2 port map( a => mult_125_ab_9_1_port, b => n2094, outb => n2093)
;
U1425 : nor2 port map( a => mult_125_ab_10_1_port, b => n2096, outb => n2095
);
U1426 : nor2 port map( a => mult_125_ab_11_1_port, b => n2098, outb => n2097
);
U1427 : nor2 port map( a => mult_125_ab_12_1_port, b => n2100, outb => n2099
);
U1428 : nor2 port map( a => mult_125_ab_13_1_port, b => n2102, outb => n2101
);
U1429 : nor2 port map( a => mult_125_ab_14_1_port, b => n2104, outb => n2103
);
U1430 : nor2 port map( a => mult_125_ab_15_1_port, b => n2106, outb => n2105
);
U1431 : nor2 port map( a => mult_125_ab_2_0_port, b => n2108, outb => n2107)
;
U1432 : nor2 port map( a => mult_125_ab_3_0_port, b => n2110, outb => n2109)
;
U1433 : nor2 port map( a => mult_125_ab_4_0_port, b => n2112, outb => n2111)
;
U1434 : nor2 port map( a => mult_125_ab_5_0_port, b => n2114, outb => n2113)
;
U1435 : nor2 port map( a => mult_125_ab_6_0_port, b => n2116, outb => n2115)
;
U1436 : nor2 port map( a => mult_125_ab_7_0_port, b => n2118, outb => n2117)
;
U1437 : nor2 port map( a => mult_125_ab_8_0_port, b => n2120, outb => n2119)
;
U1438 : nor2 port map( a => mult_125_ab_9_0_port, b => n2122, outb => n2121)
;
U1439 : nor2 port map( a => mult_125_ab_10_0_port, b => n2124, outb => n2123
);
U1440 : nor2 port map( a => mult_125_ab_11_0_port, b => n2126, outb => n2125
);
U1441 : nor2 port map( a => mult_125_ab_12_0_port, b => n2128, outb => n2127
);
U1442 : nor2 port map( a => mult_125_ab_13_0_port, b => n2130, outb => n2129
);
U1443 : nor2 port map( a => mult_125_ab_14_0_port, b => n2132, outb => n2131
);
U1444 : nor2 port map( a => mult_125_ab_15_0_port, b => n2134, outb => n2133
);
U1445 : nor2 port map( a => mult_125_ZB, b => mult_125_ZA, outb => n310);
U1446 : nand2 port map( a => mult_125_QB, b => mult_125_ab_15_15_port, outb
=> n2135);
U1447 : nor2 port map( a => adder_mem_array_3_1_port, b => n2137, outb =>
n2136);
U1448 : nor2 port map( a => multiplier_sigs_2_2_port, b =>
adder_mem_array_3_2_port, outb => n2138);
U1449 : nor2 port map( a => multiplier_sigs_2_3_port, b =>
adder_mem_array_3_3_port, outb => n2139);
U1450 : nor2 port map( a => multiplier_sigs_2_4_port, b =>
adder_mem_array_3_4_port, outb => n2140);
U1451 : nor2 port map( a => multiplier_sigs_2_5_port, b =>
adder_mem_array_3_5_port, outb => n2141);
U1452 : nor2 port map( a => multiplier_sigs_2_6_port, b =>
adder_mem_array_3_6_port, outb => n2142);
U1453 : nor2 port map( a => multiplier_sigs_2_7_port, b =>
adder_mem_array_3_7_port, outb => n2143);
U1454 : nor2 port map( a => multiplier_sigs_2_8_port, b =>
adder_mem_array_3_8_port, outb => n2144);
U1455 : nor2 port map( a => multiplier_sigs_2_9_port, b =>
adder_mem_array_3_9_port, outb => n2145);
U1456 : nor2 port map( a => multiplier_sigs_2_10_port, b =>
adder_mem_array_3_10_port, outb => n2146);
U1457 : nor2 port map( a => multiplier_sigs_2_11_port, b =>
adder_mem_array_3_11_port, outb => n2147);
U1458 : nor2 port map( a => multiplier_sigs_2_12_port, b =>
adder_mem_array_3_12_port, outb => n2148);
U1459 : nor2 port map( a => multiplier_sigs_2_13_port, b =>
adder_mem_array_3_13_port, outb => n2149);
U1460 : nor2 port map( a => multiplier_sigs_2_14_port, b =>
adder_mem_array_3_14_port, outb => n2150);
U1461 : nor2 port map( a => multiplier_sigs_2_15_port, b =>
adder_mem_array_3_15_port, outb => n2151);
U1462 : nor2 port map( a => multiplier_sigs_2_16_port, b =>
adder_mem_array_3_16_port, outb => n2152);
U1463 : nor2 port map( a => multiplier_sigs_2_17_port, b =>
adder_mem_array_3_17_port, outb => n2153);
U1464 : nor2 port map( a => multiplier_sigs_2_18_port, b =>
adder_mem_array_3_18_port, outb => n2154);
U1465 : nor2 port map( a => multiplier_sigs_2_19_port, b =>
adder_mem_array_3_19_port, outb => n2155);
U1466 : nor2 port map( a => multiplier_sigs_2_20_port, b =>
adder_mem_array_3_20_port, outb => n2156);
U1467 : nor2 port map( a => multiplier_sigs_2_21_port, b =>
adder_mem_array_3_21_port, outb => n2157);
U1468 : nor2 port map( a => multiplier_sigs_2_22_port, b =>
adder_mem_array_3_22_port, outb => n2158);
U1469 : nor2 port map( a => multiplier_sigs_2_23_port, b =>
adder_mem_array_3_23_port, outb => n2159);
U1470 : nor2 port map( a => multiplier_sigs_2_24_port, b =>
adder_mem_array_3_24_port, outb => n2160);
U1471 : nor2 port map( a => multiplier_sigs_2_25_port, b =>
adder_mem_array_3_25_port, outb => n2161);
U1472 : nor2 port map( a => multiplier_sigs_2_26_port, b =>
adder_mem_array_3_26_port, outb => n2162);
U1473 : nor2 port map( a => adder_mem_array_1_1_port, b => n2164, outb =>
n2163);
U1474 : nor2 port map( a => multiplier_sigs_0_2_port, b =>
adder_mem_array_1_2_port, outb => n2165);
U1475 : nor2 port map( a => adder_mem_array_2_1_port, b => n2167, outb =>
n2166);
U1476 : nor2 port map( a => multiplier_sigs_1_2_port, b =>
adder_mem_array_2_2_port, outb => n2168);
U1477 : nor2 port map( a => multiplier_sigs_1_3_port, b =>
adder_mem_array_2_3_port, outb => n2169);
U1478 : nor2 port map( a => multiplier_sigs_1_4_port, b =>
adder_mem_array_2_4_port, outb => n2170);
U1479 : nor2 port map( a => multiplier_sigs_1_5_port, b =>
adder_mem_array_2_5_port, outb => n2171);
U1480 : nor2 port map( a => multiplier_sigs_1_6_port, b =>
adder_mem_array_2_6_port, outb => n2172);
U1481 : nor2 port map( a => multiplier_sigs_1_7_port, b =>
adder_mem_array_2_7_port, outb => n2173);
U1482 : nor2 port map( a => multiplier_sigs_1_8_port, b =>
adder_mem_array_2_8_port, outb => n2174);
U1483 : nor2 port map( a => multiplier_sigs_1_9_port, b =>
adder_mem_array_2_9_port, outb => n2175);
U1484 : nor2 port map( a => multiplier_sigs_1_10_port, b =>
adder_mem_array_2_10_port, outb => n2176);
U1485 : nor2 port map( a => multiplier_sigs_1_11_port, b =>
adder_mem_array_2_11_port, outb => n2177);
U1486 : nor2 port map( a => multiplier_sigs_1_12_port, b =>
adder_mem_array_2_12_port, outb => n2178);
U1487 : nor2 port map( a => multiplier_sigs_1_13_port, b =>
adder_mem_array_2_13_port, outb => n2179);
U1488 : nor2 port map( a => multiplier_sigs_1_14_port, b =>
adder_mem_array_2_14_port, outb => n2180);
U1489 : nor2 port map( a => multiplier_sigs_1_15_port, b =>
adder_mem_array_2_15_port, outb => n2181);
U1490 : nor2 port map( a => multiplier_sigs_1_16_port, b =>
adder_mem_array_2_16_port, outb => n2182);
U1491 : nor2 port map( a => multiplier_sigs_1_17_port, b =>
adder_mem_array_2_17_port, outb => n2183);
U1492 : nor2 port map( a => multiplier_sigs_1_18_port, b =>
adder_mem_array_2_18_port, outb => n2184);
U1493 : nor2 port map( a => multiplier_sigs_1_19_port, b =>
adder_mem_array_2_19_port, outb => n2185);
U1494 : nor2 port map( a => multiplier_sigs_1_20_port, b =>
adder_mem_array_2_20_port, outb => n2186);
U1495 : nor2 port map( a => multiplier_sigs_1_21_port, b =>
adder_mem_array_2_21_port, outb => n2187);
U1496 : nor2 port map( a => multiplier_sigs_1_22_port, b =>
adder_mem_array_2_22_port, outb => n2188);
U1497 : nor2 port map( a => multiplier_sigs_1_23_port, b =>
adder_mem_array_2_23_port, outb => n2189);
U1498 : nor2 port map( a => multiplier_sigs_1_24_port, b =>
adder_mem_array_2_24_port, outb => n2190);
U1499 : nor2 port map( a => multiplier_sigs_1_25_port, b =>
adder_mem_array_2_25_port, outb => n2191);
U1500 : nor2 port map( a => multiplier_sigs_1_26_port, b =>
adder_mem_array_2_26_port, outb => n2192);
U1501 : nor2 port map( a => multiplier_sigs_1_27_port, b =>
adder_mem_array_2_27_port, outb => n2193);
U1502 : nor2 port map( a => multiplier_sigs_1_28_port, b =>
adder_mem_array_2_28_port, outb => n2194);
U1503 : nor2 port map( a => multiplier_sigs_1_29_port, b =>
adder_mem_array_2_29_port, outb => n2195);
U1504 : nor2 port map( a => multiplier_sigs_1_30_port, b =>
adder_mem_array_2_30_port, outb => n2196);
U1505 : aoi22 port map( a => n2198, b => n2199, c =>
adder_mem_array_2_31_port, d =>
multiplier_sigs_1_31_port, outb => n2197);
U1506 : nor2 port map( a => multiplier_sigs_0_3_port, b =>
adder_mem_array_1_3_port, outb => n2200);
U1507 : nor2 port map( a => multiplier_sigs_0_4_port, b =>
adder_mem_array_1_4_port, outb => n2201);
U1508 : nor2 port map( a => multiplier_sigs_0_5_port, b =>
adder_mem_array_1_5_port, outb => n2202);
U1509 : nor2 port map( a => multiplier_sigs_0_6_port, b =>
adder_mem_array_1_6_port, outb => n2203);
U1510 : nor2 port map( a => multiplier_sigs_0_7_port, b =>
adder_mem_array_1_7_port, outb => n2204);
U1511 : nor2 port map( a => multiplier_sigs_0_8_port, b =>
adder_mem_array_1_8_port, outb => n2205);
U1512 : nor2 port map( a => multiplier_sigs_0_9_port, b =>
adder_mem_array_1_9_port, outb => n2206);
U1513 : nor2 port map( a => multiplier_sigs_0_10_port, b =>
adder_mem_array_1_10_port, outb => n2207);
U1514 : nor2 port map( a => multiplier_sigs_0_11_port, b =>
adder_mem_array_1_11_port, outb => n2208);
U1515 : nor2 port map( a => multiplier_sigs_0_12_port, b =>
adder_mem_array_1_12_port, outb => n2209);
U1516 : nor2 port map( a => multiplier_sigs_0_13_port, b =>
adder_mem_array_1_13_port, outb => n2210);
U1517 : nor2 port map( a => multiplier_sigs_0_14_port, b =>
adder_mem_array_1_14_port, outb => n2211);
U1518 : nor2 port map( a => multiplier_sigs_0_15_port, b =>
adder_mem_array_1_15_port, outb => n2212);
U1519 : nor2 port map( a => multiplier_sigs_0_16_port, b =>
adder_mem_array_1_16_port, outb => n2213);
U1520 : nor2 port map( a => multiplier_sigs_0_17_port, b =>
adder_mem_array_1_17_port, outb => n2214);
U1521 : nor2 port map( a => multiplier_sigs_0_18_port, b =>
adder_mem_array_1_18_port, outb => n2215);
U1522 : nor2 port map( a => multiplier_sigs_0_19_port, b =>
adder_mem_array_1_19_port, outb => n2216);
U1523 : nor2 port map( a => multiplier_sigs_0_20_port, b =>
adder_mem_array_1_20_port, outb => n2217);
U1524 : nor2 port map( a => multiplier_sigs_0_21_port, b =>
adder_mem_array_1_21_port, outb => n2218);
U1525 : nor2 port map( a => multiplier_sigs_0_22_port, b =>
adder_mem_array_1_22_port, outb => n2219);
U1526 : nor2 port map( a => multiplier_sigs_0_23_port, b =>
adder_mem_array_1_23_port, outb => n2220);
U1527 : nor2 port map( a => multiplier_sigs_0_24_port, b =>
adder_mem_array_1_24_port, outb => n2221);
U1528 : nor2 port map( a => multiplier_sigs_0_25_port, b =>
adder_mem_array_1_25_port, outb => n2222);
U1529 : nor2 port map( a => multiplier_sigs_0_26_port, b =>
adder_mem_array_1_26_port, outb => n2223);
U1530 : nor2 port map( a => multiplier_sigs_0_27_port, b =>
adder_mem_array_1_27_port, outb => n2224);
U1531 : nor2 port map( a => multiplier_sigs_0_28_port, b =>
adder_mem_array_1_28_port, outb => n2225);
U1532 : nor2 port map( a => multiplier_sigs_0_29_port, b =>
adder_mem_array_1_29_port, outb => n2226);
U1533 : nor2 port map( a => multiplier_sigs_0_30_port, b =>
adder_mem_array_1_30_port, outb => n2227);
U1534 : aoi22 port map( a => n2229, b => n2230, c =>
adder_mem_array_1_31_port, d =>
multiplier_sigs_0_31_port, outb => n2228);
U1535 : nor2 port map( a => multiplier_sigs_2_27_port, b =>
adder_mem_array_3_27_port, outb => n2231);
U1536 : nor2 port map( a => multiplier_sigs_2_28_port, b =>
adder_mem_array_3_28_port, outb => n2232);
U1537 : nor2 port map( a => multiplier_sigs_2_29_port, b =>
adder_mem_array_3_29_port, outb => n2233);
U1538 : nor2 port map( a => multiplier_sigs_2_30_port, b =>
adder_mem_array_3_30_port, outb => n2234);
U1539 : aoi22 port map( a => n2236, b => n2237, c =>
adder_mem_array_3_31_port, d =>
multiplier_sigs_2_31_port, outb => n2235);
U1540 : xor2 port map( a => mult_125_G4_ab_15_15_port, b => n2239, outb =>
n2238);
U1541 : xor2 port map( a => mult_125_G4_ab_1_14_port, b =>
mult_125_G4_ab_0_15_port, outb => n2240);
U1542 : xor2 port map( a => n2242, b => mult_125_G4_ab_1_15_port, outb =>
n2241);
U1543 : xor2 port map( a => mult_125_G4_ab_1_13_port, b =>
mult_125_G4_ab_0_14_port, outb => n2243);
U1544 : xor2 port map( a => n2245, b => n2240, outb => n2244);
U1545 : xor2 port map( a => n2247, b => n2248, outb => n2246);
U1546 : xor2 port map( a => n2250, b => n2251, outb => n2249);
U1547 : xor2 port map( a => n2253, b => n2254, outb => n2252);
U1548 : xor2 port map( a => n2256, b => n2257, outb => n2255);
U1549 : xor2 port map( a => n2259, b => n2260, outb => n2258);
U1550 : xor2 port map( a => n2262, b => n2263, outb => n2261);
U1551 : xor2 port map( a => n2265, b => n2266, outb => n2264);
U1552 : xor2 port map( a => n2268, b => n2269, outb => n2267);
U1553 : xor2 port map( a => n2271, b => n2272, outb => n2270);
U1554 : xor2 port map( a => n2274, b => n2275, outb => n2273);
U1555 : xor2 port map( a => n2277, b => n2278, outb => n2276);
U1556 : xor2 port map( a => n2279, b => n2280, outb => n246);
U1557 : xor2 port map( a => mult_125_G4_ab_1_12_port, b =>
mult_125_G4_ab_0_13_port, outb => n2281);
U1558 : xor2 port map( a => n2283, b => n2243, outb => n2282);
U1559 : xor2 port map( a => n2285, b => n2286, outb => n2284);
U1560 : xor2 port map( a => mult_125_G4_ab_1_11_port, b =>
mult_125_G4_ab_0_12_port, outb => n2287);
U1561 : xor2 port map( a => n2289, b => n2281, outb => n2288);
U1562 : xor2 port map( a => n2291, b => n2292, outb => n2290);
U1563 : xor2 port map( a => n2294, b => n2295, outb => n2293);
U1564 : xor2 port map( a => n2297, b => n2298, outb => n2296);
U1565 : xor2 port map( a => n2300, b => n2301, outb => n2299);
U1566 : xor2 port map( a => n2303, b => n2304, outb => n2302);
U1567 : xor2 port map( a => n2306, b => n2307, outb => n2305);
U1568 : xor2 port map( a => n2309, b => n2310, outb => n2308);
U1569 : xor2 port map( a => n2312, b => n2313, outb => n2311);
U1570 : xor2 port map( a => n2315, b => n2316, outb => n2314);
U1571 : xor2 port map( a => n2318, b => n2319, outb => n2317);
U1572 : xor2 port map( a => n2320, b => n2321, outb => n250);
U1573 : xor2 port map( a => mult_125_G4_ab_1_10_port, b =>
mult_125_G4_ab_0_11_port, outb => n2322);
U1574 : xor2 port map( a => n2324, b => n2287, outb => n2323);
U1575 : xor2 port map( a => n2326, b => n2327, outb => n2325);
U1576 : xor2 port map( a => n2329, b => n2330, outb => n2328);
U1577 : xor2 port map( a => mult_125_G4_ab_1_9_port, b =>
mult_125_G4_ab_0_10_port, outb => n2331);
U1578 : xor2 port map( a => n2333, b => n2322, outb => n2332);
U1579 : xor2 port map( a => n2335, b => n2336, outb => n2334);
U1580 : xor2 port map( a => n2338, b => n2339, outb => n2337);
U1581 : xor2 port map( a => n2341, b => n2342, outb => n2340);
U1582 : xor2 port map( a => n2344, b => n2345, outb => n2343);
U1583 : xor2 port map( a => n2347, b => n2348, outb => n2346);
U1584 : xor2 port map( a => n2350, b => n2351, outb => n2349);
U1585 : xor2 port map( a => n2353, b => n2354, outb => n2352);
U1586 : xor2 port map( a => n2356, b => n2357, outb => n2355);
U1587 : xor2 port map( a => n2359, b => n2360, outb => n2358);
U1588 : xor2 port map( a => n2361, b => n2362, outb => n254);
U1589 : xor2 port map( a => mult_125_G4_ab_1_8_port, b =>
mult_125_G4_ab_0_9_port, outb => n2363);
U1590 : xor2 port map( a => n2365, b => n2331, outb => n2364);
U1591 : xor2 port map( a => n2367, b => n2368, outb => n2366);
U1592 : xor2 port map( a => n2370, b => n2371, outb => n2369);
U1593 : xor2 port map( a => n2373, b => n2374, outb => n2372);
U1594 : xor2 port map( a => mult_125_G4_ab_1_7_port, b =>
mult_125_G4_ab_0_8_port, outb => n2375);
U1595 : xor2 port map( a => n2377, b => n2363, outb => n2376);
U1596 : xor2 port map( a => n2379, b => n2380, outb => n2378);
U1597 : xor2 port map( a => n2382, b => n2383, outb => n2381);
U1598 : xor2 port map( a => n2385, b => n2386, outb => n2384);
U1599 : xor2 port map( a => n2388, b => n2389, outb => n2387);
U1600 : xor2 port map( a => n2391, b => n2392, outb => n2390);
U1601 : xor2 port map( a => n2394, b => n2395, outb => n2393);
U1602 : xor2 port map( a => n2397, b => n2398, outb => n2396);
U1603 : xor2 port map( a => n2400, b => n2401, outb => n2399);
U1604 : xor2 port map( a => n2402, b => n2403, outb => n258);
U1605 : xor2 port map( a => mult_125_G4_ab_1_6_port, b =>
mult_125_G4_ab_0_7_port, outb => n2404);
U1606 : xor2 port map( a => n2406, b => n2375, outb => n2405);
U1607 : xor2 port map( a => n2408, b => n2409, outb => n2407);
U1608 : xor2 port map( a => n2411, b => n2412, outb => n2410);
U1609 : xor2 port map( a => n2414, b => n2415, outb => n2413);
U1610 : xor2 port map( a => n2417, b => n2418, outb => n2416);
U1611 : xor2 port map( a => mult_125_G4_ab_1_5_port, b =>
mult_125_G4_ab_0_6_port, outb => n2419);
U1612 : xor2 port map( a => n2421, b => n2404, outb => n2420);
U1613 : xor2 port map( a => n2423, b => n2424, outb => n2422);
U1614 : xor2 port map( a => n2426, b => n2427, outb => n2425);
U1615 : xor2 port map( a => n2429, b => n2430, outb => n2428);
U1616 : xor2 port map( a => n2432, b => n2433, outb => n2431);
U1617 : xor2 port map( a => n2435, b => n2436, outb => n2434);
U1618 : xor2 port map( a => n2438, b => n2439, outb => n2437);
U1619 : xor2 port map( a => n2441, b => n2442, outb => n2440);
U1620 : xor2 port map( a => n2443, b => n2444, outb => n262);
U1621 : xor2 port map( a => mult_125_G4_ab_1_4_port, b =>
mult_125_G4_ab_0_5_port, outb => n2445);
U1622 : xor2 port map( a => n2447, b => n2419, outb => n2446);
U1623 : xor2 port map( a => n2449, b => n2450, outb => n2448);
U1624 : xor2 port map( a => n2452, b => n2453, outb => n2451);
U1625 : xor2 port map( a => n2455, b => n2456, outb => n2454);
U1626 : xor2 port map( a => n2458, b => n2459, outb => n2457);
U1627 : xor2 port map( a => n2461, b => n2462, outb => n2460);
U1628 : xor2 port map( a => mult_125_G4_ab_1_3_port, b =>
mult_125_G4_ab_0_4_port, outb => n2463);
U1629 : xor2 port map( a => n2465, b => n2445, outb => n2464);
U1630 : xor2 port map( a => n2467, b => n2468, outb => n2466);
U1631 : xor2 port map( a => n2470, b => n2471, outb => n2469);
U1632 : xor2 port map( a => n2473, b => n2474, outb => n2472);
U1633 : xor2 port map( a => n2476, b => n2477, outb => n2475);
U1634 : xor2 port map( a => n2479, b => n2480, outb => n2478);
U1635 : xor2 port map( a => n2482, b => n2483, outb => n2481);
U1636 : xor2 port map( a => n2484, b => n2485, outb => n267);
U1637 : xor2 port map( a => mult_125_G4_ab_1_2_port, b =>
mult_125_G4_ab_0_3_port, outb => n2486);
U1638 : xor2 port map( a => n2488, b => n2463, outb => n2487);
U1639 : xor2 port map( a => n2490, b => n2491, outb => n2489);
U1640 : xor2 port map( a => n2493, b => n2494, outb => n2492);
U1641 : xor2 port map( a => n2496, b => n2497, outb => n2495);
U1642 : xor2 port map( a => n2499, b => n2500, outb => n2498);
U1643 : xor2 port map( a => n2502, b => n2503, outb => n2501);
U1644 : xor2 port map( a => n2505, b => n2506, outb => n2504);
U1645 : xor2 port map( a => mult_125_G4_ab_1_1_port, b =>
mult_125_G4_ab_0_2_port, outb => n2507);
U1646 : xor2 port map( a => n2509, b => n2486, outb => n2508);
U1647 : xor2 port map( a => n2511, b => n2512, outb => n2510);
U1648 : xor2 port map( a => n2514, b => n2515, outb => n2513);
U1649 : xor2 port map( a => n2517, b => n2518, outb => n2516);
U1650 : xor2 port map( a => n2520, b => n2521, outb => n2519);
U1651 : xor2 port map( a => n2523, b => n2524, outb => n2522);
U1652 : xor2 port map( a => n2526, b => n2527, outb => n2525);
U1653 : xor2 port map( a => n2528, b => n2519, outb => mult_125_G4_A1_9_port
);
U1654 : xor2 port map( a => n2529, b => n2516, outb => mult_125_G4_A1_7_port
);
U1655 : xor2 port map( a => n2530, b => n2513, outb => mult_125_G4_A1_5_port
);
U1656 : xor2 port map( a => n2531, b => n2510, outb => mult_125_G4_A1_3_port
);
U1657 : xor2 port map( a => n242, b => n241, outb => mult_125_G4_A1_28_port)
;
U1658 : xor2 port map( a => n246, b => n245, outb => mult_125_G4_A1_26_port)
;
U1659 : xor2 port map( a => n250, b => n249, outb => mult_125_G4_A1_24_port)
;
U1660 : xor2 port map( a => n254, b => n253, outb => mult_125_G4_A1_22_port)
;
U1661 : xor2 port map( a => n258, b => n257, outb => mult_125_G4_A1_20_port)
;
U1662 : xor2 port map( a => n2532, b => n2508, outb => mult_125_G4_A1_1_port
);
U1663 : xor2 port map( a => n262, b => n261, outb => mult_125_G4_A1_18_port)
;
U1664 : xor2 port map( a => n267, b => n266, outb => mult_125_G4_A1_16_port)
;
U1665 : xor2 port map( a => n2533, b => n275, outb => mult_125_G4_A1_13_port
);
U1666 : xor2 port map( a => n2534, b => n2522, outb =>
mult_125_G4_A1_11_port);
U1667 : xor2 port map( a => mult_125_G3_ab_15_15_port, b => n2536, outb =>
n2535);
U1668 : xor2 port map( a => mult_125_G3_ab_1_14_port, b =>
mult_125_G3_ab_0_15_port, outb => n2537);
U1669 : xor2 port map( a => n2539, b => mult_125_G3_ab_1_15_port, outb =>
n2538);
U1670 : xor2 port map( a => mult_125_G3_ab_1_13_port, b =>
mult_125_G3_ab_0_14_port, outb => n2540);
U1671 : xor2 port map( a => n2542, b => n2537, outb => n2541);
U1672 : xor2 port map( a => n2544, b => n2545, outb => n2543);
U1673 : xor2 port map( a => n2547, b => n2548, outb => n2546);
U1674 : xor2 port map( a => n2550, b => n2551, outb => n2549);
U1675 : xor2 port map( a => n2553, b => n2554, outb => n2552);
U1676 : xor2 port map( a => n2556, b => n2557, outb => n2555);
U1677 : xor2 port map( a => n2559, b => n2560, outb => n2558);
U1678 : xor2 port map( a => n2562, b => n2563, outb => n2561);
U1679 : xor2 port map( a => n2565, b => n2566, outb => n2564);
U1680 : xor2 port map( a => n2568, b => n2569, outb => n2567);
U1681 : xor2 port map( a => n2571, b => n2572, outb => n2570);
U1682 : xor2 port map( a => n2574, b => n2575, outb => n2573);
U1683 : xor2 port map( a => n2576, b => n2577, outb => n354);
U1684 : xor2 port map( a => mult_125_G3_ab_1_12_port, b =>
mult_125_G3_ab_0_13_port, outb => n2578);
U1685 : xor2 port map( a => n2580, b => n2540, outb => n2579);
U1686 : xor2 port map( a => n2582, b => n2583, outb => n2581);
U1687 : xor2 port map( a => mult_125_G3_ab_1_11_port, b =>
mult_125_G3_ab_0_12_port, outb => n2584);
U1688 : xor2 port map( a => n2586, b => n2578, outb => n2585);
U1689 : xor2 port map( a => n2588, b => n2589, outb => n2587);
U1690 : xor2 port map( a => n2591, b => n2592, outb => n2590);
U1691 : xor2 port map( a => n2594, b => n2595, outb => n2593);
U1692 : xor2 port map( a => n2597, b => n2598, outb => n2596);
U1693 : xor2 port map( a => n2600, b => n2601, outb => n2599);
U1694 : xor2 port map( a => n2603, b => n2604, outb => n2602);
U1695 : xor2 port map( a => n2606, b => n2607, outb => n2605);
U1696 : xor2 port map( a => n2609, b => n2610, outb => n2608);
U1697 : xor2 port map( a => n2612, b => n2613, outb => n2611);
U1698 : xor2 port map( a => n2615, b => n2616, outb => n2614);
U1699 : xor2 port map( a => n2617, b => n2618, outb => n358);
U1700 : xor2 port map( a => mult_125_G3_ab_1_10_port, b =>
mult_125_G3_ab_0_11_port, outb => n2619);
U1701 : xor2 port map( a => n2621, b => n2584, outb => n2620);
U1702 : xor2 port map( a => n2623, b => n2624, outb => n2622);
U1703 : xor2 port map( a => n2626, b => n2627, outb => n2625);
U1704 : xor2 port map( a => mult_125_G3_ab_1_9_port, b =>
mult_125_G3_ab_0_10_port, outb => n2628);
U1705 : xor2 port map( a => n2630, b => n2619, outb => n2629);
U1706 : xor2 port map( a => n2632, b => n2633, outb => n2631);
U1707 : xor2 port map( a => n2635, b => n2636, outb => n2634);
U1708 : xor2 port map( a => n2638, b => n2639, outb => n2637);
U1709 : xor2 port map( a => n2641, b => n2642, outb => n2640);
U1710 : xor2 port map( a => n2644, b => n2645, outb => n2643);
U1711 : xor2 port map( a => n2647, b => n2648, outb => n2646);
U1712 : xor2 port map( a => n2650, b => n2651, outb => n2649);
U1713 : xor2 port map( a => n2653, b => n2654, outb => n2652);
U1714 : xor2 port map( a => n2656, b => n2657, outb => n2655);
U1715 : xor2 port map( a => n2658, b => n2659, outb => n362);
U1716 : xor2 port map( a => mult_125_G3_ab_1_8_port, b =>
mult_125_G3_ab_0_9_port, outb => n2660);
U1717 : xor2 port map( a => n2662, b => n2628, outb => n2661);
U1718 : xor2 port map( a => n2664, b => n2665, outb => n2663);
U1719 : xor2 port map( a => n2667, b => n2668, outb => n2666);
U1720 : xor2 port map( a => n2670, b => n2671, outb => n2669);
U1721 : xor2 port map( a => mult_125_G3_ab_1_7_port, b =>
mult_125_G3_ab_0_8_port, outb => n2672);
U1722 : xor2 port map( a => n2674, b => n2660, outb => n2673);
U1723 : xor2 port map( a => n2676, b => n2677, outb => n2675);
U1724 : xor2 port map( a => n2679, b => n2680, outb => n2678);
U1725 : xor2 port map( a => n2682, b => n2683, outb => n2681);
U1726 : xor2 port map( a => n2685, b => n2686, outb => n2684);
U1727 : xor2 port map( a => n2688, b => n2689, outb => n2687);
U1728 : xor2 port map( a => n2691, b => n2692, outb => n2690);
U1729 : xor2 port map( a => n2694, b => n2695, outb => n2693);
U1730 : xor2 port map( a => n2697, b => n2698, outb => n2696);
U1731 : xor2 port map( a => n2699, b => n2700, outb => n366);
U1732 : xor2 port map( a => mult_125_G3_ab_1_6_port, b =>
mult_125_G3_ab_0_7_port, outb => n2701);
U1733 : xor2 port map( a => n2703, b => n2672, outb => n2702);
U1734 : xor2 port map( a => n2705, b => n2706, outb => n2704);
U1735 : xor2 port map( a => n2708, b => n2709, outb => n2707);
U1736 : xor2 port map( a => n2711, b => n2712, outb => n2710);
U1737 : xor2 port map( a => n2714, b => n2715, outb => n2713);
U1738 : xor2 port map( a => mult_125_G3_ab_1_5_port, b =>
mult_125_G3_ab_0_6_port, outb => n2716);
U1739 : xor2 port map( a => n2718, b => n2701, outb => n2717);
U1740 : xor2 port map( a => n2720, b => n2721, outb => n2719);
U1741 : xor2 port map( a => n2723, b => n2724, outb => n2722);
U1742 : xor2 port map( a => n2726, b => n2727, outb => n2725);
U1743 : xor2 port map( a => n2729, b => n2730, outb => n2728);
U1744 : xor2 port map( a => n2732, b => n2733, outb => n2731);
U1745 : xor2 port map( a => n2735, b => n2736, outb => n2734);
U1746 : xor2 port map( a => n2738, b => n2739, outb => n2737);
U1747 : xor2 port map( a => n2740, b => n2741, outb => n370);
U1748 : xor2 port map( a => mult_125_G3_ab_1_4_port, b =>
mult_125_G3_ab_0_5_port, outb => n2742);
U1749 : xor2 port map( a => n2744, b => n2716, outb => n2743);
U1750 : xor2 port map( a => n2746, b => n2747, outb => n2745);
U1751 : xor2 port map( a => n2749, b => n2750, outb => n2748);
U1752 : xor2 port map( a => n2752, b => n2753, outb => n2751);
U1753 : xor2 port map( a => n2755, b => n2756, outb => n2754);
U1754 : xor2 port map( a => n2758, b => n2759, outb => n2757);
U1755 : xor2 port map( a => mult_125_G3_ab_1_3_port, b =>
mult_125_G3_ab_0_4_port, outb => n2760);
U1756 : xor2 port map( a => n2762, b => n2742, outb => n2761);
U1757 : xor2 port map( a => n2764, b => n2765, outb => n2763);
U1758 : xor2 port map( a => n2767, b => n2768, outb => n2766);
U1759 : xor2 port map( a => n2770, b => n2771, outb => n2769);
U1760 : xor2 port map( a => n2773, b => n2774, outb => n2772);
U1761 : xor2 port map( a => n2776, b => n2777, outb => n2775);
U1762 : xor2 port map( a => n2779, b => n2780, outb => n2778);
U1763 : xor2 port map( a => n2781, b => n2782, outb => n375);
U1764 : xor2 port map( a => mult_125_G3_ab_1_2_port, b =>
mult_125_G3_ab_0_3_port, outb => n2783);
U1765 : xor2 port map( a => n2785, b => n2760, outb => n2784);
U1766 : xor2 port map( a => n2787, b => n2788, outb => n2786);
U1767 : xor2 port map( a => n2790, b => n2791, outb => n2789);
U1768 : xor2 port map( a => n2793, b => n2794, outb => n2792);
U1769 : xor2 port map( a => n2796, b => n2797, outb => n2795);
U1770 : xor2 port map( a => n2799, b => n2800, outb => n2798);
U1771 : xor2 port map( a => n2802, b => n2803, outb => n2801);
U1772 : xor2 port map( a => mult_125_G3_ab_1_1_port, b =>
mult_125_G3_ab_0_2_port, outb => n2804);
U1773 : xor2 port map( a => n2806, b => n2783, outb => n2805);
U1774 : xor2 port map( a => n2808, b => n2809, outb => n2807);
U1775 : xor2 port map( a => n2811, b => n2812, outb => n2810);
U1776 : xor2 port map( a => n2814, b => n2815, outb => n2813);
U1777 : xor2 port map( a => n2817, b => n2818, outb => n2816);
U1778 : xor2 port map( a => n2820, b => n2821, outb => n2819);
U1779 : xor2 port map( a => n2823, b => n2824, outb => n2822);
U1780 : xor2 port map( a => n2825, b => n2816, outb => mult_125_G3_A1_9_port
);
U1781 : xor2 port map( a => n2826, b => n2813, outb => mult_125_G3_A1_7_port
);
U1782 : xor2 port map( a => n2827, b => n2810, outb => mult_125_G3_A1_5_port
);
U1783 : xor2 port map( a => n2828, b => n2807, outb => mult_125_G3_A1_3_port
);
U1784 : xor2 port map( a => n350, b => n349, outb => mult_125_G3_A1_28_port)
;
U1785 : xor2 port map( a => n354, b => n353, outb => mult_125_G3_A1_26_port)
;
U1786 : xor2 port map( a => n358, b => n357, outb => mult_125_G3_A1_24_port)
;
U1787 : xor2 port map( a => n362, b => n361, outb => mult_125_G3_A1_22_port)
;
U1788 : xor2 port map( a => n366, b => n365, outb => mult_125_G3_A1_20_port)
;
U1789 : xor2 port map( a => n2829, b => n2805, outb => mult_125_G3_A1_1_port
);
U1790 : xor2 port map( a => n370, b => n369, outb => mult_125_G3_A1_18_port)
;
U1791 : xor2 port map( a => n375, b => n374, outb => mult_125_G3_A1_16_port)
;
U1792 : xor2 port map( a => n2830, b => n383, outb => mult_125_G3_A1_13_port
);
U1793 : xor2 port map( a => n2831, b => n2819, outb =>
mult_125_G3_A1_11_port);
U1794 : xor2 port map( a => mult_125_G2_ab_15_15_port, b => n2833, outb =>
n2832);
U1795 : xor2 port map( a => mult_125_G2_ab_1_14_port, b =>
mult_125_G2_ab_0_15_port, outb => n2834);
U1796 : xor2 port map( a => n2836, b => mult_125_G2_ab_1_15_port, outb =>
n2835);
U1797 : xor2 port map( a => mult_125_G2_ab_1_13_port, b =>
mult_125_G2_ab_0_14_port, outb => n2837);
U1798 : xor2 port map( a => n2839, b => n2834, outb => n2838);
U1799 : xor2 port map( a => n2841, b => n2842, outb => n2840);
U1800 : xor2 port map( a => n2844, b => n2845, outb => n2843);
U1801 : xor2 port map( a => n2847, b => n2848, outb => n2846);
U1802 : xor2 port map( a => n2850, b => n2851, outb => n2849);
U1803 : xor2 port map( a => n2853, b => n2854, outb => n2852);
U1804 : xor2 port map( a => n2856, b => n2857, outb => n2855);
U1805 : xor2 port map( a => n2859, b => n2860, outb => n2858);
U1806 : xor2 port map( a => n2862, b => n2863, outb => n2861);
U1807 : xor2 port map( a => n2865, b => n2866, outb => n2864);
U1808 : xor2 port map( a => n2868, b => n2869, outb => n2867);
U1809 : xor2 port map( a => n2871, b => n2872, outb => n2870);
U1810 : xor2 port map( a => n2873, b => n2874, outb => n318);
U1811 : xor2 port map( a => mult_125_G2_ab_1_12_port, b =>
mult_125_G2_ab_0_13_port, outb => n2875);
U1812 : xor2 port map( a => n2877, b => n2837, outb => n2876);
U1813 : xor2 port map( a => n2879, b => n2880, outb => n2878);
U1814 : xor2 port map( a => mult_125_G2_ab_1_11_port, b =>
mult_125_G2_ab_0_12_port, outb => n2881);
U1815 : xor2 port map( a => n2883, b => n2875, outb => n2882);
U1816 : xor2 port map( a => n2885, b => n2886, outb => n2884);
U1817 : xor2 port map( a => n2888, b => n2889, outb => n2887);
U1818 : xor2 port map( a => n2891, b => n2892, outb => n2890);
U1819 : xor2 port map( a => n2894, b => n2895, outb => n2893);
U1820 : xor2 port map( a => n2897, b => n2898, outb => n2896);
U1821 : xor2 port map( a => n2900, b => n2901, outb => n2899);
U1822 : xor2 port map( a => n2903, b => n2904, outb => n2902);
U1823 : xor2 port map( a => n2906, b => n2907, outb => n2905);
U1824 : xor2 port map( a => n2909, b => n2910, outb => n2908);
U1825 : xor2 port map( a => n2912, b => n2913, outb => n2911);
U1826 : xor2 port map( a => n2914, b => n2915, outb => n322);
U1827 : xor2 port map( a => mult_125_G2_ab_1_10_port, b =>
mult_125_G2_ab_0_11_port, outb => n2916);
U1828 : xor2 port map( a => n2918, b => n2881, outb => n2917);
U1829 : xor2 port map( a => n2920, b => n2921, outb => n2919);
U1830 : xor2 port map( a => n2923, b => n2924, outb => n2922);
U1831 : xor2 port map( a => mult_125_G2_ab_1_9_port, b =>
mult_125_G2_ab_0_10_port, outb => n2925);
U1832 : xor2 port map( a => n2927, b => n2916, outb => n2926);
U1833 : xor2 port map( a => n2929, b => n2930, outb => n2928);
U1834 : xor2 port map( a => n2932, b => n2933, outb => n2931);
U1835 : xor2 port map( a => n2935, b => n2936, outb => n2934);
U1836 : xor2 port map( a => n2938, b => n2939, outb => n2937);
U1837 : xor2 port map( a => n2941, b => n2942, outb => n2940);
U1838 : xor2 port map( a => n2944, b => n2945, outb => n2943);
U1839 : xor2 port map( a => n2947, b => n2948, outb => n2946);
U1840 : xor2 port map( a => n2950, b => n2951, outb => n2949);
U1841 : xor2 port map( a => n2953, b => n2954, outb => n2952);
U1842 : xor2 port map( a => n2955, b => n2956, outb => n326);
U1843 : xor2 port map( a => mult_125_G2_ab_1_8_port, b =>
mult_125_G2_ab_0_9_port, outb => n2957);
U1844 : xor2 port map( a => n2959, b => n2925, outb => n2958);
U1845 : xor2 port map( a => n2961, b => n2962, outb => n2960);
U1846 : xor2 port map( a => n2964, b => n2965, outb => n2963);
U1847 : xor2 port map( a => n2967, b => n2968, outb => n2966);
U1848 : xor2 port map( a => mult_125_G2_ab_1_7_port, b =>
mult_125_G2_ab_0_8_port, outb => n2969);
U1849 : xor2 port map( a => n2971, b => n2957, outb => n2970);
U1850 : xor2 port map( a => n2973, b => n2974, outb => n2972);
U1851 : xor2 port map( a => n2976, b => n2977, outb => n2975);
U1852 : xor2 port map( a => n2979, b => n2980, outb => n2978);
U1853 : xor2 port map( a => n2982, b => n2983, outb => n2981);
U1854 : xor2 port map( a => n2985, b => n2986, outb => n2984);
U1855 : xor2 port map( a => n2988, b => n2989, outb => n2987);
U1856 : xor2 port map( a => n2991, b => n2992, outb => n2990);
U1857 : xor2 port map( a => n2994, b => n2995, outb => n2993);
U1858 : xor2 port map( a => n2996, b => n2997, outb => n330);
U1859 : xor2 port map( a => mult_125_G2_ab_1_6_port, b =>
mult_125_G2_ab_0_7_port, outb => n2998);
U1860 : xor2 port map( a => n3000, b => n2969, outb => n2999);
U1861 : xor2 port map( a => n3002, b => n3003, outb => n3001);
U1862 : xor2 port map( a => n3005, b => n3006, outb => n3004);
U1863 : xor2 port map( a => n3008, b => n3009, outb => n3007);
U1864 : xor2 port map( a => n3011, b => n3012, outb => n3010);
U1865 : xor2 port map( a => mult_125_G2_ab_1_5_port, b =>
mult_125_G2_ab_0_6_port, outb => n3013);
U1866 : xor2 port map( a => n3015, b => n2998, outb => n3014);
U1867 : xor2 port map( a => n3017, b => n3018, outb => n3016);
U1868 : xor2 port map( a => n3020, b => n3021, outb => n3019);
U1869 : xor2 port map( a => n3023, b => n3024, outb => n3022);
U1870 : xor2 port map( a => n3026, b => n3027, outb => n3025);
U1871 : xor2 port map( a => n3029, b => n3030, outb => n3028);
U1872 : xor2 port map( a => n3032, b => n3033, outb => n3031);
U1873 : xor2 port map( a => n3035, b => n3036, outb => n3034);
U1874 : xor2 port map( a => n3037, b => n3038, outb => n334);
U1875 : xor2 port map( a => mult_125_G2_ab_1_4_port, b =>
mult_125_G2_ab_0_5_port, outb => n3039);
U1876 : xor2 port map( a => n3041, b => n3013, outb => n3040);
U1877 : xor2 port map( a => n3043, b => n3044, outb => n3042);
U1878 : xor2 port map( a => n3046, b => n3047, outb => n3045);
U1879 : xor2 port map( a => n3049, b => n3050, outb => n3048);
U1880 : xor2 port map( a => n3052, b => n3053, outb => n3051);
U1881 : xor2 port map( a => n3055, b => n3056, outb => n3054);
U1882 : xor2 port map( a => mult_125_G2_ab_1_3_port, b =>
mult_125_G2_ab_0_4_port, outb => n3057);
U1883 : xor2 port map( a => n3059, b => n3039, outb => n3058);
U1884 : xor2 port map( a => n3061, b => n3062, outb => n3060);
U1885 : xor2 port map( a => n3064, b => n3065, outb => n3063);
U1886 : xor2 port map( a => n3067, b => n3068, outb => n3066);
U1887 : xor2 port map( a => n3070, b => n3071, outb => n3069);
U1888 : xor2 port map( a => n3073, b => n3074, outb => n3072);
U1889 : xor2 port map( a => n3076, b => n3077, outb => n3075);
U1890 : xor2 port map( a => n3078, b => n3079, outb => n339);
U1891 : xor2 port map( a => mult_125_G2_ab_1_2_port, b =>
mult_125_G2_ab_0_3_port, outb => n3080);
U1892 : xor2 port map( a => n3082, b => n3057, outb => n3081);
U1893 : xor2 port map( a => n3084, b => n3085, outb => n3083);
U1894 : xor2 port map( a => n3087, b => n3088, outb => n3086);
U1895 : xor2 port map( a => n3090, b => n3091, outb => n3089);
U1896 : xor2 port map( a => n3093, b => n3094, outb => n3092);
U1897 : xor2 port map( a => n3096, b => n3097, outb => n3095);
U1898 : xor2 port map( a => n3099, b => n3100, outb => n3098);
U1899 : xor2 port map( a => mult_125_G2_ab_1_1_port, b =>
mult_125_G2_ab_0_2_port, outb => n3101);
U1900 : xor2 port map( a => n3103, b => n3080, outb => n3102);
U1901 : xor2 port map( a => n3105, b => n3106, outb => n3104);
U1902 : xor2 port map( a => n3108, b => n3109, outb => n3107);
U1903 : xor2 port map( a => n3111, b => n3112, outb => n3110);
U1904 : xor2 port map( a => n3114, b => n3115, outb => n3113);
U1905 : xor2 port map( a => n3117, b => n3118, outb => n3116);
U1906 : xor2 port map( a => n3120, b => n3121, outb => n3119);
U1907 : xor2 port map( a => n3122, b => n3113, outb => mult_125_G2_A1_9_port
);
U1908 : xor2 port map( a => n3123, b => n3110, outb => mult_125_G2_A1_7_port
);
U1909 : xor2 port map( a => n3124, b => n3107, outb => mult_125_G2_A1_5_port
);
U1910 : xor2 port map( a => n3125, b => n3104, outb => mult_125_G2_A1_3_port
);
U1911 : xor2 port map( a => n314, b => n313, outb => mult_125_G2_A1_28_port)
;
U1912 : xor2 port map( a => n318, b => n317, outb => mult_125_G2_A1_26_port)
;
U1913 : xor2 port map( a => n322, b => n321, outb => mult_125_G2_A1_24_port)
;
U1914 : xor2 port map( a => n326, b => n325, outb => mult_125_G2_A1_22_port)
;
U1915 : xor2 port map( a => n330, b => n329, outb => mult_125_G2_A1_20_port)
;
U1916 : xor2 port map( a => n3126, b => n3102, outb => mult_125_G2_A1_1_port
);
U1917 : xor2 port map( a => n334, b => n333, outb => mult_125_G2_A1_18_port)
;
U1918 : xor2 port map( a => n339, b => n338, outb => mult_125_G2_A1_16_port)
;
U1919 : xor2 port map( a => n3127, b => n347, outb => mult_125_G2_A1_13_port
);
U1920 : xor2 port map( a => n3128, b => n3116, outb =>
mult_125_G2_A1_11_port);
U1921 : xor2 port map( a => mult_125_ab_15_15_port, b => n3130, outb =>
n3129);
U1922 : xor2 port map( a => mult_125_ab_1_14_port, b =>
mult_125_ab_0_15_port, outb => n3131);
U1923 : xor2 port map( a => n3133, b => mult_125_ab_1_15_port, outb => n3132
);
U1924 : xor2 port map( a => mult_125_ab_1_13_port, b =>
mult_125_ab_0_14_port, outb => n3134);
U1925 : xor2 port map( a => n3136, b => n3131, outb => n3135);
U1926 : xor2 port map( a => n3138, b => n3139, outb => n3137);
U1927 : xor2 port map( a => n3141, b => n3142, outb => n3140);
U1928 : xor2 port map( a => n3144, b => n3145, outb => n3143);
U1929 : xor2 port map( a => n3147, b => n3148, outb => n3146);
U1930 : xor2 port map( a => n3150, b => n3151, outb => n3149);
U1931 : xor2 port map( a => n3153, b => n3154, outb => n3152);
U1932 : xor2 port map( a => n3156, b => n3157, outb => n3155);
U1933 : xor2 port map( a => n3159, b => n3160, outb => n3158);
U1934 : xor2 port map( a => n3162, b => n3163, outb => n3161);
U1935 : xor2 port map( a => n3165, b => n3166, outb => n3164);
U1936 : xor2 port map( a => n3168, b => n3169, outb => n3167);
U1937 : xor2 port map( a => n3170, b => n3171, outb => n282);
U1938 : xor2 port map( a => mult_125_ab_1_12_port, b =>
mult_125_ab_0_13_port, outb => n3172);
U1939 : xor2 port map( a => n3174, b => n3134, outb => n3173);
U1940 : xor2 port map( a => n3176, b => n3177, outb => n3175);
U1941 : xor2 port map( a => mult_125_ab_1_11_port, b =>
mult_125_ab_0_12_port, outb => n3178);
U1942 : xor2 port map( a => n3180, b => n3172, outb => n3179);
U1943 : xor2 port map( a => n3182, b => n3183, outb => n3181);
U1944 : xor2 port map( a => n3185, b => n3186, outb => n3184);
U1945 : xor2 port map( a => n3188, b => n3189, outb => n3187);
U1946 : xor2 port map( a => n3191, b => n3192, outb => n3190);
U1947 : xor2 port map( a => n3194, b => n3195, outb => n3193);
U1948 : xor2 port map( a => n3197, b => n3198, outb => n3196);
U1949 : xor2 port map( a => n3200, b => n3201, outb => n3199);
U1950 : xor2 port map( a => n3203, b => n3204, outb => n3202);
U1951 : xor2 port map( a => n3206, b => n3207, outb => n3205);
U1952 : xor2 port map( a => n3209, b => n3210, outb => n3208);
U1953 : xor2 port map( a => n3211, b => n3212, outb => n286);
U1954 : xor2 port map( a => mult_125_ab_1_10_port, b =>
mult_125_ab_0_11_port, outb => n3213);
U1955 : xor2 port map( a => n3215, b => n3178, outb => n3214);
U1956 : xor2 port map( a => n3217, b => n3218, outb => n3216);
U1957 : xor2 port map( a => n3220, b => n3221, outb => n3219);
U1958 : xor2 port map( a => mult_125_ab_1_9_port, b => mult_125_ab_0_10_port
, outb => n3222);
U1959 : xor2 port map( a => n3224, b => n3213, outb => n3223);
U1960 : xor2 port map( a => n3226, b => n3227, outb => n3225);
U1961 : xor2 port map( a => n3229, b => n3230, outb => n3228);
U1962 : xor2 port map( a => n3232, b => n3233, outb => n3231);
U1963 : xor2 port map( a => n3235, b => n3236, outb => n3234);
U1964 : xor2 port map( a => n3238, b => n3239, outb => n3237);
U1965 : xor2 port map( a => n3241, b => n3242, outb => n3240);
U1966 : xor2 port map( a => n3244, b => n3245, outb => n3243);
U1967 : xor2 port map( a => n3247, b => n3248, outb => n3246);
U1968 : xor2 port map( a => n3250, b => n3251, outb => n3249);
U1969 : xor2 port map( a => n3252, b => n3253, outb => n290);
U1970 : xor2 port map( a => mult_125_ab_1_8_port, b => mult_125_ab_0_9_port,
outb => n3254);
U1971 : xor2 port map( a => n3256, b => n3222, outb => n3255);
U1972 : xor2 port map( a => n3258, b => n3259, outb => n3257);
U1973 : xor2 port map( a => n3261, b => n3262, outb => n3260);
U1974 : xor2 port map( a => n3264, b => n3265, outb => n3263);
U1975 : xor2 port map( a => mult_125_ab_1_7_port, b => mult_125_ab_0_8_port,
outb => n3266);
U1976 : xor2 port map( a => n3268, b => n3254, outb => n3267);
U1977 : xor2 port map( a => n3270, b => n3271, outb => n3269);
U1978 : xor2 port map( a => n3273, b => n3274, outb => n3272);
U1979 : xor2 port map( a => n3276, b => n3277, outb => n3275);
U1980 : xor2 port map( a => n3279, b => n3280, outb => n3278);
U1981 : xor2 port map( a => n3282, b => n3283, outb => n3281);
U1982 : xor2 port map( a => n3285, b => n3286, outb => n3284);
U1983 : xor2 port map( a => n3288, b => n3289, outb => n3287);
U1984 : xor2 port map( a => n3291, b => n3292, outb => n3290);
U1985 : xor2 port map( a => n3293, b => n3294, outb => n294);
U1986 : xor2 port map( a => mult_125_ab_1_6_port, b => mult_125_ab_0_7_port,
outb => n3295);
U1987 : xor2 port map( a => n3297, b => n3266, outb => n3296);
U1988 : xor2 port map( a => n3299, b => n3300, outb => n3298);
U1989 : xor2 port map( a => n3302, b => n3303, outb => n3301);
U1990 : xor2 port map( a => n3305, b => n3306, outb => n3304);
U1991 : xor2 port map( a => n3308, b => n3309, outb => n3307);
U1992 : xor2 port map( a => mult_125_ab_1_5_port, b => mult_125_ab_0_6_port,
outb => n3310);
U1993 : xor2 port map( a => n3312, b => n3295, outb => n3311);
U1994 : xor2 port map( a => n3314, b => n3315, outb => n3313);
U1995 : xor2 port map( a => n3317, b => n3318, outb => n3316);
U1996 : xor2 port map( a => n3320, b => n3321, outb => n3319);
U1997 : xor2 port map( a => n3323, b => n3324, outb => n3322);
U1998 : xor2 port map( a => n3326, b => n3327, outb => n3325);
U1999 : xor2 port map( a => n3329, b => n3330, outb => n3328);
U2000 : xor2 port map( a => n3332, b => n3333, outb => n3331);
U2001 : xor2 port map( a => n3334, b => n3335, outb => n298);
U2002 : xor2 port map( a => mult_125_ab_1_4_port, b => mult_125_ab_0_5_port,
outb => n3336);
U2003 : xor2 port map( a => n3338, b => n3310, outb => n3337);
U2004 : xor2 port map( a => n3340, b => n3341, outb => n3339);
U2005 : xor2 port map( a => n3343, b => n3344, outb => n3342);
U2006 : xor2 port map( a => n3346, b => n3347, outb => n3345);
U2007 : xor2 port map( a => n3349, b => n3350, outb => n3348);
U2008 : xor2 port map( a => n3352, b => n3353, outb => n3351);
U2009 : xor2 port map( a => mult_125_ab_1_3_port, b => mult_125_ab_0_4_port,
outb => n3354);
U2010 : xor2 port map( a => n3356, b => n3336, outb => n3355);
U2011 : xor2 port map( a => n3358, b => n3359, outb => n3357);
U2012 : xor2 port map( a => n3361, b => n3362, outb => n3360);
U2013 : xor2 port map( a => n3364, b => n3365, outb => n3363);
U2014 : xor2 port map( a => n3367, b => n3368, outb => n3366);
U2015 : xor2 port map( a => n3370, b => n3371, outb => n3369);
U2016 : xor2 port map( a => n3373, b => n3374, outb => n3372);
U2017 : xor2 port map( a => n3375, b => n3376, outb => n303);
U2018 : xor2 port map( a => mult_125_ab_1_2_port, b => mult_125_ab_0_3_port,
outb => n3377);
U2019 : xor2 port map( a => n3379, b => n3354, outb => n3378);
U2020 : xor2 port map( a => n3381, b => n3382, outb => n3380);
U2021 : xor2 port map( a => n3384, b => n3385, outb => n3383);
U2022 : xor2 port map( a => n3387, b => n3388, outb => n3386);
U2023 : xor2 port map( a => n3390, b => n3391, outb => n3389);
U2024 : xor2 port map( a => n3393, b => n3394, outb => n3392);
U2025 : xor2 port map( a => n3396, b => n3397, outb => n3395);
U2026 : xor2 port map( a => mult_125_ab_1_1_port, b => mult_125_ab_0_2_port,
outb => n3398);
U2027 : xor2 port map( a => n3400, b => n3377, outb => n3399);
U2028 : xor2 port map( a => n3402, b => n3403, outb => n3401);
U2029 : xor2 port map( a => n3405, b => n3406, outb => n3404);
U2030 : xor2 port map( a => n3408, b => n3409, outb => n3407);
U2031 : xor2 port map( a => n3411, b => n3412, outb => n3410);
U2032 : xor2 port map( a => n3414, b => n3415, outb => n3413);
U2033 : xor2 port map( a => n3417, b => n3418, outb => n3416);
U2034 : xor2 port map( a => n3419, b => n3410, outb => mult_125_A1_9_port);
U2035 : xor2 port map( a => n3420, b => n3407, outb => mult_125_A1_7_port);
U2036 : xor2 port map( a => n3421, b => n3404, outb => mult_125_A1_5_port);
U2037 : xor2 port map( a => n3422, b => n3401, outb => mult_125_A1_3_port);
U2038 : xor2 port map( a => n278, b => n277, outb => mult_125_A1_28_port);
U2039 : xor2 port map( a => n282, b => n281, outb => mult_125_A1_26_port);
U2040 : xor2 port map( a => n286, b => n285, outb => mult_125_A1_24_port);
U2041 : xor2 port map( a => n290, b => n289, outb => mult_125_A1_22_port);
U2042 : xor2 port map( a => n294, b => n293, outb => mult_125_A1_20_port);
U2043 : xor2 port map( a => n3423, b => n3399, outb => mult_125_A1_1_port);
U2044 : xor2 port map( a => n298, b => n297, outb => mult_125_A1_18_port);
U2045 : xor2 port map( a => n303, b => n302, outb => mult_125_A1_16_port);
U2046 : xor2 port map( a => n3424, b => n311, outb => mult_125_A1_13_port);
U2047 : xor2 port map( a => n3425, b => n3413, outb => mult_125_A1_11_port);
U2048 : xor2 port map( a => mult_125_G3_ab_1_0_port, b =>
mult_125_G3_ab_0_1_port, outb => n3426);
U2049 : xor2 port map( a => n3427, b => n3428, outb => N99);
U2050 : xor2 port map( a => n3429, b => n3430, outb => N98);
U2051 : xor2 port map( a => n3431, b => n3432, outb => N97);
U2052 : xor2 port map( a => n3433, b => n3434, outb => N96);
U2053 : xor2 port map( a => n3435, b => n3436, outb => N95);
U2054 : xor2 port map( a => n3437, b => n3438, outb => N94);
U2055 : xor2 port map( a => n3439, b => n3440, outb => N93);
U2056 : xor2 port map( a => n3441, b => n3442, outb => N92);
U2057 : xor2 port map( a => n3443, b => n3444, outb => N91);
U2058 : xor2 port map( a => n3445, b => n3446, outb => N90);
U2059 : xor2 port map( a => mult_125_ab_1_0_port, b => mult_125_ab_0_1_port,
outb => n3447);
U2060 : xor2 port map( a => n3448, b => n3449, outb => N9);
U2061 : xor2 port map( a => n3450, b => n3451, outb => N89);
U2062 : xor2 port map( a => n3452, b => n3453, outb => N88);
U2063 : xor2 port map( a => n3454, b => n3455, outb => N87);
U2064 : xor2 port map( a => n3456, b => n3457, outb => N86);
U2065 : xor2 port map( a => n3458, b => n3459, outb => N85);
U2066 : xor2 port map( a => n3460, b => n3461, outb => N84);
U2067 : xor2 port map( a => n3462, b => n3463, outb => N83);
U2068 : xor2 port map( a => n3464, b => n3465, outb => N82);
U2069 : xor2 port map( a => n3466, b => n3467, outb => N81);
U2070 : xor2 port map( a => n3468, b => n3469, outb => N80);
U2071 : xor2 port map( a => n3470, b => n3471, outb => N8);
U2072 : xor2 port map( a => n3472, b => n3473, outb => N79);
U2073 : xor2 port map( a => n3474, b => n3475, outb => N78);
U2074 : xor2 port map( a => n3476, b => n3477, outb => N77);
U2075 : xor2 port map( a => n3478, b => n3479, outb => N76);
U2076 : xor2 port map( a => n3480, b => n3481, outb => N75);
U2077 : xor2 port map( a => n3482, b => n3483, outb => N74);
U2078 : xor2 port map( a => mult_125_G2_ab_1_0_port, b =>
mult_125_G2_ab_0_1_port, outb => n3484);
U2079 : xor2 port map( a => n3485, b => n2197, outb => N71);
U2080 : xor2 port map( a => n2199, b => n3486, outb => N70);
U2081 : xor2 port map( a => n3487, b => n3488, outb => N69);
U2082 : xor2 port map( a => n3489, b => n3490, outb => N68);
U2083 : xor2 port map( a => n3491, b => n3492, outb => N67);
U2084 : xor2 port map( a => n3493, b => n3494, outb => N66);
U2085 : xor2 port map( a => n3495, b => n3496, outb => N65);
U2086 : xor2 port map( a => n3497, b => n3498, outb => N64);
U2087 : xor2 port map( a => n3499, b => n3500, outb => N63);
U2088 : xor2 port map( a => n3501, b => n3502, outb => N62);
U2089 : xor2 port map( a => n3503, b => n3504, outb => N61);
U2090 : xor2 port map( a => n3505, b => n3506, outb => N60);
U2091 : xor2 port map( a => n3507, b => n3508, outb => N59);
U2092 : xor2 port map( a => n3509, b => n3510, outb => N58);
U2093 : xor2 port map( a => n3511, b => n3512, outb => N57);
U2094 : xor2 port map( a => n3513, b => n3514, outb => N56);
U2095 : xor2 port map( a => n3515, b => n3516, outb => N55);
U2096 : xor2 port map( a => n3517, b => n3518, outb => N54);
U2097 : xor2 port map( a => n3519, b => n3520, outb => N53);
U2098 : xor2 port map( a => n3521, b => n3522, outb => N52);
U2099 : xor2 port map( a => n3523, b => n3524, outb => N51);
U2100 : xor2 port map( a => n3525, b => n3526, outb => N50);
U2101 : xor2 port map( a => n3527, b => n3528, outb => N49);
U2102 : xor2 port map( a => n3529, b => n3530, outb => N48);
U2103 : xor2 port map( a => n3531, b => n3532, outb => N47);
U2104 : xor2 port map( a => n3533, b => n3534, outb => N46);
U2105 : xor2 port map( a => n3535, b => n3536, outb => N45);
U2106 : xor2 port map( a => n3537, b => n3538, outb => N44);
U2107 : xor2 port map( a => n3539, b => n3540, outb => N43);
U2108 : xor2 port map( a => n3541, b => n3542, outb => N42);
U2109 : xor2 port map( a => n3543, b => n3544, outb => N41);
U2110 : xor2 port map( a => n3545, b => n2228, outb => N38);
U2111 : xor2 port map( a => n2230, b => n3546, outb => N37);
U2112 : xor2 port map( a => n3547, b => n3548, outb => N36);
U2113 : xor2 port map( a => n3549, b => n3550, outb => N35);
U2114 : xor2 port map( a => n3551, b => n3552, outb => N34);
U2115 : xor2 port map( a => n3553, b => n3554, outb => N33);
U2116 : xor2 port map( a => n3555, b => n3556, outb => N32);
U2117 : xor2 port map( a => n3557, b => n3558, outb => N31);
U2118 : xor2 port map( a => n3559, b => n3560, outb => N30);
U2119 : xor2 port map( a => n3561, b => n3562, outb => N29);
U2120 : xor2 port map( a => n3563, b => n3564, outb => N28);
U2121 : xor2 port map( a => n3565, b => n3566, outb => N27);
U2122 : xor2 port map( a => n3567, b => n3568, outb => N26);
U2123 : xor2 port map( a => n3569, b => n3570, outb => N25);
U2124 : xor2 port map( a => n3571, b => n3572, outb => N24);
U2125 : xor2 port map( a => n3573, b => n3574, outb => N23);
U2126 : xor2 port map( a => n3575, b => n3576, outb => N22);
U2127 : xor2 port map( a => n3577, b => n3578, outb => N21);
U2128 : xor2 port map( a => n3579, b => n3580, outb => N20);
U2129 : xor2 port map( a => n3581, b => n3582, outb => N19);
U2130 : xor2 port map( a => n3583, b => n3584, outb => N18);
U2131 : xor2 port map( a => n3585, b => n3586, outb => N17);
U2132 : xor2 port map( a => n3587, b => n3588, outb => N16);
U2133 : xor2 port map( a => n3589, b => n3590, outb => N15);
U2134 : xor2 port map( a => n3591, b => n3592, outb => N14);
U2135 : xor2 port map( a => n3593, b => n3594, outb => N13);
U2136 : xor2 port map( a => n3595, b => n3596, outb => N12);
U2137 : xor2 port map( a => n3597, b => n3598, outb => N11);
U2138 : xor2 port map( a => n3599, b => n2235, outb => N104);
U2139 : xor2 port map( a => n2237, b => n3600, outb => N103);
U2140 : xor2 port map( a => n3601, b => n3602, outb => N102);
U2141 : xor2 port map( a => n3603, b => n3604, outb => N101);
U2142 : xor2 port map( a => n3605, b => n3606, outb => N100);
U2143 : xor2 port map( a => n3607, b => n3608, outb => N10);
U2144 : nand2 port map( a => mult_125_G4_ab_0_15_port, b =>
mult_125_G4_ab_1_14_port, outb => n3609);
U2145 : inv port map( inb => mult_125_G4_ab_3_15_port, outb => n3610);
U2146 : inv port map( inb => mult_125_G4_ab_4_14_port, outb => n3611);
U2147 : inv port map( inb => mult_125_G4_ab_5_15_port, outb => n3612);
U2148 : inv port map( inb => mult_125_G4_ab_6_14_port, outb => n3613);
U2149 : inv port map( inb => mult_125_G4_ab_7_15_port, outb => n3614);
U2150 : inv port map( inb => mult_125_G4_ab_8_14_port, outb => n3615);
U2151 : inv port map( inb => mult_125_G4_ab_9_15_port, outb => n3616);
U2152 : inv port map( inb => mult_125_G4_ab_10_14_port, outb => n3617);
U2153 : inv port map( inb => mult_125_G4_ab_11_15_port, outb => n3618);
U2154 : inv port map( inb => mult_125_G4_ab_12_14_port, outb => n3619);
U2155 : nand2 port map( a => mult_125_G4_ab_0_14_port, b =>
mult_125_G4_ab_1_13_port, outb => n400);
U2156 : aoi22 port map( a => mult_125_G4_ab_2_13_port, b => n3620, c => n398
, d => n2240, outb => n403);
U2157 : oai22 port map( a => n403, b => n402, c => n3621, d => n2241, outb
=> n405);
U2158 : aoi22 port map( a => n405, b => mult_125_G4_ab_4_13_port, c => n3623
, d => n2248, outb => n3622);
U2159 : oai22 port map( a => n3622, b => n3624, c => n406, d => n2251, outb
=> n409);
U2160 : aoi22 port map( a => n409, b => mult_125_G4_ab_6_13_port, c => n3626
, d => n2254, outb => n3625);
U2161 : inv port map( inb => mult_125_G4_ab_7_13_port, outb => n3627);
U2162 : oai22 port map( a => n3625, b => n3627, c => n410, d => n2257, outb
=> n413);
U2163 : aoi22 port map( a => n413, b => mult_125_G4_ab_8_13_port, c => n3629
, d => n2260, outb => n3628);
U2164 : inv port map( inb => mult_125_G4_ab_9_13_port, outb => n3630);
U2165 : oai22 port map( a => n3628, b => n3630, c => n414, d => n2263, outb
=> n417);
U2166 : aoi22 port map( a => n417, b => mult_125_G4_ab_10_13_port, c =>
n3632, d => n2266, outb => n3631);
U2167 : inv port map( inb => mult_125_G4_ab_11_13_port, outb => n3633);
U2168 : oai22 port map( a => n3631, b => n3633, c => n418, d => n2269, outb
=> n421);
U2169 : aoi22 port map( a => n421, b => mult_125_G4_ab_12_13_port, c =>
n3635, d => n2272, outb => n3634);
U2170 : inv port map( inb => mult_125_G4_ab_13_13_port, outb => n3636);
U2171 : oai22 port map( a => n3634, b => n3636, c => n422, d => n2275, outb
=> n425);
U2172 : aoi22 port map( a => n425, b => mult_125_G4_ab_14_13_port, c =>
n3638, d => n2278, outb => n3637);
U2173 : nand2 port map( a => mult_125_G4_ab_0_13_port, b =>
mult_125_G4_ab_1_12_port, outb => n430);
U2174 : aoi22 port map( a => mult_125_G4_ab_2_12_port, b => n3640, c => n428
, d => n2243, outb => n3639);
U2175 : oai22 port map( a => n3639, b => n3641, c => n431, d => n2244, outb
=> n434);
U2176 : aoi22 port map( a => n434, b => mult_125_G4_ab_4_12_port, c => n3643
, d => n2286, outb => n3642);
U2177 : aoi22 port map( a => n436, b => mult_125_G4_ab_5_12_port, c => n3645
, d => n2246, outb => n3644);
U2178 : inv port map( inb => mult_125_G4_ab_6_12_port, outb => n3646);
U2179 : oai22 port map( a => n3644, b => n3646, c => n437, d => n2249, outb
=> n440);
U2180 : aoi22 port map( a => n440, b => mult_125_G4_ab_7_12_port, c => n3648
, d => n2252, outb => n3647);
U2181 : inv port map( inb => mult_125_G4_ab_8_12_port, outb => n3649);
U2182 : oai22 port map( a => n3647, b => n3649, c => n441, d => n2255, outb
=> n444);
U2183 : aoi22 port map( a => n444, b => mult_125_G4_ab_9_12_port, c => n3651
, d => n2258, outb => n3650);
U2184 : inv port map( inb => mult_125_G4_ab_10_12_port, outb => n3652);
U2185 : oai22 port map( a => n3650, b => n3652, c => n445, d => n2261, outb
=> n448);
U2186 : aoi22 port map( a => n448, b => mult_125_G4_ab_11_12_port, c =>
n3654, d => n2264, outb => n3653);
U2187 : inv port map( inb => mult_125_G4_ab_12_12_port, outb => n3655);
U2188 : oai22 port map( a => n3653, b => n3655, c => n449, d => n2267, outb
=> n452);
U2189 : aoi22 port map( a => n452, b => mult_125_G4_ab_13_12_port, c =>
n3657, d => n2270, outb => n3656);
U2190 : inv port map( inb => mult_125_G4_ab_14_12_port, outb => n3658);
U2191 : oai22 port map( a => n3656, b => n3658, c => n453, d => n2273, outb
=> n456);
U2192 : nand2 port map( a => mult_125_G4_ab_0_12_port, b =>
mult_125_G4_ab_1_11_port, outb => n459);
U2193 : aoi22 port map( a => mult_125_G4_ab_2_11_port, b => n3659, c => n457
, d => n2281, outb => n462);
U2194 : oai22 port map( a => n462, b => n461, c => n3660, d => n2282, outb
=> n464);
U2195 : oai22 port map( a => n3661, b => n3662, c => n463, d => n2292, outb
=> n466);
U2196 : aoi22 port map( a => n466, b => mult_125_G4_ab_5_11_port, c => n3664
, d => n2284, outb => n3663);
U2197 : oai22 port map( a => n3663, b => n3665, c => n467, d => n2295, outb
=> n470);
U2198 : oai22 port map( a => n3666, b => n3667, c => n469, d => n2298, outb
=> n472);
U2199 : aoi22 port map( a => n472, b => mult_125_G4_ab_8_11_port, c => n3669
, d => n2301, outb => n3668);
U2200 : inv port map( inb => mult_125_G4_ab_9_11_port, outb => n3670);
U2201 : oai22 port map( a => n3668, b => n3670, c => n473, d => n2304, outb
=> n476);
U2202 : aoi22 port map( a => n476, b => mult_125_G4_ab_10_11_port, c =>
n3672, d => n2307, outb => n3671);
U2203 : inv port map( inb => mult_125_G4_ab_11_11_port, outb => n3673);
U2204 : oai22 port map( a => n3671, b => n3673, c => n477, d => n2310, outb
=> n480);
U2205 : aoi22 port map( a => n480, b => mult_125_G4_ab_12_11_port, c =>
n3675, d => n2313, outb => n3674);
U2206 : inv port map( inb => mult_125_G4_ab_13_11_port, outb => n3676);
U2207 : oai22 port map( a => n3674, b => n3676, c => n481, d => n2316, outb
=> n484);
U2208 : aoi22 port map( a => n484, b => mult_125_G4_ab_14_11_port, c =>
n3677, d => n2319, outb => n487);
U2209 : nand2 port map( a => mult_125_G4_ab_0_11_port, b =>
mult_125_G4_ab_1_10_port, outb => n490);
U2210 : aoi22 port map( a => mult_125_G4_ab_2_10_port, b => n3679, c => n488
, d => n2287, outb => n3678);
U2211 : oai22 port map( a => n3678, b => n3680, c => n491, d => n2288, outb
=> n494);
U2212 : aoi22 port map( a => n494, b => mult_125_G4_ab_4_10_port, c => n3681
, d => n2327, outb => n497);
U2213 : oai22 port map( a => n497, b => n496, c => n3682, d => n2290, outb
=> n499);
U2214 : aoi22 port map( a => n499, b => mult_125_G4_ab_6_10_port, c => n3684
, d => n2330, outb => n3683);
U2215 : oai22 port map( a => n3683, b => n3685, c => n500, d => n2293, outb
=> n503);
U2216 : inv port map( inb => mult_125_G4_ab_8_10_port, outb => n3686);
U2217 : oai22 port map( a => n3687, b => n3686, c => n502, d => n2296, outb
=> n505);
U2218 : aoi22 port map( a => n505, b => mult_125_G4_ab_9_10_port, c => n3689
, d => n2299, outb => n3688);
U2219 : inv port map( inb => mult_125_G4_ab_10_10_port, outb => n3690);
U2220 : oai22 port map( a => n3688, b => n3690, c => n506, d => n2302, outb
=> n509);
U2221 : aoi22 port map( a => n509, b => mult_125_G4_ab_11_10_port, c =>
n3692, d => n2305, outb => n3691);
U2222 : inv port map( inb => mult_125_G4_ab_12_10_port, outb => n3693);
U2223 : oai22 port map( a => n3691, b => n3693, c => n510, d => n2308, outb
=> n513);
U2224 : aoi22 port map( a => n513, b => mult_125_G4_ab_13_10_port, c =>
n3695, d => n2311, outb => n3694);
U2225 : inv port map( inb => mult_125_G4_ab_14_10_port, outb => n3696);
U2226 : oai22 port map( a => n3694, b => n3696, c => n514, d => n2314, outb
=> n517);
U2227 : nand2 port map( a => mult_125_G4_ab_0_10_port, b =>
mult_125_G4_ab_1_9_port, outb => n520);
U2228 : aoi22 port map( a => mult_125_G4_ab_2_9_port, b => n3698, c => n518,
d => n2322, outb => n3697);
U2229 : oai22 port map( a => n3697, b => n3699, c => n521, d => n2323, outb
=> n524);
U2230 : oai22 port map( a => n3700, b => n3701, c => n523, d => n2336, outb
=> n526);
U2231 : aoi22 port map( a => n526, b => mult_125_G4_ab_5_9_port, c => n3703,
d => n2325, outb => n3702);
U2232 : oai22 port map( a => n3702, b => n3704, c => n527, d => n2339, outb
=> n530);
U2233 : aoi22 port map( a => n530, b => mult_125_G4_ab_7_9_port, c => n3706,
d => n2328, outb => n3705);
U2234 : oai22 port map( a => n3705, b => n3707, c => n531, d => n2342, outb
=> n534);
U2235 : oai22 port map( a => n3708, b => n3709, c => n533, d => n2345, outb
=> n536);
U2236 : aoi22 port map( a => n536, b => mult_125_G4_ab_10_9_port, c => n3711
, d => n2348, outb => n3710);
U2237 : inv port map( inb => mult_125_G4_ab_11_9_port, outb => n3712);
U2238 : oai22 port map( a => n3710, b => n3712, c => n537, d => n2351, outb
=> n540);
U2239 : aoi22 port map( a => n540, b => mult_125_G4_ab_12_9_port, c => n3714
, d => n2354, outb => n3713);
U2240 : inv port map( inb => mult_125_G4_ab_13_9_port, outb => n3715);
U2241 : oai22 port map( a => n3713, b => n3715, c => n541, d => n2357, outb
=> n544);
U2242 : aoi22 port map( a => n544, b => mult_125_G4_ab_14_9_port, c => n3716
, d => n2360, outb => n547);
U2243 : nand2 port map( a => mult_125_G4_ab_0_9_port, b =>
mult_125_G4_ab_1_8_port, outb => n550);
U2244 : aoi22 port map( a => mult_125_G4_ab_2_8_port, b => n3718, c => n548,
d => n2331, outb => n3717);
U2245 : oai22 port map( a => n3717, b => n3719, c => n551, d => n2332, outb
=> n554);
U2246 : oai22 port map( a => n3720, b => n3721, c => n553, d => n2368, outb
=> n556);
U2247 : oai22 port map( a => n3722, b => n3723, c => n555, d => n2334, outb
=> n558);
U2248 : aoi22 port map( a => n558, b => mult_125_G4_ab_6_8_port, c => n3725,
d => n2371, outb => n3724);
U2249 : oai22 port map( a => n3724, b => n3726, c => n559, d => n2337, outb
=> n562);
U2250 : aoi22 port map( a => n562, b => mult_125_G4_ab_8_8_port, c => n3728,
d => n2374, outb => n3727);
U2251 : oai22 port map( a => n3727, b => n3729, c => n563, d => n2340, outb
=> n566);
U2252 : inv port map( inb => mult_125_G4_ab_10_8_port, outb => n3730);
U2253 : oai22 port map( a => n3731, b => n3730, c => n565, d => n2343, outb
=> n568);
U2254 : aoi22 port map( a => n568, b => mult_125_G4_ab_11_8_port, c => n3733
, d => n2346, outb => n3732);
U2255 : inv port map( inb => mult_125_G4_ab_12_8_port, outb => n3734);
U2256 : oai22 port map( a => n3732, b => n3734, c => n569, d => n2349, outb
=> n572);
U2257 : aoi22 port map( a => n572, b => mult_125_G4_ab_13_8_port, c => n3736
, d => n2352, outb => n3735);
U2258 : inv port map( inb => mult_125_G4_ab_14_8_port, outb => n3737);
U2259 : oai22 port map( a => n3735, b => n3737, c => n573, d => n2355, outb
=> n576);
U2260 : nand2 port map( a => mult_125_G4_ab_0_8_port, b =>
mult_125_G4_ab_1_7_port, outb => n579);
U2261 : aoi22 port map( a => mult_125_G4_ab_2_7_port, b => n3738, c => n577,
d => n2363, outb => n582);
U2262 : oai22 port map( a => n582, b => n581, c => n3739, d => n2364, outb
=> n584);
U2263 : oai22 port map( a => n3740, b => n3741, c => n583, d => n2380, outb
=> n586);
U2264 : aoi22 port map( a => n586, b => mult_125_G4_ab_5_7_port, c => n3743,
d => n2366, outb => n3742);
U2265 : oai22 port map( a => n3742, b => n3744, c => n587, d => n2383, outb
=> n590);
U2266 : aoi22 port map( a => n590, b => mult_125_G4_ab_7_7_port, c => n3746,
d => n2369, outb => n3745);
U2267 : oai22 port map( a => n3745, b => n3747, c => n591, d => n2386, outb
=> n594);
U2268 : aoi22 port map( a => n594, b => mult_125_G4_ab_9_7_port, c => n3749,
d => n2372, outb => n3748);
U2269 : oai22 port map( a => n3748, b => n3750, c => n595, d => n2389, outb
=> n598);
U2270 : oai22 port map( a => n3751, b => n3752, c => n597, d => n2392, outb
=> n600);
U2271 : aoi22 port map( a => n600, b => mult_125_G4_ab_12_7_port, c => n3754
, d => n2395, outb => n3753);
U2272 : inv port map( inb => mult_125_G4_ab_13_7_port, outb => n3755);
U2273 : oai22 port map( a => n3753, b => n3755, c => n601, d => n2398, outb
=> n604);
U2274 : aoi22 port map( a => n604, b => mult_125_G4_ab_14_7_port, c => n3756
, d => n2401, outb => n607);
U2275 : nand2 port map( a => mult_125_G4_ab_0_7_port, b =>
mult_125_G4_ab_1_6_port, outb => n610);
U2276 : aoi22 port map( a => mult_125_G4_ab_2_6_port, b => n3758, c => n608,
d => n2375, outb => n3757);
U2277 : oai22 port map( a => n3757, b => n3759, c => n611, d => n2376, outb
=> n614);
U2278 : aoi22 port map( a => n614, b => mult_125_G4_ab_4_6_port, c => n3760,
d => n2409, outb => n617);
U2279 : oai22 port map( a => n617, b => n616, c => n3761, d => n2378, outb
=> n619);
U2280 : aoi22 port map( a => n619, b => mult_125_G4_ab_6_6_port, c => n3763,
d => n2412, outb => n3762);
U2281 : oai22 port map( a => n3762, b => n3764, c => n620, d => n2381, outb
=> n623);
U2282 : aoi22 port map( a => n623, b => mult_125_G4_ab_8_6_port, c => n3765,
d => n2415, outb => n626);
U2283 : oai22 port map( a => n626, b => n625, c => n3766, d => n2384, outb
=> n628);
U2284 : aoi22 port map( a => n628, b => mult_125_G4_ab_10_6_port, c => n3768
, d => n2418, outb => n3767);
U2285 : oai22 port map( a => n3767, b => n3769, c => n629, d => n2387, outb
=> n632);
U2286 : inv port map( inb => mult_125_G4_ab_12_6_port, outb => n3770);
U2287 : oai22 port map( a => n3771, b => n3770, c => n631, d => n2390, outb
=> n634);
U2288 : aoi22 port map( a => n634, b => mult_125_G4_ab_13_6_port, c => n3773
, d => n2393, outb => n3772);
U2289 : inv port map( inb => mult_125_G4_ab_14_6_port, outb => n3774);
U2290 : oai22 port map( a => n3772, b => n3774, c => n635, d => n2396, outb
=> n638);
U2291 : nand2 port map( a => mult_125_G4_ab_0_6_port, b =>
mult_125_G4_ab_1_5_port, outb => n641);
U2292 : aoi22 port map( a => mult_125_G4_ab_2_5_port, b => n3775, c => n639,
d => n2404, outb => n644);
U2293 : oai22 port map( a => n644, b => n643, c => n3776, d => n2405, outb
=> n646);
U2294 : oai22 port map( a => n3777, b => n3778, c => n645, d => n2424, outb
=> n648);
U2295 : aoi22 port map( a => n648, b => mult_125_G4_ab_5_5_port, c => n3779,
d => n2407, outb => n651);
U2296 : oai22 port map( a => n651, b => n650, c => n3780, d => n2427, outb
=> n653);
U2297 : aoi22 port map( a => n653, b => mult_125_G4_ab_7_5_port, c => n3782,
d => n2410, outb => n3781);
U2298 : oai22 port map( a => n3781, b => n3783, c => n654, d => n2430, outb
=> n657);
U2299 : aoi22 port map( a => n657, b => mult_125_G4_ab_9_5_port, c => n3785,
d => n2413, outb => n3784);
U2300 : oai22 port map( a => n3784, b => n3786, c => n658, d => n2433, outb
=> n661);
U2301 : aoi22 port map( a => n661, b => mult_125_G4_ab_11_5_port, c => n3788
, d => n2416, outb => n3787);
U2302 : oai22 port map( a => n3787, b => n3789, c => n662, d => n2436, outb
=> n665);
U2303 : oai22 port map( a => n3790, b => n3791, c => n664, d => n2439, outb
=> n667);
U2304 : aoi22 port map( a => n667, b => mult_125_G4_ab_14_5_port, c => n3792
, d => n2442, outb => n670);
U2305 : nand2 port map( a => mult_125_G4_ab_0_5_port, b =>
mult_125_G4_ab_1_4_port, outb => n673);
U2306 : aoi22 port map( a => mult_125_G4_ab_2_4_port, b => n3794, c => n671,
d => n2419, outb => n3793);
U2307 : oai22 port map( a => n3793, b => n3795, c => n674, d => n2420, outb
=> n677);
U2308 : aoi22 port map( a => n677, b => mult_125_G4_ab_4_4_port, c => n3796,
d => n2450, outb => n680);
U2309 : oai22 port map( a => n680, b => n679, c => n3797, d => n2422, outb
=> n682);
U2310 : aoi22 port map( a => n682, b => mult_125_G4_ab_6_4_port, c => n3798,
d => n2453, outb => n685);
U2311 : oai22 port map( a => n685, b => n684, c => n3799, d => n2425, outb
=> n687);
U2312 : aoi22 port map( a => n687, b => mult_125_G4_ab_8_4_port, c => n3801,
d => n2456, outb => n3800);
U2313 : oai22 port map( a => n3800, b => n3802, c => n688, d => n2428, outb
=> n691);
U2314 : aoi22 port map( a => n691, b => mult_125_G4_ab_10_4_port, c => n3803
, d => n2459, outb => n694);
U2315 : oai22 port map( a => n694, b => n693, c => n3804, d => n2431, outb
=> n696);
U2316 : aoi22 port map( a => n696, b => mult_125_G4_ab_12_4_port, c => n3806
, d => n2462, outb => n3805);
U2317 : oai22 port map( a => n3805, b => n3807, c => n697, d => n2434, outb
=> n700);
U2318 : aoi22 port map( a => n700, b => mult_125_G4_ab_14_4_port, c => n3808
, d => n2437, outb => n703);
U2319 : nand2 port map( a => mult_125_G4_ab_0_4_port, b =>
mult_125_G4_ab_1_3_port, outb => n706);
U2320 : aoi22 port map( a => mult_125_G4_ab_2_3_port, b => n3809, c => n704,
d => n2445, outb => n709);
U2321 : oai22 port map( a => n709, b => n708, c => n3810, d => n2446, outb
=> n711);
U2322 : oai22 port map( a => n3811, b => n3812, c => n710, d => n2468, outb
=> n713);
U2323 : aoi22 port map( a => n713, b => mult_125_G4_ab_5_3_port, c => n3814,
d => n2448, outb => n3813);
U2324 : oai22 port map( a => n3813, b => n3815, c => n714, d => n2471, outb
=> n717);
U2325 : aoi22 port map( a => n717, b => mult_125_G4_ab_7_3_port, c => n3817,
d => n2451, outb => n3816);
U2326 : oai22 port map( a => n3816, b => n3818, c => n718, d => n2474, outb
=> n721);
U2327 : aoi22 port map( a => n721, b => mult_125_G4_ab_9_3_port, c => n3820,
d => n2454, outb => n3819);
U2328 : oai22 port map( a => n3819, b => n3821, c => n722, d => n2477, outb
=> n725);
U2329 : aoi22 port map( a => n725, b => mult_125_G4_ab_11_3_port, c => n3823
, d => n2457, outb => n3822);
U2330 : oai22 port map( a => n3822, b => n3824, c => n726, d => n2480, outb
=> n729);
U2331 : aoi22 port map( a => n729, b => mult_125_G4_ab_13_3_port, c => n3826
, d => n2460, outb => n3825);
U2332 : inv port map( inb => mult_125_G4_ab_14_3_port, outb => n3827);
U2333 : oai22 port map( a => n3825, b => n3827, c => n730, d => n2483, outb
=> n733);
U2334 : nand2 port map( a => mult_125_G4_ab_0_3_port, b =>
mult_125_G4_ab_1_2_port, outb => n736);
U2335 : aoi22 port map( a => mult_125_G4_ab_2_2_port, b => n3829, c => n734,
d => n2463, outb => n3828);
U2336 : oai22 port map( a => n3828, b => n3830, c => n737, d => n2464, outb
=> n740);
U2337 : aoi22 port map( a => n740, b => mult_125_G4_ab_4_2_port, c => n3832,
d => n2491, outb => n3831);
U2338 : oai22 port map( a => n3831, b => n3833, c => n741, d => n2466, outb
=> n744);
U2339 : aoi22 port map( a => n744, b => mult_125_G4_ab_6_2_port, c => n3834,
d => n2494, outb => n747);
U2340 : oai22 port map( a => n747, b => n746, c => n3835, d => n2469, outb
=> n749);
U2341 : aoi22 port map( a => n749, b => mult_125_G4_ab_8_2_port, c => n3837,
d => n2497, outb => n3836);
U2342 : oai22 port map( a => n3836, b => n3838, c => n750, d => n2472, outb
=> n753);
U2343 : aoi22 port map( a => n753, b => mult_125_G4_ab_10_2_port, c => n3840
, d => n2500, outb => n3839);
U2344 : oai22 port map( a => n3839, b => n3841, c => n754, d => n2475, outb
=> n757);
U2345 : aoi22 port map( a => n757, b => mult_125_G4_ab_12_2_port, c => n3843
, d => n2503, outb => n3842);
U2346 : oai22 port map( a => n3842, b => n3844, c => n758, d => n2478, outb
=> n761);
U2347 : aoi22 port map( a => n761, b => mult_125_G4_ab_14_2_port, c => n3846
, d => n2506, outb => n3845);
U2348 : nand2 port map( a => mult_125_G4_ab_0_2_port, b =>
mult_125_G4_ab_1_1_port, outb => n766);
U2349 : aoi22 port map( a => mult_125_G4_ab_2_1_port, b => n3848, c => n764,
d => n2486, outb => n3847);
U2350 : oai22 port map( a => n3847, b => n3849, c => n767, d => n2487, outb
=> n770);
U2351 : inv port map( inb => mult_125_G4_ab_4_1_port, outb => n3850);
U2352 : oai22 port map( a => n3851, b => n3850, c => n769, d => n2512, outb
=> n772);
U2353 : aoi22 port map( a => n772, b => mult_125_G4_ab_5_1_port, c => n3853,
d => n2489, outb => n3852);
U2354 : oai22 port map( a => n3852, b => n3854, c => n773, d => n2515, outb
=> n776);
U2355 : aoi22 port map( a => n776, b => mult_125_G4_ab_7_1_port, c => n3856,
d => n2492, outb => n3855);
U2356 : oai22 port map( a => n3855, b => n3857, c => n777, d => n2518, outb
=> n780);
U2357 : aoi22 port map( a => n780, b => mult_125_G4_ab_9_1_port, c => n3859,
d => n2495, outb => n3858);
U2358 : oai22 port map( a => n3858, b => n3860, c => n781, d => n2521, outb
=> n784);
U2359 : aoi22 port map( a => n784, b => mult_125_G4_ab_11_1_port, c => n3862
, d => n2498, outb => n3861);
U2360 : oai22 port map( a => n3861, b => n3863, c => n785, d => n2524, outb
=> n788);
U2361 : aoi22 port map( a => n788, b => mult_125_G4_ab_13_1_port, c => n3865
, d => n2501, outb => n3864);
U2362 : inv port map( inb => mult_125_G4_ab_14_1_port, outb => n3866);
U2363 : oai22 port map( a => n3864, b => n3866, c => n789, d => n2527, outb
=> n792);
U2364 : nand2 port map( a => mult_125_G4_ab_1_0_port, b =>
mult_125_G4_ab_0_1_port, outb => n3867);
U2365 : aoi22 port map( a => mult_125_G4_ab_2_0_port, b => n794, c => n3869,
d => n2507, outb => n3868);
U2366 : aoi22 port map( a => n796, b => mult_125_G4_ab_3_0_port, c => n3871,
d => n2508, outb => n3870);
U2367 : inv port map( inb => mult_125_G4_ab_4_0_port, outb => n3872);
U2368 : oai22 port map( a => n3870, b => n3872, c => n797, d => n3873, outb
=> n800);
U2369 : aoi22 port map( a => n800, b => mult_125_G4_ab_5_0_port, c => n3875,
d => n2510, outb => n3874);
U2370 : inv port map( inb => mult_125_G4_ab_6_0_port, outb => n3876);
U2371 : oai22 port map( a => n3874, b => n3876, c => n801, d => n3877, outb
=> n804);
U2372 : aoi22 port map( a => n804, b => mult_125_G4_ab_7_0_port, c => n3879,
d => n2513, outb => n3878);
U2373 : inv port map( inb => mult_125_G4_ab_8_0_port, outb => n3880);
U2374 : oai22 port map( a => n3878, b => n3880, c => n805, d => n3881, outb
=> n808);
U2375 : aoi22 port map( a => n808, b => mult_125_G4_ab_9_0_port, c => n3883,
d => n2516, outb => n3882);
U2376 : inv port map( inb => mult_125_G4_ab_10_0_port, outb => n3884);
U2377 : oai22 port map( a => n3882, b => n3884, c => n809, d => n3885, outb
=> n812);
U2378 : aoi22 port map( a => n812, b => mult_125_G4_ab_11_0_port, c => n3887
, d => n2519, outb => n3886);
U2379 : inv port map( inb => mult_125_G4_ab_12_0_port, outb => n3888);
U2380 : oai22 port map( a => n3886, b => n3888, c => n813, d => n3889, outb
=> n816);
U2381 : aoi22 port map( a => n816, b => mult_125_G4_ab_13_0_port, c => n3891
, d => n2522, outb => n3890);
U2382 : inv port map( inb => mult_125_G4_ab_14_0_port, outb => n3892);
U2383 : oai22 port map( a => n3890, b => n3892, c => n817, d => n3893, outb
=> n820);
U2384 : inv port map( inb => mult_125_G4_ZB, outb => n272);
U2385 : inv port map( inb => mult_125_G4_ZA, outb => n273);
U2386 : nand2 port map( a => mult_125_G3_ab_0_15_port, b =>
mult_125_G3_ab_1_14_port, outb => n3894);
U2387 : inv port map( inb => mult_125_G3_ab_3_15_port, outb => n3895);
U2388 : inv port map( inb => mult_125_G3_ab_4_14_port, outb => n3896);
U2389 : inv port map( inb => mult_125_G3_ab_5_15_port, outb => n3897);
U2390 : inv port map( inb => mult_125_G3_ab_6_14_port, outb => n3898);
U2391 : inv port map( inb => mult_125_G3_ab_7_15_port, outb => n3899);
U2392 : inv port map( inb => mult_125_G3_ab_8_14_port, outb => n3900);
U2393 : inv port map( inb => mult_125_G3_ab_9_15_port, outb => n3901);
U2394 : inv port map( inb => mult_125_G3_ab_10_14_port, outb => n3902);
U2395 : inv port map( inb => mult_125_G3_ab_11_15_port, outb => n3903);
U2396 : inv port map( inb => mult_125_G3_ab_12_14_port, outb => n3904);
U2397 : nand2 port map( a => mult_125_G3_ab_0_14_port, b =>
mult_125_G3_ab_1_13_port, outb => n838);
U2398 : aoi22 port map( a => mult_125_G3_ab_2_13_port, b => n3905, c => n836
, d => n2537, outb => n841);
U2399 : oai22 port map( a => n841, b => n840, c => n3906, d => n2538, outb
=> n843);
U2400 : aoi22 port map( a => n843, b => mult_125_G3_ab_4_13_port, c => n3908
, d => n2545, outb => n3907);
U2401 : oai22 port map( a => n3907, b => n3909, c => n844, d => n2548, outb
=> n847);
U2402 : aoi22 port map( a => n847, b => mult_125_G3_ab_6_13_port, c => n3911
, d => n2551, outb => n3910);
U2403 : inv port map( inb => mult_125_G3_ab_7_13_port, outb => n3912);
U2404 : oai22 port map( a => n3910, b => n3912, c => n848, d => n2554, outb
=> n851);
U2405 : aoi22 port map( a => n851, b => mult_125_G3_ab_8_13_port, c => n3914
, d => n2557, outb => n3913);
U2406 : inv port map( inb => mult_125_G3_ab_9_13_port, outb => n3915);
U2407 : oai22 port map( a => n3913, b => n3915, c => n852, d => n2560, outb
=> n855);
U2408 : aoi22 port map( a => n855, b => mult_125_G3_ab_10_13_port, c =>
n3917, d => n2563, outb => n3916);
U2409 : inv port map( inb => mult_125_G3_ab_11_13_port, outb => n3918);
U2410 : oai22 port map( a => n3916, b => n3918, c => n856, d => n2566, outb
=> n859);
U2411 : aoi22 port map( a => n859, b => mult_125_G3_ab_12_13_port, c =>
n3920, d => n2569, outb => n3919);
U2412 : inv port map( inb => mult_125_G3_ab_13_13_port, outb => n3921);
U2413 : oai22 port map( a => n3919, b => n3921, c => n860, d => n2572, outb
=> n863);
U2414 : aoi22 port map( a => n863, b => mult_125_G3_ab_14_13_port, c =>
n3923, d => n2575, outb => n3922);
U2415 : nand2 port map( a => mult_125_G3_ab_0_13_port, b =>
mult_125_G3_ab_1_12_port, outb => n868);
U2416 : aoi22 port map( a => mult_125_G3_ab_2_12_port, b => n3925, c => n866
, d => n2540, outb => n3924);
U2417 : oai22 port map( a => n3924, b => n3926, c => n869, d => n2541, outb
=> n872);
U2418 : aoi22 port map( a => n872, b => mult_125_G3_ab_4_12_port, c => n3928
, d => n2583, outb => n3927);
U2419 : aoi22 port map( a => n874, b => mult_125_G3_ab_5_12_port, c => n3930
, d => n2543, outb => n3929);
U2420 : inv port map( inb => mult_125_G3_ab_6_12_port, outb => n3931);
U2421 : oai22 port map( a => n3929, b => n3931, c => n875, d => n2546, outb
=> n878);
U2422 : aoi22 port map( a => n878, b => mult_125_G3_ab_7_12_port, c => n3933
, d => n2549, outb => n3932);
U2423 : inv port map( inb => mult_125_G3_ab_8_12_port, outb => n3934);
U2424 : oai22 port map( a => n3932, b => n3934, c => n879, d => n2552, outb
=> n882);
U2425 : aoi22 port map( a => n882, b => mult_125_G3_ab_9_12_port, c => n3936
, d => n2555, outb => n3935);
U2426 : inv port map( inb => mult_125_G3_ab_10_12_port, outb => n3937);
U2427 : oai22 port map( a => n3935, b => n3937, c => n883, d => n2558, outb
=> n886);
U2428 : aoi22 port map( a => n886, b => mult_125_G3_ab_11_12_port, c =>
n3939, d => n2561, outb => n3938);
U2429 : inv port map( inb => mult_125_G3_ab_12_12_port, outb => n3940);
U2430 : oai22 port map( a => n3938, b => n3940, c => n887, d => n2564, outb
=> n890);
U2431 : aoi22 port map( a => n890, b => mult_125_G3_ab_13_12_port, c =>
n3942, d => n2567, outb => n3941);
U2432 : inv port map( inb => mult_125_G3_ab_14_12_port, outb => n3943);
U2433 : oai22 port map( a => n3941, b => n3943, c => n891, d => n2570, outb
=> n894);
U2434 : nand2 port map( a => mult_125_G3_ab_0_12_port, b =>
mult_125_G3_ab_1_11_port, outb => n897);
U2435 : aoi22 port map( a => mult_125_G3_ab_2_11_port, b => n3944, c => n895
, d => n2578, outb => n900);
U2436 : oai22 port map( a => n900, b => n899, c => n3945, d => n2579, outb
=> n902);
U2437 : oai22 port map( a => n3946, b => n3947, c => n901, d => n2589, outb
=> n904);
U2438 : aoi22 port map( a => n904, b => mult_125_G3_ab_5_11_port, c => n3949
, d => n2581, outb => n3948);
U2439 : oai22 port map( a => n3948, b => n3950, c => n905, d => n2592, outb
=> n908);
U2440 : oai22 port map( a => n3951, b => n3952, c => n907, d => n2595, outb
=> n910);
U2441 : aoi22 port map( a => n910, b => mult_125_G3_ab_8_11_port, c => n3954
, d => n2598, outb => n3953);
U2442 : inv port map( inb => mult_125_G3_ab_9_11_port, outb => n3955);
U2443 : oai22 port map( a => n3953, b => n3955, c => n911, d => n2601, outb
=> n914);
U2444 : aoi22 port map( a => n914, b => mult_125_G3_ab_10_11_port, c =>
n3957, d => n2604, outb => n3956);
U2445 : inv port map( inb => mult_125_G3_ab_11_11_port, outb => n3958);
U2446 : oai22 port map( a => n3956, b => n3958, c => n915, d => n2607, outb
=> n918);
U2447 : aoi22 port map( a => n918, b => mult_125_G3_ab_12_11_port, c =>
n3960, d => n2610, outb => n3959);
U2448 : inv port map( inb => mult_125_G3_ab_13_11_port, outb => n3961);
U2449 : oai22 port map( a => n3959, b => n3961, c => n919, d => n2613, outb
=> n922);
U2450 : aoi22 port map( a => n922, b => mult_125_G3_ab_14_11_port, c =>
n3962, d => n2616, outb => n925);
U2451 : nand2 port map( a => mult_125_G3_ab_0_11_port, b =>
mult_125_G3_ab_1_10_port, outb => n928);
U2452 : aoi22 port map( a => mult_125_G3_ab_2_10_port, b => n3964, c => n926
, d => n2584, outb => n3963);
U2453 : oai22 port map( a => n3963, b => n3965, c => n929, d => n2585, outb
=> n932);
U2454 : aoi22 port map( a => n932, b => mult_125_G3_ab_4_10_port, c => n3966
, d => n2624, outb => n935);
U2455 : oai22 port map( a => n935, b => n934, c => n3967, d => n2587, outb
=> n937);
U2456 : aoi22 port map( a => n937, b => mult_125_G3_ab_6_10_port, c => n3969
, d => n2627, outb => n3968);
U2457 : oai22 port map( a => n3968, b => n3970, c => n938, d => n2590, outb
=> n941);
U2458 : inv port map( inb => mult_125_G3_ab_8_10_port, outb => n3971);
U2459 : oai22 port map( a => n3972, b => n3971, c => n940, d => n2593, outb
=> n943);
U2460 : aoi22 port map( a => n943, b => mult_125_G3_ab_9_10_port, c => n3974
, d => n2596, outb => n3973);
U2461 : inv port map( inb => mult_125_G3_ab_10_10_port, outb => n3975);
U2462 : oai22 port map( a => n3973, b => n3975, c => n944, d => n2599, outb
=> n947);
U2463 : aoi22 port map( a => n947, b => mult_125_G3_ab_11_10_port, c =>
n3977, d => n2602, outb => n3976);
U2464 : inv port map( inb => mult_125_G3_ab_12_10_port, outb => n3978);
U2465 : oai22 port map( a => n3976, b => n3978, c => n948, d => n2605, outb
=> n951);
U2466 : aoi22 port map( a => n951, b => mult_125_G3_ab_13_10_port, c =>
n3980, d => n2608, outb => n3979);
U2467 : inv port map( inb => mult_125_G3_ab_14_10_port, outb => n3981);
U2468 : oai22 port map( a => n3979, b => n3981, c => n952, d => n2611, outb
=> n955);
U2469 : nand2 port map( a => mult_125_G3_ab_0_10_port, b =>
mult_125_G3_ab_1_9_port, outb => n958);
U2470 : aoi22 port map( a => mult_125_G3_ab_2_9_port, b => n3983, c => n956,
d => n2619, outb => n3982);
U2471 : oai22 port map( a => n3982, b => n3984, c => n959, d => n2620, outb
=> n962);
U2472 : oai22 port map( a => n3985, b => n3986, c => n961, d => n2633, outb
=> n964);
U2473 : aoi22 port map( a => n964, b => mult_125_G3_ab_5_9_port, c => n3988,
d => n2622, outb => n3987);
U2474 : oai22 port map( a => n3987, b => n3989, c => n965, d => n2636, outb
=> n968);
U2475 : aoi22 port map( a => n968, b => mult_125_G3_ab_7_9_port, c => n3991,
d => n2625, outb => n3990);
U2476 : oai22 port map( a => n3990, b => n3992, c => n969, d => n2639, outb
=> n972);
U2477 : oai22 port map( a => n3993, b => n3994, c => n971, d => n2642, outb
=> n974);
U2478 : aoi22 port map( a => n974, b => mult_125_G3_ab_10_9_port, c => n3996
, d => n2645, outb => n3995);
U2479 : inv port map( inb => mult_125_G3_ab_11_9_port, outb => n3997);
U2480 : oai22 port map( a => n3995, b => n3997, c => n975, d => n2648, outb
=> n978);
U2481 : aoi22 port map( a => n978, b => mult_125_G3_ab_12_9_port, c => n3999
, d => n2651, outb => n3998);
U2482 : inv port map( inb => mult_125_G3_ab_13_9_port, outb => n4000);
U2483 : oai22 port map( a => n3998, b => n4000, c => n979, d => n2654, outb
=> n982);
U2484 : aoi22 port map( a => n982, b => mult_125_G3_ab_14_9_port, c => n4001
, d => n2657, outb => n985);
U2485 : nand2 port map( a => mult_125_G3_ab_0_9_port, b =>
mult_125_G3_ab_1_8_port, outb => n988);
U2486 : aoi22 port map( a => mult_125_G3_ab_2_8_port, b => n4003, c => n986,
d => n2628, outb => n4002);
U2487 : oai22 port map( a => n4002, b => n4004, c => n989, d => n2629, outb
=> n992);
U2488 : oai22 port map( a => n4005, b => n4006, c => n991, d => n2665, outb
=> n994);
U2489 : oai22 port map( a => n4007, b => n4008, c => n993, d => n2631, outb
=> n996);
U2490 : aoi22 port map( a => n996, b => mult_125_G3_ab_6_8_port, c => n4010,
d => n2668, outb => n4009);
U2491 : oai22 port map( a => n4009, b => n4011, c => n997, d => n2634, outb
=> n1000);
U2492 : aoi22 port map( a => n1000, b => mult_125_G3_ab_8_8_port, c => n4013
, d => n2671, outb => n4012);
U2493 : oai22 port map( a => n4012, b => n4014, c => n1001, d => n2637, outb
=> n1004);
U2494 : inv port map( inb => mult_125_G3_ab_10_8_port, outb => n4015);
U2495 : oai22 port map( a => n4016, b => n4015, c => n1003, d => n2640, outb
=> n1006);
U2496 : aoi22 port map( a => n1006, b => mult_125_G3_ab_11_8_port, c =>
n4018, d => n2643, outb => n4017);
U2497 : inv port map( inb => mult_125_G3_ab_12_8_port, outb => n4019);
U2498 : oai22 port map( a => n4017, b => n4019, c => n1007, d => n2646, outb
=> n1010);
U2499 : aoi22 port map( a => n1010, b => mult_125_G3_ab_13_8_port, c =>
n4021, d => n2649, outb => n4020);
U2500 : inv port map( inb => mult_125_G3_ab_14_8_port, outb => n4022);
U2501 : oai22 port map( a => n4020, b => n4022, c => n1011, d => n2652, outb
=> n1014);
U2502 : nand2 port map( a => mult_125_G3_ab_0_8_port, b =>
mult_125_G3_ab_1_7_port, outb => n1017);
U2503 : aoi22 port map( a => mult_125_G3_ab_2_7_port, b => n4023, c => n1015
, d => n2660, outb => n1020);
U2504 : oai22 port map( a => n1020, b => n1019, c => n4024, d => n2661, outb
=> n1022);
U2505 : oai22 port map( a => n4025, b => n4026, c => n1021, d => n2677, outb
=> n1024);
U2506 : aoi22 port map( a => n1024, b => mult_125_G3_ab_5_7_port, c => n4028
, d => n2663, outb => n4027);
U2507 : oai22 port map( a => n4027, b => n4029, c => n1025, d => n2680, outb
=> n1028);
U2508 : aoi22 port map( a => n1028, b => mult_125_G3_ab_7_7_port, c => n4031
, d => n2666, outb => n4030);
U2509 : oai22 port map( a => n4030, b => n4032, c => n1029, d => n2683, outb
=> n1032);
U2510 : aoi22 port map( a => n1032, b => mult_125_G3_ab_9_7_port, c => n4034
, d => n2669, outb => n4033);
U2511 : oai22 port map( a => n4033, b => n4035, c => n1033, d => n2686, outb
=> n1036);
U2512 : oai22 port map( a => n4036, b => n4037, c => n1035, d => n2689, outb
=> n1038);
U2513 : aoi22 port map( a => n1038, b => mult_125_G3_ab_12_7_port, c =>
n4039, d => n2692, outb => n4038);
U2514 : inv port map( inb => mult_125_G3_ab_13_7_port, outb => n4040);
U2515 : oai22 port map( a => n4038, b => n4040, c => n1039, d => n2695, outb
=> n1042);
U2516 : aoi22 port map( a => n1042, b => mult_125_G3_ab_14_7_port, c =>
n4041, d => n2698, outb => n1045);
U2517 : nand2 port map( a => mult_125_G3_ab_0_7_port, b =>
mult_125_G3_ab_1_6_port, outb => n1048);
U2518 : aoi22 port map( a => mult_125_G3_ab_2_6_port, b => n4043, c => n1046
, d => n2672, outb => n4042);
U2519 : oai22 port map( a => n4042, b => n4044, c => n1049, d => n2673, outb
=> n1052);
U2520 : aoi22 port map( a => n1052, b => mult_125_G3_ab_4_6_port, c => n4045
, d => n2706, outb => n1055);
U2521 : oai22 port map( a => n1055, b => n1054, c => n4046, d => n2675, outb
=> n1057);
U2522 : aoi22 port map( a => n1057, b => mult_125_G3_ab_6_6_port, c => n4048
, d => n2709, outb => n4047);
U2523 : oai22 port map( a => n4047, b => n4049, c => n1058, d => n2678, outb
=> n1061);
U2524 : aoi22 port map( a => n1061, b => mult_125_G3_ab_8_6_port, c => n4050
, d => n2712, outb => n1064);
U2525 : oai22 port map( a => n1064, b => n1063, c => n4051, d => n2681, outb
=> n1066);
U2526 : aoi22 port map( a => n1066, b => mult_125_G3_ab_10_6_port, c =>
n4053, d => n2715, outb => n4052);
U2527 : oai22 port map( a => n4052, b => n4054, c => n1067, d => n2684, outb
=> n1070);
U2528 : inv port map( inb => mult_125_G3_ab_12_6_port, outb => n4055);
U2529 : oai22 port map( a => n4056, b => n4055, c => n1069, d => n2687, outb
=> n1072);
U2530 : aoi22 port map( a => n1072, b => mult_125_G3_ab_13_6_port, c =>
n4058, d => n2690, outb => n4057);
U2531 : inv port map( inb => mult_125_G3_ab_14_6_port, outb => n4059);
U2532 : oai22 port map( a => n4057, b => n4059, c => n1073, d => n2693, outb
=> n1076);
U2533 : nand2 port map( a => mult_125_G3_ab_0_6_port, b =>
mult_125_G3_ab_1_5_port, outb => n1079);
U2534 : aoi22 port map( a => mult_125_G3_ab_2_5_port, b => n4060, c => n1077
, d => n2701, outb => n1082);
U2535 : oai22 port map( a => n1082, b => n1081, c => n4061, d => n2702, outb
=> n1084);
U2536 : oai22 port map( a => n4062, b => n4063, c => n1083, d => n2721, outb
=> n1086);
U2537 : aoi22 port map( a => n1086, b => mult_125_G3_ab_5_5_port, c => n4064
, d => n2704, outb => n1089);
U2538 : oai22 port map( a => n1089, b => n1088, c => n4065, d => n2724, outb
=> n1091);
U2539 : aoi22 port map( a => n1091, b => mult_125_G3_ab_7_5_port, c => n4067
, d => n2707, outb => n4066);
U2540 : oai22 port map( a => n4066, b => n4068, c => n1092, d => n2727, outb
=> n1095);
U2541 : aoi22 port map( a => n1095, b => mult_125_G3_ab_9_5_port, c => n4070
, d => n2710, outb => n4069);
U2542 : oai22 port map( a => n4069, b => n4071, c => n1096, d => n2730, outb
=> n1099);
U2543 : aoi22 port map( a => n1099, b => mult_125_G3_ab_11_5_port, c =>
n4073, d => n2713, outb => n4072);
U2544 : oai22 port map( a => n4072, b => n4074, c => n1100, d => n2733, outb
=> n1103);
U2545 : oai22 port map( a => n4075, b => n4076, c => n1102, d => n2736, outb
=> n1105);
U2546 : aoi22 port map( a => n1105, b => mult_125_G3_ab_14_5_port, c =>
n4077, d => n2739, outb => n1108);
U2547 : nand2 port map( a => mult_125_G3_ab_0_5_port, b =>
mult_125_G3_ab_1_4_port, outb => n1111);
U2548 : aoi22 port map( a => mult_125_G3_ab_2_4_port, b => n4079, c => n1109
, d => n2716, outb => n4078);
U2549 : oai22 port map( a => n4078, b => n4080, c => n1112, d => n2717, outb
=> n1115);
U2550 : aoi22 port map( a => n1115, b => mult_125_G3_ab_4_4_port, c => n4081
, d => n2747, outb => n1118);
U2551 : oai22 port map( a => n1118, b => n1117, c => n4082, d => n2719, outb
=> n1120);
U2552 : aoi22 port map( a => n1120, b => mult_125_G3_ab_6_4_port, c => n4083
, d => n2750, outb => n1123);
U2553 : oai22 port map( a => n1123, b => n1122, c => n4084, d => n2722, outb
=> n1125);
U2554 : aoi22 port map( a => n1125, b => mult_125_G3_ab_8_4_port, c => n4086
, d => n2753, outb => n4085);
U2555 : oai22 port map( a => n4085, b => n4087, c => n1126, d => n2725, outb
=> n1129);
U2556 : aoi22 port map( a => n1129, b => mult_125_G3_ab_10_4_port, c =>
n4088, d => n2756, outb => n1132);
U2557 : oai22 port map( a => n1132, b => n1131, c => n4089, d => n2728, outb
=> n1134);
U2558 : aoi22 port map( a => n1134, b => mult_125_G3_ab_12_4_port, c =>
n4091, d => n2759, outb => n4090);
U2559 : oai22 port map( a => n4090, b => n4092, c => n1135, d => n2731, outb
=> n1138);
U2560 : aoi22 port map( a => n1138, b => mult_125_G3_ab_14_4_port, c =>
n4093, d => n2734, outb => n1141);
U2561 : nand2 port map( a => mult_125_G3_ab_0_4_port, b =>
mult_125_G3_ab_1_3_port, outb => n1144);
U2562 : aoi22 port map( a => mult_125_G3_ab_2_3_port, b => n4094, c => n1142
, d => n2742, outb => n1147);
U2563 : oai22 port map( a => n1147, b => n1146, c => n4095, d => n2743, outb
=> n1149);
U2564 : oai22 port map( a => n4096, b => n4097, c => n1148, d => n2765, outb
=> n1151);
U2565 : aoi22 port map( a => n1151, b => mult_125_G3_ab_5_3_port, c => n4099
, d => n2745, outb => n4098);
U2566 : oai22 port map( a => n4098, b => n4100, c => n1152, d => n2768, outb
=> n1155);
U2567 : aoi22 port map( a => n1155, b => mult_125_G3_ab_7_3_port, c => n4102
, d => n2748, outb => n4101);
U2568 : oai22 port map( a => n4101, b => n4103, c => n1156, d => n2771, outb
=> n1159);
U2569 : aoi22 port map( a => n1159, b => mult_125_G3_ab_9_3_port, c => n4105
, d => n2751, outb => n4104);
U2570 : oai22 port map( a => n4104, b => n4106, c => n1160, d => n2774, outb
=> n1163);
U2571 : aoi22 port map( a => n1163, b => mult_125_G3_ab_11_3_port, c =>
n4108, d => n2754, outb => n4107);
U2572 : oai22 port map( a => n4107, b => n4109, c => n1164, d => n2777, outb
=> n1167);
U2573 : aoi22 port map( a => n1167, b => mult_125_G3_ab_13_3_port, c =>
n4111, d => n2757, outb => n4110);
U2574 : inv port map( inb => mult_125_G3_ab_14_3_port, outb => n4112);
U2575 : oai22 port map( a => n4110, b => n4112, c => n1168, d => n2780, outb
=> n1171);
U2576 : nand2 port map( a => mult_125_G3_ab_0_3_port, b =>
mult_125_G3_ab_1_2_port, outb => n1174);
U2577 : aoi22 port map( a => mult_125_G3_ab_2_2_port, b => n4114, c => n1172
, d => n2760, outb => n4113);
U2578 : oai22 port map( a => n4113, b => n4115, c => n1175, d => n2761, outb
=> n1178);
U2579 : aoi22 port map( a => n1178, b => mult_125_G3_ab_4_2_port, c => n4117
, d => n2788, outb => n4116);
U2580 : oai22 port map( a => n4116, b => n4118, c => n1179, d => n2763, outb
=> n1182);
U2581 : aoi22 port map( a => n1182, b => mult_125_G3_ab_6_2_port, c => n4119
, d => n2791, outb => n1185);
U2582 : oai22 port map( a => n1185, b => n1184, c => n4120, d => n2766, outb
=> n1187);
U2583 : aoi22 port map( a => n1187, b => mult_125_G3_ab_8_2_port, c => n4122
, d => n2794, outb => n4121);
U2584 : oai22 port map( a => n4121, b => n4123, c => n1188, d => n2769, outb
=> n1191);
U2585 : aoi22 port map( a => n1191, b => mult_125_G3_ab_10_2_port, c =>
n4125, d => n2797, outb => n4124);
U2586 : oai22 port map( a => n4124, b => n4126, c => n1192, d => n2772, outb
=> n1195);
U2587 : aoi22 port map( a => n1195, b => mult_125_G3_ab_12_2_port, c =>
n4128, d => n2800, outb => n4127);
U2588 : oai22 port map( a => n4127, b => n4129, c => n1196, d => n2775, outb
=> n1199);
U2589 : aoi22 port map( a => n1199, b => mult_125_G3_ab_14_2_port, c =>
n4131, d => n2803, outb => n4130);
U2590 : nand2 port map( a => mult_125_G3_ab_0_2_port, b =>
mult_125_G3_ab_1_1_port, outb => n1204);
U2591 : aoi22 port map( a => mult_125_G3_ab_2_1_port, b => n4133, c => n1202
, d => n2783, outb => n4132);
U2592 : oai22 port map( a => n4132, b => n4134, c => n1205, d => n2784, outb
=> n1208);
U2593 : inv port map( inb => mult_125_G3_ab_4_1_port, outb => n4135);
U2594 : oai22 port map( a => n4136, b => n4135, c => n1207, d => n2809, outb
=> n1210);
U2595 : aoi22 port map( a => n1210, b => mult_125_G3_ab_5_1_port, c => n4138
, d => n2786, outb => n4137);
U2596 : oai22 port map( a => n4137, b => n4139, c => n1211, d => n2812, outb
=> n1214);
U2597 : aoi22 port map( a => n1214, b => mult_125_G3_ab_7_1_port, c => n4141
, d => n2789, outb => n4140);
U2598 : oai22 port map( a => n4140, b => n4142, c => n1215, d => n2815, outb
=> n1218);
U2599 : aoi22 port map( a => n1218, b => mult_125_G3_ab_9_1_port, c => n4144
, d => n2792, outb => n4143);
U2600 : oai22 port map( a => n4143, b => n4145, c => n1219, d => n2818, outb
=> n1222);
U2601 : aoi22 port map( a => n1222, b => mult_125_G3_ab_11_1_port, c =>
n4147, d => n2795, outb => n4146);
U2602 : oai22 port map( a => n4146, b => n4148, c => n1223, d => n2821, outb
=> n1226);
U2603 : aoi22 port map( a => n1226, b => mult_125_G3_ab_13_1_port, c =>
n4150, d => n2798, outb => n4149);
U2604 : inv port map( inb => mult_125_G3_ab_14_1_port, outb => n4151);
U2605 : oai22 port map( a => n4149, b => n4151, c => n1227, d => n2824, outb
=> n1230);
U2606 : nand2 port map( a => mult_125_G3_ab_0_1_port, b =>
mult_125_G3_ab_1_0_port, outb => n4152);
U2607 : aoi22 port map( a => mult_125_G3_ab_2_0_port, b => n1232, c => n4154
, d => n2804, outb => n4153);
U2608 : aoi22 port map( a => n1234, b => mult_125_G3_ab_3_0_port, c => n4156
, d => n2805, outb => n4155);
U2609 : inv port map( inb => mult_125_G3_ab_4_0_port, outb => n4157);
U2610 : oai22 port map( a => n4155, b => n4157, c => n1235, d => n4158, outb
=> n1238);
U2611 : aoi22 port map( a => n1238, b => mult_125_G3_ab_5_0_port, c => n4160
, d => n2807, outb => n4159);
U2612 : inv port map( inb => mult_125_G3_ab_6_0_port, outb => n4161);
U2613 : oai22 port map( a => n4159, b => n4161, c => n1239, d => n4162, outb
=> n1242);
U2614 : aoi22 port map( a => n1242, b => mult_125_G3_ab_7_0_port, c => n4164
, d => n2810, outb => n4163);
U2615 : inv port map( inb => mult_125_G3_ab_8_0_port, outb => n4165);
U2616 : oai22 port map( a => n4163, b => n4165, c => n1243, d => n4166, outb
=> n1246);
U2617 : aoi22 port map( a => n1246, b => mult_125_G3_ab_9_0_port, c => n4168
, d => n2813, outb => n4167);
U2618 : inv port map( inb => mult_125_G3_ab_10_0_port, outb => n4169);
U2619 : oai22 port map( a => n4167, b => n4169, c => n1247, d => n4170, outb
=> n1250);
U2620 : aoi22 port map( a => n1250, b => mult_125_G3_ab_11_0_port, c =>
n4172, d => n2816, outb => n4171);
U2621 : inv port map( inb => mult_125_G3_ab_12_0_port, outb => n4173);
U2622 : oai22 port map( a => n4171, b => n4173, c => n1251, d => n4174, outb
=> n1254);
U2623 : aoi22 port map( a => n1254, b => mult_125_G3_ab_13_0_port, c =>
n4176, d => n2819, outb => n4175);
U2624 : inv port map( inb => mult_125_G3_ab_14_0_port, outb => n4177);
U2625 : oai22 port map( a => n4175, b => n4177, c => n1255, d => n4178, outb
=> n1258);
U2626 : inv port map( inb => mult_125_G3_ZB, outb => n380);
U2627 : inv port map( inb => mult_125_G3_ZA, outb => n381);
U2628 : nand2 port map( a => mult_125_G2_ab_0_15_port, b =>
mult_125_G2_ab_1_14_port, outb => n4179);
U2629 : inv port map( inb => mult_125_G2_ab_3_15_port, outb => n4180);
U2630 : inv port map( inb => mult_125_G2_ab_4_14_port, outb => n4181);
U2631 : inv port map( inb => mult_125_G2_ab_5_15_port, outb => n4182);
U2632 : inv port map( inb => mult_125_G2_ab_6_14_port, outb => n4183);
U2633 : inv port map( inb => mult_125_G2_ab_7_15_port, outb => n4184);
U2634 : inv port map( inb => mult_125_G2_ab_8_14_port, outb => n4185);
U2635 : inv port map( inb => mult_125_G2_ab_9_15_port, outb => n4186);
U2636 : inv port map( inb => mult_125_G2_ab_10_14_port, outb => n4187);
U2637 : inv port map( inb => mult_125_G2_ab_11_15_port, outb => n4188);
U2638 : inv port map( inb => mult_125_G2_ab_12_14_port, outb => n4189);
U2639 : nand2 port map( a => mult_125_G2_ab_0_14_port, b =>
mult_125_G2_ab_1_13_port, outb => n1276);
U2640 : aoi22 port map( a => mult_125_G2_ab_2_13_port, b => n4190, c =>
n1274, d => n2834, outb => n1279);
U2641 : oai22 port map( a => n1279, b => n1278, c => n4191, d => n2835, outb
=> n1281);
U2642 : aoi22 port map( a => n1281, b => mult_125_G2_ab_4_13_port, c =>
n4193, d => n2842, outb => n4192);
U2643 : oai22 port map( a => n4192, b => n4194, c => n1282, d => n2845, outb
=> n1285);
U2644 : aoi22 port map( a => n1285, b => mult_125_G2_ab_6_13_port, c =>
n4196, d => n2848, outb => n4195);
U2645 : inv port map( inb => mult_125_G2_ab_7_13_port, outb => n4197);
U2646 : oai22 port map( a => n4195, b => n4197, c => n1286, d => n2851, outb
=> n1289);
U2647 : aoi22 port map( a => n1289, b => mult_125_G2_ab_8_13_port, c =>
n4199, d => n2854, outb => n4198);
U2648 : inv port map( inb => mult_125_G2_ab_9_13_port, outb => n4200);
U2649 : oai22 port map( a => n4198, b => n4200, c => n1290, d => n2857, outb
=> n1293);
U2650 : aoi22 port map( a => n1293, b => mult_125_G2_ab_10_13_port, c =>
n4202, d => n2860, outb => n4201);
U2651 : inv port map( inb => mult_125_G2_ab_11_13_port, outb => n4203);
U2652 : oai22 port map( a => n4201, b => n4203, c => n1294, d => n2863, outb
=> n1297);
U2653 : aoi22 port map( a => n1297, b => mult_125_G2_ab_12_13_port, c =>
n4205, d => n2866, outb => n4204);
U2654 : inv port map( inb => mult_125_G2_ab_13_13_port, outb => n4206);
U2655 : oai22 port map( a => n4204, b => n4206, c => n1298, d => n2869, outb
=> n1301);
U2656 : aoi22 port map( a => n1301, b => mult_125_G2_ab_14_13_port, c =>
n4208, d => n2872, outb => n4207);
U2657 : nand2 port map( a => mult_125_G2_ab_0_13_port, b =>
mult_125_G2_ab_1_12_port, outb => n1306);
U2658 : aoi22 port map( a => mult_125_G2_ab_2_12_port, b => n4210, c =>
n1304, d => n2837, outb => n4209);
U2659 : oai22 port map( a => n4209, b => n4211, c => n1307, d => n2838, outb
=> n1310);
U2660 : aoi22 port map( a => n1310, b => mult_125_G2_ab_4_12_port, c =>
n4213, d => n2880, outb => n4212);
U2661 : aoi22 port map( a => n1312, b => mult_125_G2_ab_5_12_port, c =>
n4215, d => n2840, outb => n4214);
U2662 : inv port map( inb => mult_125_G2_ab_6_12_port, outb => n4216);
U2663 : oai22 port map( a => n4214, b => n4216, c => n1313, d => n2843, outb
=> n1316);
U2664 : aoi22 port map( a => n1316, b => mult_125_G2_ab_7_12_port, c =>
n4218, d => n2846, outb => n4217);
U2665 : inv port map( inb => mult_125_G2_ab_8_12_port, outb => n4219);
U2666 : oai22 port map( a => n4217, b => n4219, c => n1317, d => n2849, outb
=> n1320);
U2667 : aoi22 port map( a => n1320, b => mult_125_G2_ab_9_12_port, c =>
n4221, d => n2852, outb => n4220);
U2668 : inv port map( inb => mult_125_G2_ab_10_12_port, outb => n4222);
U2669 : oai22 port map( a => n4220, b => n4222, c => n1321, d => n2855, outb
=> n1324);
U2670 : aoi22 port map( a => n1324, b => mult_125_G2_ab_11_12_port, c =>
n4224, d => n2858, outb => n4223);
U2671 : inv port map( inb => mult_125_G2_ab_12_12_port, outb => n4225);
U2672 : oai22 port map( a => n4223, b => n4225, c => n1325, d => n2861, outb
=> n1328);
U2673 : aoi22 port map( a => n1328, b => mult_125_G2_ab_13_12_port, c =>
n4227, d => n2864, outb => n4226);
U2674 : inv port map( inb => mult_125_G2_ab_14_12_port, outb => n4228);
U2675 : oai22 port map( a => n4226, b => n4228, c => n1329, d => n2867, outb
=> n1332);
U2676 : nand2 port map( a => mult_125_G2_ab_0_12_port, b =>
mult_125_G2_ab_1_11_port, outb => n1335);
U2677 : aoi22 port map( a => mult_125_G2_ab_2_11_port, b => n4229, c =>
n1333, d => n2875, outb => n1338);
U2678 : oai22 port map( a => n1338, b => n1337, c => n4230, d => n2876, outb
=> n1340);
U2679 : oai22 port map( a => n4231, b => n4232, c => n1339, d => n2886, outb
=> n1342);
U2680 : aoi22 port map( a => n1342, b => mult_125_G2_ab_5_11_port, c =>
n4234, d => n2878, outb => n4233);
U2681 : oai22 port map( a => n4233, b => n4235, c => n1343, d => n2889, outb
=> n1346);
U2682 : oai22 port map( a => n4236, b => n4237, c => n1345, d => n2892, outb
=> n1348);
U2683 : aoi22 port map( a => n1348, b => mult_125_G2_ab_8_11_port, c =>
n4239, d => n2895, outb => n4238);
U2684 : inv port map( inb => mult_125_G2_ab_9_11_port, outb => n4240);
U2685 : oai22 port map( a => n4238, b => n4240, c => n1349, d => n2898, outb
=> n1352);
U2686 : aoi22 port map( a => n1352, b => mult_125_G2_ab_10_11_port, c =>
n4242, d => n2901, outb => n4241);
U2687 : inv port map( inb => mult_125_G2_ab_11_11_port, outb => n4243);
U2688 : oai22 port map( a => n4241, b => n4243, c => n1353, d => n2904, outb
=> n1356);
U2689 : aoi22 port map( a => n1356, b => mult_125_G2_ab_12_11_port, c =>
n4245, d => n2907, outb => n4244);
U2690 : inv port map( inb => mult_125_G2_ab_13_11_port, outb => n4246);
U2691 : oai22 port map( a => n4244, b => n4246, c => n1357, d => n2910, outb
=> n1360);
U2692 : aoi22 port map( a => n1360, b => mult_125_G2_ab_14_11_port, c =>
n4247, d => n2913, outb => n1363);
U2693 : nand2 port map( a => mult_125_G2_ab_0_11_port, b =>
mult_125_G2_ab_1_10_port, outb => n1366);
U2694 : aoi22 port map( a => mult_125_G2_ab_2_10_port, b => n4249, c =>
n1364, d => n2881, outb => n4248);
U2695 : oai22 port map( a => n4248, b => n4250, c => n1367, d => n2882, outb
=> n1370);
U2696 : aoi22 port map( a => n1370, b => mult_125_G2_ab_4_10_port, c =>
n4251, d => n2921, outb => n1373);
U2697 : oai22 port map( a => n1373, b => n1372, c => n4252, d => n2884, outb
=> n1375);
U2698 : aoi22 port map( a => n1375, b => mult_125_G2_ab_6_10_port, c =>
n4254, d => n2924, outb => n4253);
U2699 : oai22 port map( a => n4253, b => n4255, c => n1376, d => n2887, outb
=> n1379);
U2700 : inv port map( inb => mult_125_G2_ab_8_10_port, outb => n4256);
U2701 : oai22 port map( a => n4257, b => n4256, c => n1378, d => n2890, outb
=> n1381);
U2702 : aoi22 port map( a => n1381, b => mult_125_G2_ab_9_10_port, c =>
n4259, d => n2893, outb => n4258);
U2703 : inv port map( inb => mult_125_G2_ab_10_10_port, outb => n4260);
U2704 : oai22 port map( a => n4258, b => n4260, c => n1382, d => n2896, outb
=> n1385);
U2705 : aoi22 port map( a => n1385, b => mult_125_G2_ab_11_10_port, c =>
n4262, d => n2899, outb => n4261);
U2706 : inv port map( inb => mult_125_G2_ab_12_10_port, outb => n4263);
U2707 : oai22 port map( a => n4261, b => n4263, c => n1386, d => n2902, outb
=> n1389);
U2708 : aoi22 port map( a => n1389, b => mult_125_G2_ab_13_10_port, c =>
n4265, d => n2905, outb => n4264);
U2709 : inv port map( inb => mult_125_G2_ab_14_10_port, outb => n4266);
U2710 : oai22 port map( a => n4264, b => n4266, c => n1390, d => n2908, outb
=> n1393);
U2711 : nand2 port map( a => mult_125_G2_ab_0_10_port, b =>
mult_125_G2_ab_1_9_port, outb => n1396);
U2712 : aoi22 port map( a => mult_125_G2_ab_2_9_port, b => n4268, c => n1394
, d => n2916, outb => n4267);
U2713 : oai22 port map( a => n4267, b => n4269, c => n1397, d => n2917, outb
=> n1400);
U2714 : oai22 port map( a => n4270, b => n4271, c => n1399, d => n2930, outb
=> n1402);
U2715 : aoi22 port map( a => n1402, b => mult_125_G2_ab_5_9_port, c => n4273
, d => n2919, outb => n4272);
U2716 : oai22 port map( a => n4272, b => n4274, c => n1403, d => n2933, outb
=> n1406);
U2717 : aoi22 port map( a => n1406, b => mult_125_G2_ab_7_9_port, c => n4276
, d => n2922, outb => n4275);
U2718 : oai22 port map( a => n4275, b => n4277, c => n1407, d => n2936, outb
=> n1410);
U2719 : oai22 port map( a => n4278, b => n4279, c => n1409, d => n2939, outb
=> n1412);
U2720 : aoi22 port map( a => n1412, b => mult_125_G2_ab_10_9_port, c =>
n4281, d => n2942, outb => n4280);
U2721 : inv port map( inb => mult_125_G2_ab_11_9_port, outb => n4282);
U2722 : oai22 port map( a => n4280, b => n4282, c => n1413, d => n2945, outb
=> n1416);
U2723 : aoi22 port map( a => n1416, b => mult_125_G2_ab_12_9_port, c =>
n4284, d => n2948, outb => n4283);
U2724 : inv port map( inb => mult_125_G2_ab_13_9_port, outb => n4285);
U2725 : oai22 port map( a => n4283, b => n4285, c => n1417, d => n2951, outb
=> n1420);
U2726 : aoi22 port map( a => n1420, b => mult_125_G2_ab_14_9_port, c =>
n4286, d => n2954, outb => n1423);
U2727 : nand2 port map( a => mult_125_G2_ab_0_9_port, b =>
mult_125_G2_ab_1_8_port, outb => n1426);
U2728 : aoi22 port map( a => mult_125_G2_ab_2_8_port, b => n4288, c => n1424
, d => n2925, outb => n4287);
U2729 : oai22 port map( a => n4287, b => n4289, c => n1427, d => n2926, outb
=> n1430);
U2730 : oai22 port map( a => n4290, b => n4291, c => n1429, d => n2962, outb
=> n1432);
U2731 : oai22 port map( a => n4292, b => n4293, c => n1431, d => n2928, outb
=> n1434);
U2732 : aoi22 port map( a => n1434, b => mult_125_G2_ab_6_8_port, c => n4295
, d => n2965, outb => n4294);
U2733 : oai22 port map( a => n4294, b => n4296, c => n1435, d => n2931, outb
=> n1438);
U2734 : aoi22 port map( a => n1438, b => mult_125_G2_ab_8_8_port, c => n4298
, d => n2968, outb => n4297);
U2735 : oai22 port map( a => n4297, b => n4299, c => n1439, d => n2934, outb
=> n1442);
U2736 : inv port map( inb => mult_125_G2_ab_10_8_port, outb => n4300);
U2737 : oai22 port map( a => n4301, b => n4300, c => n1441, d => n2937, outb
=> n1444);
U2738 : aoi22 port map( a => n1444, b => mult_125_G2_ab_11_8_port, c =>
n4303, d => n2940, outb => n4302);
U2739 : inv port map( inb => mult_125_G2_ab_12_8_port, outb => n4304);
U2740 : oai22 port map( a => n4302, b => n4304, c => n1445, d => n2943, outb
=> n1448);
U2741 : aoi22 port map( a => n1448, b => mult_125_G2_ab_13_8_port, c =>
n4306, d => n2946, outb => n4305);
U2742 : inv port map( inb => mult_125_G2_ab_14_8_port, outb => n4307);
U2743 : oai22 port map( a => n4305, b => n4307, c => n1449, d => n2949, outb
=> n1452);
U2744 : nand2 port map( a => mult_125_G2_ab_0_8_port, b =>
mult_125_G2_ab_1_7_port, outb => n1455);
U2745 : aoi22 port map( a => mult_125_G2_ab_2_7_port, b => n4308, c => n1453
, d => n2957, outb => n1458);
U2746 : oai22 port map( a => n1458, b => n1457, c => n4309, d => n2958, outb
=> n1460);
U2747 : oai22 port map( a => n4310, b => n4311, c => n1459, d => n2974, outb
=> n1462);
U2748 : aoi22 port map( a => n1462, b => mult_125_G2_ab_5_7_port, c => n4313
, d => n2960, outb => n4312);
U2749 : oai22 port map( a => n4312, b => n4314, c => n1463, d => n2977, outb
=> n1466);
U2750 : aoi22 port map( a => n1466, b => mult_125_G2_ab_7_7_port, c => n4316
, d => n2963, outb => n4315);
U2751 : oai22 port map( a => n4315, b => n4317, c => n1467, d => n2980, outb
=> n1470);
U2752 : aoi22 port map( a => n1470, b => mult_125_G2_ab_9_7_port, c => n4319
, d => n2966, outb => n4318);
U2753 : oai22 port map( a => n4318, b => n4320, c => n1471, d => n2983, outb
=> n1474);
U2754 : oai22 port map( a => n4321, b => n4322, c => n1473, d => n2986, outb
=> n1476);
U2755 : aoi22 port map( a => n1476, b => mult_125_G2_ab_12_7_port, c =>
n4324, d => n2989, outb => n4323);
U2756 : inv port map( inb => mult_125_G2_ab_13_7_port, outb => n4325);
U2757 : oai22 port map( a => n4323, b => n4325, c => n1477, d => n2992, outb
=> n1480);
U2758 : aoi22 port map( a => n1480, b => mult_125_G2_ab_14_7_port, c =>
n4326, d => n2995, outb => n1483);
U2759 : nand2 port map( a => mult_125_G2_ab_0_7_port, b =>
mult_125_G2_ab_1_6_port, outb => n1486);
U2760 : aoi22 port map( a => mult_125_G2_ab_2_6_port, b => n4328, c => n1484
, d => n2969, outb => n4327);
U2761 : oai22 port map( a => n4327, b => n4329, c => n1487, d => n2970, outb
=> n1490);
U2762 : aoi22 port map( a => n1490, b => mult_125_G2_ab_4_6_port, c => n4330
, d => n3003, outb => n1493);
U2763 : oai22 port map( a => n1493, b => n1492, c => n4331, d => n2972, outb
=> n1495);
U2764 : aoi22 port map( a => n1495, b => mult_125_G2_ab_6_6_port, c => n4333
, d => n3006, outb => n4332);
U2765 : oai22 port map( a => n4332, b => n4334, c => n1496, d => n2975, outb
=> n1499);
U2766 : aoi22 port map( a => n1499, b => mult_125_G2_ab_8_6_port, c => n4335
, d => n3009, outb => n1502);
U2767 : oai22 port map( a => n1502, b => n1501, c => n4336, d => n2978, outb
=> n1504);
U2768 : aoi22 port map( a => n1504, b => mult_125_G2_ab_10_6_port, c =>
n4338, d => n3012, outb => n4337);
U2769 : oai22 port map( a => n4337, b => n4339, c => n1505, d => n2981, outb
=> n1508);
U2770 : inv port map( inb => mult_125_G2_ab_12_6_port, outb => n4340);
U2771 : oai22 port map( a => n4341, b => n4340, c => n1507, d => n2984, outb
=> n1510);
U2772 : aoi22 port map( a => n1510, b => mult_125_G2_ab_13_6_port, c =>
n4343, d => n2987, outb => n4342);
U2773 : inv port map( inb => mult_125_G2_ab_14_6_port, outb => n4344);
U2774 : oai22 port map( a => n4342, b => n4344, c => n1511, d => n2990, outb
=> n1514);
U2775 : nand2 port map( a => mult_125_G2_ab_0_6_port, b =>
mult_125_G2_ab_1_5_port, outb => n1517);
U2776 : aoi22 port map( a => mult_125_G2_ab_2_5_port, b => n4345, c => n1515
, d => n2998, outb => n1520);
U2777 : oai22 port map( a => n1520, b => n1519, c => n4346, d => n2999, outb
=> n1522);
U2778 : oai22 port map( a => n4347, b => n4348, c => n1521, d => n3018, outb
=> n1524);
U2779 : aoi22 port map( a => n1524, b => mult_125_G2_ab_5_5_port, c => n4349
, d => n3001, outb => n1527);
U2780 : oai22 port map( a => n1527, b => n1526, c => n4350, d => n3021, outb
=> n1529);
U2781 : aoi22 port map( a => n1529, b => mult_125_G2_ab_7_5_port, c => n4352
, d => n3004, outb => n4351);
U2782 : oai22 port map( a => n4351, b => n4353, c => n1530, d => n3024, outb
=> n1533);
U2783 : aoi22 port map( a => n1533, b => mult_125_G2_ab_9_5_port, c => n4355
, d => n3007, outb => n4354);
U2784 : oai22 port map( a => n4354, b => n4356, c => n1534, d => n3027, outb
=> n1537);
U2785 : aoi22 port map( a => n1537, b => mult_125_G2_ab_11_5_port, c =>
n4358, d => n3010, outb => n4357);
U2786 : oai22 port map( a => n4357, b => n4359, c => n1538, d => n3030, outb
=> n1541);
U2787 : oai22 port map( a => n4360, b => n4361, c => n1540, d => n3033, outb
=> n1543);
U2788 : aoi22 port map( a => n1543, b => mult_125_G2_ab_14_5_port, c =>
n4362, d => n3036, outb => n1546);
U2789 : nand2 port map( a => mult_125_G2_ab_0_5_port, b =>
mult_125_G2_ab_1_4_port, outb => n1549);
U2790 : aoi22 port map( a => mult_125_G2_ab_2_4_port, b => n4364, c => n1547
, d => n3013, outb => n4363);
U2791 : oai22 port map( a => n4363, b => n4365, c => n1550, d => n3014, outb
=> n1553);
U2792 : aoi22 port map( a => n1553, b => mult_125_G2_ab_4_4_port, c => n4366
, d => n3044, outb => n1556);
U2793 : oai22 port map( a => n1556, b => n1555, c => n4367, d => n3016, outb
=> n1558);
U2794 : aoi22 port map( a => n1558, b => mult_125_G2_ab_6_4_port, c => n4368
, d => n3047, outb => n1561);
U2795 : oai22 port map( a => n1561, b => n1560, c => n4369, d => n3019, outb
=> n1563);
U2796 : aoi22 port map( a => n1563, b => mult_125_G2_ab_8_4_port, c => n4371
, d => n3050, outb => n4370);
U2797 : oai22 port map( a => n4370, b => n4372, c => n1564, d => n3022, outb
=> n1567);
U2798 : aoi22 port map( a => n1567, b => mult_125_G2_ab_10_4_port, c =>
n4373, d => n3053, outb => n1570);
U2799 : oai22 port map( a => n1570, b => n1569, c => n4374, d => n3025, outb
=> n1572);
U2800 : aoi22 port map( a => n1572, b => mult_125_G2_ab_12_4_port, c =>
n4376, d => n3056, outb => n4375);
U2801 : oai22 port map( a => n4375, b => n4377, c => n1573, d => n3028, outb
=> n1576);
U2802 : aoi22 port map( a => n1576, b => mult_125_G2_ab_14_4_port, c =>
n4378, d => n3031, outb => n1579);
U2803 : nand2 port map( a => mult_125_G2_ab_0_4_port, b =>
mult_125_G2_ab_1_3_port, outb => n1582);
U2804 : aoi22 port map( a => mult_125_G2_ab_2_3_port, b => n4379, c => n1580
, d => n3039, outb => n1585);
U2805 : oai22 port map( a => n1585, b => n1584, c => n4380, d => n3040, outb
=> n1587);
U2806 : oai22 port map( a => n4381, b => n4382, c => n1586, d => n3062, outb
=> n1589);
U2807 : aoi22 port map( a => n1589, b => mult_125_G2_ab_5_3_port, c => n4384
, d => n3042, outb => n4383);
U2808 : oai22 port map( a => n4383, b => n4385, c => n1590, d => n3065, outb
=> n1593);
U2809 : aoi22 port map( a => n1593, b => mult_125_G2_ab_7_3_port, c => n4387
, d => n3045, outb => n4386);
U2810 : oai22 port map( a => n4386, b => n4388, c => n1594, d => n3068, outb
=> n1597);
U2811 : aoi22 port map( a => n1597, b => mult_125_G2_ab_9_3_port, c => n4390
, d => n3048, outb => n4389);
U2812 : oai22 port map( a => n4389, b => n4391, c => n1598, d => n3071, outb
=> n1601);
U2813 : aoi22 port map( a => n1601, b => mult_125_G2_ab_11_3_port, c =>
n4393, d => n3051, outb => n4392);
U2814 : oai22 port map( a => n4392, b => n4394, c => n1602, d => n3074, outb
=> n1605);
U2815 : aoi22 port map( a => n1605, b => mult_125_G2_ab_13_3_port, c =>
n4396, d => n3054, outb => n4395);
U2816 : inv port map( inb => mult_125_G2_ab_14_3_port, outb => n4397);
U2817 : oai22 port map( a => n4395, b => n4397, c => n1606, d => n3077, outb
=> n1609);
U2818 : nand2 port map( a => mult_125_G2_ab_0_3_port, b =>
mult_125_G2_ab_1_2_port, outb => n1612);
U2819 : aoi22 port map( a => mult_125_G2_ab_2_2_port, b => n4399, c => n1610
, d => n3057, outb => n4398);
U2820 : oai22 port map( a => n4398, b => n4400, c => n1613, d => n3058, outb
=> n1616);
U2821 : aoi22 port map( a => n1616, b => mult_125_G2_ab_4_2_port, c => n4402
, d => n3085, outb => n4401);
U2822 : oai22 port map( a => n4401, b => n4403, c => n1617, d => n3060, outb
=> n1620);
U2823 : aoi22 port map( a => n1620, b => mult_125_G2_ab_6_2_port, c => n4404
, d => n3088, outb => n1623);
U2824 : oai22 port map( a => n1623, b => n1622, c => n4405, d => n3063, outb
=> n1625);
U2825 : aoi22 port map( a => n1625, b => mult_125_G2_ab_8_2_port, c => n4407
, d => n3091, outb => n4406);
U2826 : oai22 port map( a => n4406, b => n4408, c => n1626, d => n3066, outb
=> n1629);
U2827 : aoi22 port map( a => n1629, b => mult_125_G2_ab_10_2_port, c =>
n4410, d => n3094, outb => n4409);
U2828 : oai22 port map( a => n4409, b => n4411, c => n1630, d => n3069, outb
=> n1633);
U2829 : aoi22 port map( a => n1633, b => mult_125_G2_ab_12_2_port, c =>
n4413, d => n3097, outb => n4412);
U2830 : oai22 port map( a => n4412, b => n4414, c => n1634, d => n3072, outb
=> n1637);
U2831 : aoi22 port map( a => n1637, b => mult_125_G2_ab_14_2_port, c =>
n4416, d => n3100, outb => n4415);
U2832 : nand2 port map( a => mult_125_G2_ab_0_2_port, b =>
mult_125_G2_ab_1_1_port, outb => n1642);
U2833 : aoi22 port map( a => mult_125_G2_ab_2_1_port, b => n4418, c => n1640
, d => n3080, outb => n4417);
U2834 : oai22 port map( a => n4417, b => n4419, c => n1643, d => n3081, outb
=> n1646);
U2835 : inv port map( inb => mult_125_G2_ab_4_1_port, outb => n4420);
U2836 : oai22 port map( a => n4421, b => n4420, c => n1645, d => n3106, outb
=> n1648);
U2837 : aoi22 port map( a => n1648, b => mult_125_G2_ab_5_1_port, c => n4423
, d => n3083, outb => n4422);
U2838 : oai22 port map( a => n4422, b => n4424, c => n1649, d => n3109, outb
=> n1652);
U2839 : aoi22 port map( a => n1652, b => mult_125_G2_ab_7_1_port, c => n4426
, d => n3086, outb => n4425);
U2840 : oai22 port map( a => n4425, b => n4427, c => n1653, d => n3112, outb
=> n1656);
U2841 : aoi22 port map( a => n1656, b => mult_125_G2_ab_9_1_port, c => n4429
, d => n3089, outb => n4428);
U2842 : oai22 port map( a => n4428, b => n4430, c => n1657, d => n3115, outb
=> n1660);
U2843 : aoi22 port map( a => n1660, b => mult_125_G2_ab_11_1_port, c =>
n4432, d => n3092, outb => n4431);
U2844 : oai22 port map( a => n4431, b => n4433, c => n1661, d => n3118, outb
=> n1664);
U2845 : aoi22 port map( a => n1664, b => mult_125_G2_ab_13_1_port, c =>
n4435, d => n3095, outb => n4434);
U2846 : inv port map( inb => mult_125_G2_ab_14_1_port, outb => n4436);
U2847 : oai22 port map( a => n4434, b => n4436, c => n1665, d => n3121, outb
=> n1668);
U2848 : nand2 port map( a => mult_125_G2_ab_0_1_port, b =>
mult_125_G2_ab_1_0_port, outb => n4437);
U2849 : aoi22 port map( a => mult_125_G2_ab_2_0_port, b => n1670, c => n4439
, d => n3101, outb => n4438);
U2850 : aoi22 port map( a => n1672, b => mult_125_G2_ab_3_0_port, c => n4441
, d => n3102, outb => n4440);
U2851 : inv port map( inb => mult_125_G2_ab_4_0_port, outb => n4442);
U2852 : oai22 port map( a => n4440, b => n4442, c => n1673, d => n4443, outb
=> n1676);
U2853 : aoi22 port map( a => n1676, b => mult_125_G2_ab_5_0_port, c => n4445
, d => n3104, outb => n4444);
U2854 : inv port map( inb => mult_125_G2_ab_6_0_port, outb => n4446);
U2855 : oai22 port map( a => n4444, b => n4446, c => n1677, d => n4447, outb
=> n1680);
U2856 : aoi22 port map( a => n1680, b => mult_125_G2_ab_7_0_port, c => n4449
, d => n3107, outb => n4448);
U2857 : inv port map( inb => mult_125_G2_ab_8_0_port, outb => n4450);
U2858 : oai22 port map( a => n4448, b => n4450, c => n1681, d => n4451, outb
=> n1684);
U2859 : aoi22 port map( a => n1684, b => mult_125_G2_ab_9_0_port, c => n4453
, d => n3110, outb => n4452);
U2860 : inv port map( inb => mult_125_G2_ab_10_0_port, outb => n4454);
U2861 : oai22 port map( a => n4452, b => n4454, c => n1685, d => n4455, outb
=> n1688);
U2862 : aoi22 port map( a => n1688, b => mult_125_G2_ab_11_0_port, c =>
n4457, d => n3113, outb => n4456);
U2863 : inv port map( inb => mult_125_G2_ab_12_0_port, outb => n4458);
U2864 : oai22 port map( a => n4456, b => n4458, c => n1689, d => n4459, outb
=> n1692);
U2865 : aoi22 port map( a => n1692, b => mult_125_G2_ab_13_0_port, c =>
n4461, d => n3116, outb => n4460);
U2866 : inv port map( inb => mult_125_G2_ab_14_0_port, outb => n4462);
U2867 : oai22 port map( a => n4460, b => n4462, c => n1693, d => n4463, outb
=> n1696);
U2868 : inv port map( inb => mult_125_G2_ZB, outb => n344);
U2869 : inv port map( inb => mult_125_G2_ZA, outb => n345);
U2870 : nand2 port map( a => mult_125_ab_0_15_port, b =>
mult_125_ab_1_14_port, outb => n4464);
U2871 : inv port map( inb => mult_125_ab_3_15_port, outb => n4465);
U2872 : inv port map( inb => mult_125_ab_4_14_port, outb => n4466);
U2873 : inv port map( inb => mult_125_ab_5_15_port, outb => n4467);
U2874 : inv port map( inb => mult_125_ab_6_14_port, outb => n4468);
U2875 : inv port map( inb => mult_125_ab_7_15_port, outb => n4469);
U2876 : inv port map( inb => mult_125_ab_8_14_port, outb => n4470);
U2877 : inv port map( inb => mult_125_ab_9_15_port, outb => n4471);
U2878 : inv port map( inb => mult_125_ab_10_14_port, outb => n4472);
U2879 : inv port map( inb => mult_125_ab_11_15_port, outb => n4473);
U2880 : inv port map( inb => mult_125_ab_12_14_port, outb => n4474);
U2881 : nand2 port map( a => mult_125_ab_0_14_port, b =>
mult_125_ab_1_13_port, outb => n1714);
U2882 : aoi22 port map( a => mult_125_ab_2_13_port, b => n4475, c => n1712,
d => n3131, outb => n1717);
U2883 : oai22 port map( a => n1717, b => n1716, c => n4476, d => n3132, outb
=> n1719);
U2884 : aoi22 port map( a => n1719, b => mult_125_ab_4_13_port, c => n4478,
d => n3139, outb => n4477);
U2885 : oai22 port map( a => n4477, b => n4479, c => n1720, d => n3142, outb
=> n1723);
U2886 : aoi22 port map( a => n1723, b => mult_125_ab_6_13_port, c => n4481,
d => n3145, outb => n4480);
U2887 : inv port map( inb => mult_125_ab_7_13_port, outb => n4482);
U2888 : oai22 port map( a => n4480, b => n4482, c => n1724, d => n3148, outb
=> n1727);
U2889 : aoi22 port map( a => n1727, b => mult_125_ab_8_13_port, c => n4484,
d => n3151, outb => n4483);
U2890 : inv port map( inb => mult_125_ab_9_13_port, outb => n4485);
U2891 : oai22 port map( a => n4483, b => n4485, c => n1728, d => n3154, outb
=> n1731);
U2892 : aoi22 port map( a => n1731, b => mult_125_ab_10_13_port, c => n4487,
d => n3157, outb => n4486);
U2893 : inv port map( inb => mult_125_ab_11_13_port, outb => n4488);
U2894 : oai22 port map( a => n4486, b => n4488, c => n1732, d => n3160, outb
=> n1735);
U2895 : aoi22 port map( a => n1735, b => mult_125_ab_12_13_port, c => n4490,
d => n3163, outb => n4489);
U2896 : inv port map( inb => mult_125_ab_13_13_port, outb => n4491);
U2897 : oai22 port map( a => n4489, b => n4491, c => n1736, d => n3166, outb
=> n1739);
U2898 : aoi22 port map( a => n1739, b => mult_125_ab_14_13_port, c => n4493,
d => n3169, outb => n4492);
U2899 : nand2 port map( a => mult_125_ab_0_13_port, b =>
mult_125_ab_1_12_port, outb => n1744);
U2900 : aoi22 port map( a => mult_125_ab_2_12_port, b => n4495, c => n1742,
d => n3134, outb => n4494);
U2901 : oai22 port map( a => n4494, b => n4496, c => n1745, d => n3135, outb
=> n1748);
U2902 : aoi22 port map( a => n1748, b => mult_125_ab_4_12_port, c => n4498,
d => n3177, outb => n4497);
U2903 : aoi22 port map( a => n1750, b => mult_125_ab_5_12_port, c => n4500,
d => n3137, outb => n4499);
U2904 : inv port map( inb => mult_125_ab_6_12_port, outb => n4501);
U2905 : oai22 port map( a => n4499, b => n4501, c => n1751, d => n3140, outb
=> n1754);
U2906 : aoi22 port map( a => n1754, b => mult_125_ab_7_12_port, c => n4503,
d => n3143, outb => n4502);
U2907 : inv port map( inb => mult_125_ab_8_12_port, outb => n4504);
U2908 : oai22 port map( a => n4502, b => n4504, c => n1755, d => n3146, outb
=> n1758);
U2909 : aoi22 port map( a => n1758, b => mult_125_ab_9_12_port, c => n4506,
d => n3149, outb => n4505);
U2910 : inv port map( inb => mult_125_ab_10_12_port, outb => n4507);
U2911 : oai22 port map( a => n4505, b => n4507, c => n1759, d => n3152, outb
=> n1762);
U2912 : aoi22 port map( a => n1762, b => mult_125_ab_11_12_port, c => n4509,
d => n3155, outb => n4508);
U2913 : inv port map( inb => mult_125_ab_12_12_port, outb => n4510);
U2914 : oai22 port map( a => n4508, b => n4510, c => n1763, d => n3158, outb
=> n1766);
U2915 : aoi22 port map( a => n1766, b => mult_125_ab_13_12_port, c => n4512,
d => n3161, outb => n4511);
U2916 : inv port map( inb => mult_125_ab_14_12_port, outb => n4513);
U2917 : oai22 port map( a => n4511, b => n4513, c => n1767, d => n3164, outb
=> n1770);
U2918 : nand2 port map( a => mult_125_ab_0_12_port, b =>
mult_125_ab_1_11_port, outb => n1773);
U2919 : aoi22 port map( a => mult_125_ab_2_11_port, b => n4514, c => n1771,
d => n3172, outb => n1776);
U2920 : oai22 port map( a => n1776, b => n1775, c => n4515, d => n3173, outb
=> n1778);
U2921 : oai22 port map( a => n4516, b => n4517, c => n1777, d => n3183, outb
=> n1780);
U2922 : aoi22 port map( a => n1780, b => mult_125_ab_5_11_port, c => n4519,
d => n3175, outb => n4518);
U2923 : oai22 port map( a => n4518, b => n4520, c => n1781, d => n3186, outb
=> n1784);
U2924 : oai22 port map( a => n4521, b => n4522, c => n1783, d => n3189, outb
=> n1786);
U2925 : aoi22 port map( a => n1786, b => mult_125_ab_8_11_port, c => n4524,
d => n3192, outb => n4523);
U2926 : inv port map( inb => mult_125_ab_9_11_port, outb => n4525);
U2927 : oai22 port map( a => n4523, b => n4525, c => n1787, d => n3195, outb
=> n1790);
U2928 : aoi22 port map( a => n1790, b => mult_125_ab_10_11_port, c => n4527,
d => n3198, outb => n4526);
U2929 : inv port map( inb => mult_125_ab_11_11_port, outb => n4528);
U2930 : oai22 port map( a => n4526, b => n4528, c => n1791, d => n3201, outb
=> n1794);
U2931 : aoi22 port map( a => n1794, b => mult_125_ab_12_11_port, c => n4530,
d => n3204, outb => n4529);
U2932 : inv port map( inb => mult_125_ab_13_11_port, outb => n4531);
U2933 : oai22 port map( a => n4529, b => n4531, c => n1795, d => n3207, outb
=> n1798);
U2934 : aoi22 port map( a => n1798, b => mult_125_ab_14_11_port, c => n4532,
d => n3210, outb => n1801);
U2935 : nand2 port map( a => mult_125_ab_0_11_port, b =>
mult_125_ab_1_10_port, outb => n1804);
U2936 : aoi22 port map( a => mult_125_ab_2_10_port, b => n4534, c => n1802,
d => n3178, outb => n4533);
U2937 : oai22 port map( a => n4533, b => n4535, c => n1805, d => n3179, outb
=> n1808);
U2938 : aoi22 port map( a => n1808, b => mult_125_ab_4_10_port, c => n4536,
d => n3218, outb => n1811);
U2939 : oai22 port map( a => n1811, b => n1810, c => n4537, d => n3181, outb
=> n1813);
U2940 : aoi22 port map( a => n1813, b => mult_125_ab_6_10_port, c => n4539,
d => n3221, outb => n4538);
U2941 : oai22 port map( a => n4538, b => n4540, c => n1814, d => n3184, outb
=> n1817);
U2942 : inv port map( inb => mult_125_ab_8_10_port, outb => n4541);
U2943 : oai22 port map( a => n4542, b => n4541, c => n1816, d => n3187, outb
=> n1819);
U2944 : aoi22 port map( a => n1819, b => mult_125_ab_9_10_port, c => n4544,
d => n3190, outb => n4543);
U2945 : inv port map( inb => mult_125_ab_10_10_port, outb => n4545);
U2946 : oai22 port map( a => n4543, b => n4545, c => n1820, d => n3193, outb
=> n1823);
U2947 : aoi22 port map( a => n1823, b => mult_125_ab_11_10_port, c => n4547,
d => n3196, outb => n4546);
U2948 : inv port map( inb => mult_125_ab_12_10_port, outb => n4548);
U2949 : oai22 port map( a => n4546, b => n4548, c => n1824, d => n3199, outb
=> n1827);
U2950 : aoi22 port map( a => n1827, b => mult_125_ab_13_10_port, c => n4550,
d => n3202, outb => n4549);
U2951 : inv port map( inb => mult_125_ab_14_10_port, outb => n4551);
U2952 : oai22 port map( a => n4549, b => n4551, c => n1828, d => n3205, outb
=> n1831);
U2953 : nand2 port map( a => mult_125_ab_0_10_port, b =>
mult_125_ab_1_9_port, outb => n1834);
U2954 : aoi22 port map( a => mult_125_ab_2_9_port, b => n4553, c => n1832, d
=> n3213, outb => n4552);
U2955 : oai22 port map( a => n4552, b => n4554, c => n1835, d => n3214, outb
=> n1838);
U2956 : oai22 port map( a => n4555, b => n4556, c => n1837, d => n3227, outb
=> n1840);
U2957 : aoi22 port map( a => n1840, b => mult_125_ab_5_9_port, c => n4558, d
=> n3216, outb => n4557);
U2958 : oai22 port map( a => n4557, b => n4559, c => n1841, d => n3230, outb
=> n1844);
U2959 : aoi22 port map( a => n1844, b => mult_125_ab_7_9_port, c => n4561, d
=> n3219, outb => n4560);
U2960 : oai22 port map( a => n4560, b => n4562, c => n1845, d => n3233, outb
=> n1848);
U2961 : oai22 port map( a => n4563, b => n4564, c => n1847, d => n3236, outb
=> n1850);
U2962 : aoi22 port map( a => n1850, b => mult_125_ab_10_9_port, c => n4566,
d => n3239, outb => n4565);
U2963 : inv port map( inb => mult_125_ab_11_9_port, outb => n4567);
U2964 : oai22 port map( a => n4565, b => n4567, c => n1851, d => n3242, outb
=> n1854);
U2965 : aoi22 port map( a => n1854, b => mult_125_ab_12_9_port, c => n4569,
d => n3245, outb => n4568);
U2966 : inv port map( inb => mult_125_ab_13_9_port, outb => n4570);
U2967 : oai22 port map( a => n4568, b => n4570, c => n1855, d => n3248, outb
=> n1858);
U2968 : aoi22 port map( a => n1858, b => mult_125_ab_14_9_port, c => n4571,
d => n3251, outb => n1861);
U2969 : nand2 port map( a => mult_125_ab_0_9_port, b => mult_125_ab_1_8_port
, outb => n1864);
U2970 : aoi22 port map( a => mult_125_ab_2_8_port, b => n4573, c => n1862, d
=> n3222, outb => n4572);
U2971 : oai22 port map( a => n4572, b => n4574, c => n1865, d => n3223, outb
=> n1868);
U2972 : oai22 port map( a => n4575, b => n4576, c => n1867, d => n3259, outb
=> n1870);
U2973 : oai22 port map( a => n4577, b => n4578, c => n1869, d => n3225, outb
=> n1872);
U2974 : aoi22 port map( a => n1872, b => mult_125_ab_6_8_port, c => n4580, d
=> n3262, outb => n4579);
U2975 : oai22 port map( a => n4579, b => n4581, c => n1873, d => n3228, outb
=> n1876);
U2976 : aoi22 port map( a => n1876, b => mult_125_ab_8_8_port, c => n4583, d
=> n3265, outb => n4582);
U2977 : oai22 port map( a => n4582, b => n4584, c => n1877, d => n3231, outb
=> n1880);
U2978 : inv port map( inb => mult_125_ab_10_8_port, outb => n4585);
U2979 : oai22 port map( a => n4586, b => n4585, c => n1879, d => n3234, outb
=> n1882);
U2980 : aoi22 port map( a => n1882, b => mult_125_ab_11_8_port, c => n4588,
d => n3237, outb => n4587);
U2981 : inv port map( inb => mult_125_ab_12_8_port, outb => n4589);
U2982 : oai22 port map( a => n4587, b => n4589, c => n1883, d => n3240, outb
=> n1886);
U2983 : aoi22 port map( a => n1886, b => mult_125_ab_13_8_port, c => n4591,
d => n3243, outb => n4590);
U2984 : inv port map( inb => mult_125_ab_14_8_port, outb => n4592);
U2985 : oai22 port map( a => n4590, b => n4592, c => n1887, d => n3246, outb
=> n1890);
U2986 : nand2 port map( a => mult_125_ab_0_8_port, b => mult_125_ab_1_7_port
, outb => n1893);
U2987 : aoi22 port map( a => mult_125_ab_2_7_port, b => n4593, c => n1891, d
=> n3254, outb => n1896);
U2988 : oai22 port map( a => n1896, b => n1895, c => n4594, d => n3255, outb
=> n1898);
U2989 : oai22 port map( a => n4595, b => n4596, c => n1897, d => n3271, outb
=> n1900);
U2990 : aoi22 port map( a => n1900, b => mult_125_ab_5_7_port, c => n4598, d
=> n3257, outb => n4597);
U2991 : oai22 port map( a => n4597, b => n4599, c => n1901, d => n3274, outb
=> n1904);
U2992 : aoi22 port map( a => n1904, b => mult_125_ab_7_7_port, c => n4601, d
=> n3260, outb => n4600);
U2993 : oai22 port map( a => n4600, b => n4602, c => n1905, d => n3277, outb
=> n1908);
U2994 : aoi22 port map( a => n1908, b => mult_125_ab_9_7_port, c => n4604, d
=> n3263, outb => n4603);
U2995 : oai22 port map( a => n4603, b => n4605, c => n1909, d => n3280, outb
=> n1912);
U2996 : oai22 port map( a => n4606, b => n4607, c => n1911, d => n3283, outb
=> n1914);
U2997 : aoi22 port map( a => n1914, b => mult_125_ab_12_7_port, c => n4609,
d => n3286, outb => n4608);
U2998 : inv port map( inb => mult_125_ab_13_7_port, outb => n4610);
U2999 : oai22 port map( a => n4608, b => n4610, c => n1915, d => n3289, outb
=> n1918);
U3000 : aoi22 port map( a => n1918, b => mult_125_ab_14_7_port, c => n4611,
d => n3292, outb => n1921);
U3001 : nand2 port map( a => mult_125_ab_0_7_port, b => mult_125_ab_1_6_port
, outb => n1924);
U3002 : aoi22 port map( a => mult_125_ab_2_6_port, b => n4613, c => n1922, d
=> n3266, outb => n4612);
U3003 : oai22 port map( a => n4612, b => n4614, c => n1925, d => n3267, outb
=> n1928);
U3004 : aoi22 port map( a => n1928, b => mult_125_ab_4_6_port, c => n4615, d
=> n3300, outb => n1931);
U3005 : oai22 port map( a => n1931, b => n1930, c => n4616, d => n3269, outb
=> n1933);
U3006 : aoi22 port map( a => n1933, b => mult_125_ab_6_6_port, c => n4618, d
=> n3303, outb => n4617);
U3007 : oai22 port map( a => n4617, b => n4619, c => n1934, d => n3272, outb
=> n1937);
U3008 : aoi22 port map( a => n1937, b => mult_125_ab_8_6_port, c => n4620, d
=> n3306, outb => n1940);
U3009 : oai22 port map( a => n1940, b => n1939, c => n4621, d => n3275, outb
=> n1942);
U3010 : aoi22 port map( a => n1942, b => mult_125_ab_10_6_port, c => n4623,
d => n3309, outb => n4622);
U3011 : oai22 port map( a => n4622, b => n4624, c => n1943, d => n3278, outb
=> n1946);
U3012 : inv port map( inb => mult_125_ab_12_6_port, outb => n4625);
U3013 : oai22 port map( a => n4626, b => n4625, c => n1945, d => n3281, outb
=> n1948);
U3014 : aoi22 port map( a => n1948, b => mult_125_ab_13_6_port, c => n4628,
d => n3284, outb => n4627);
U3015 : inv port map( inb => mult_125_ab_14_6_port, outb => n4629);
U3016 : oai22 port map( a => n4627, b => n4629, c => n1949, d => n3287, outb
=> n1952);
U3017 : nand2 port map( a => mult_125_ab_0_6_port, b => mult_125_ab_1_5_port
, outb => n1955);
U3018 : aoi22 port map( a => mult_125_ab_2_5_port, b => n4630, c => n1953, d
=> n3295, outb => n1958);
U3019 : oai22 port map( a => n1958, b => n1957, c => n4631, d => n3296, outb
=> n1960);
U3020 : oai22 port map( a => n4632, b => n4633, c => n1959, d => n3315, outb
=> n1962);
U3021 : aoi22 port map( a => n1962, b => mult_125_ab_5_5_port, c => n4634, d
=> n3298, outb => n1965);
U3022 : oai22 port map( a => n1965, b => n1964, c => n4635, d => n3318, outb
=> n1967);
U3023 : aoi22 port map( a => n1967, b => mult_125_ab_7_5_port, c => n4637, d
=> n3301, outb => n4636);
U3024 : oai22 port map( a => n4636, b => n4638, c => n1968, d => n3321, outb
=> n1971);
U3025 : aoi22 port map( a => n1971, b => mult_125_ab_9_5_port, c => n4640, d
=> n3304, outb => n4639);
U3026 : oai22 port map( a => n4639, b => n4641, c => n1972, d => n3324, outb
=> n1975);
U3027 : aoi22 port map( a => n1975, b => mult_125_ab_11_5_port, c => n4643,
d => n3307, outb => n4642);
U3028 : oai22 port map( a => n4642, b => n4644, c => n1976, d => n3327, outb
=> n1979);
U3029 : oai22 port map( a => n4645, b => n4646, c => n1978, d => n3330, outb
=> n1981);
U3030 : aoi22 port map( a => n1981, b => mult_125_ab_14_5_port, c => n4647,
d => n3333, outb => n1984);
U3031 : nand2 port map( a => mult_125_ab_0_5_port, b => mult_125_ab_1_4_port
, outb => n1987);
U3032 : aoi22 port map( a => mult_125_ab_2_4_port, b => n4649, c => n1985, d
=> n3310, outb => n4648);
U3033 : oai22 port map( a => n4648, b => n4650, c => n1988, d => n3311, outb
=> n1991);
U3034 : aoi22 port map( a => n1991, b => mult_125_ab_4_4_port, c => n4651, d
=> n3341, outb => n1994);
U3035 : oai22 port map( a => n1994, b => n1993, c => n4652, d => n3313, outb
=> n1996);
U3036 : aoi22 port map( a => n1996, b => mult_125_ab_6_4_port, c => n4653, d
=> n3344, outb => n1999);
U3037 : oai22 port map( a => n1999, b => n1998, c => n4654, d => n3316, outb
=> n2001);
U3038 : aoi22 port map( a => n2001, b => mult_125_ab_8_4_port, c => n4656, d
=> n3347, outb => n4655);
U3039 : oai22 port map( a => n4655, b => n4657, c => n2002, d => n3319, outb
=> n2005);
U3040 : aoi22 port map( a => n2005, b => mult_125_ab_10_4_port, c => n4658,
d => n3350, outb => n2008);
U3041 : oai22 port map( a => n2008, b => n2007, c => n4659, d => n3322, outb
=> n2010);
U3042 : aoi22 port map( a => n2010, b => mult_125_ab_12_4_port, c => n4661,
d => n3353, outb => n4660);
U3043 : oai22 port map( a => n4660, b => n4662, c => n2011, d => n3325, outb
=> n2014);
U3044 : aoi22 port map( a => n2014, b => mult_125_ab_14_4_port, c => n4663,
d => n3328, outb => n2017);
U3045 : nand2 port map( a => mult_125_ab_0_4_port, b => mult_125_ab_1_3_port
, outb => n2020);
U3046 : aoi22 port map( a => mult_125_ab_2_3_port, b => n4664, c => n2018, d
=> n3336, outb => n2023);
U3047 : oai22 port map( a => n2023, b => n2022, c => n4665, d => n3337, outb
=> n2025);
U3048 : oai22 port map( a => n4666, b => n4667, c => n2024, d => n3359, outb
=> n2027);
U3049 : aoi22 port map( a => n2027, b => mult_125_ab_5_3_port, c => n4669, d
=> n3339, outb => n4668);
U3050 : oai22 port map( a => n4668, b => n4670, c => n2028, d => n3362, outb
=> n2031);
U3051 : aoi22 port map( a => n2031, b => mult_125_ab_7_3_port, c => n4672, d
=> n3342, outb => n4671);
U3052 : oai22 port map( a => n4671, b => n4673, c => n2032, d => n3365, outb
=> n2035);
U3053 : aoi22 port map( a => n2035, b => mult_125_ab_9_3_port, c => n4675, d
=> n3345, outb => n4674);
U3054 : oai22 port map( a => n4674, b => n4676, c => n2036, d => n3368, outb
=> n2039);
U3055 : aoi22 port map( a => n2039, b => mult_125_ab_11_3_port, c => n4678,
d => n3348, outb => n4677);
U3056 : oai22 port map( a => n4677, b => n4679, c => n2040, d => n3371, outb
=> n2043);
U3057 : aoi22 port map( a => n2043, b => mult_125_ab_13_3_port, c => n4681,
d => n3351, outb => n4680);
U3058 : inv port map( inb => mult_125_ab_14_3_port, outb => n4682);
U3059 : oai22 port map( a => n4680, b => n4682, c => n2044, d => n3374, outb
=> n2047);
U3060 : nand2 port map( a => mult_125_ab_0_3_port, b => mult_125_ab_1_2_port
, outb => n2050);
U3061 : aoi22 port map( a => mult_125_ab_2_2_port, b => n4684, c => n2048, d
=> n3354, outb => n4683);
U3062 : oai22 port map( a => n4683, b => n4685, c => n2051, d => n3355, outb
=> n2054);
U3063 : aoi22 port map( a => n2054, b => mult_125_ab_4_2_port, c => n4687, d
=> n3382, outb => n4686);
U3064 : oai22 port map( a => n4686, b => n4688, c => n2055, d => n3357, outb
=> n2058);
U3065 : aoi22 port map( a => n2058, b => mult_125_ab_6_2_port, c => n4689, d
=> n3385, outb => n2061);
U3066 : oai22 port map( a => n2061, b => n2060, c => n4690, d => n3360, outb
=> n2063);
U3067 : aoi22 port map( a => n2063, b => mult_125_ab_8_2_port, c => n4692, d
=> n3388, outb => n4691);
U3068 : oai22 port map( a => n4691, b => n4693, c => n2064, d => n3363, outb
=> n2067);
U3069 : aoi22 port map( a => n2067, b => mult_125_ab_10_2_port, c => n4695,
d => n3391, outb => n4694);
U3070 : oai22 port map( a => n4694, b => n4696, c => n2068, d => n3366, outb
=> n2071);
U3071 : aoi22 port map( a => n2071, b => mult_125_ab_12_2_port, c => n4698,
d => n3394, outb => n4697);
U3072 : oai22 port map( a => n4697, b => n4699, c => n2072, d => n3369, outb
=> n2075);
U3073 : aoi22 port map( a => n2075, b => mult_125_ab_14_2_port, c => n4701,
d => n3397, outb => n4700);
U3074 : nand2 port map( a => mult_125_ab_0_2_port, b => mult_125_ab_1_1_port
, outb => n2080);
U3075 : aoi22 port map( a => mult_125_ab_2_1_port, b => n4703, c => n2078, d
=> n3377, outb => n4702);
U3076 : oai22 port map( a => n4702, b => n4704, c => n2081, d => n3378, outb
=> n2084);
U3077 : inv port map( inb => mult_125_ab_4_1_port, outb => n4705);
U3078 : oai22 port map( a => n4706, b => n4705, c => n2083, d => n3403, outb
=> n2086);
U3079 : aoi22 port map( a => n2086, b => mult_125_ab_5_1_port, c => n4708, d
=> n3380, outb => n4707);
U3080 : oai22 port map( a => n4707, b => n4709, c => n2087, d => n3406, outb
=> n2090);
U3081 : aoi22 port map( a => n2090, b => mult_125_ab_7_1_port, c => n4711, d
=> n3383, outb => n4710);
U3082 : oai22 port map( a => n4710, b => n4712, c => n2091, d => n3409, outb
=> n2094);
U3083 : aoi22 port map( a => n2094, b => mult_125_ab_9_1_port, c => n4714, d
=> n3386, outb => n4713);
U3084 : oai22 port map( a => n4713, b => n4715, c => n2095, d => n3412, outb
=> n2098);
U3085 : aoi22 port map( a => n2098, b => mult_125_ab_11_1_port, c => n4717,
d => n3389, outb => n4716);
U3086 : oai22 port map( a => n4716, b => n4718, c => n2099, d => n3415, outb
=> n2102);
U3087 : aoi22 port map( a => n2102, b => mult_125_ab_13_1_port, c => n4720,
d => n3392, outb => n4719);
U3088 : inv port map( inb => mult_125_ab_14_1_port, outb => n4721);
U3089 : oai22 port map( a => n4719, b => n4721, c => n2103, d => n3418, outb
=> n2106);
U3090 : nand2 port map( a => mult_125_ab_0_1_port, b => mult_125_ab_1_0_port
, outb => n4722);
U3091 : aoi22 port map( a => mult_125_ab_2_0_port, b => n2108, c => n4724, d
=> n3398, outb => n4723);
U3092 : aoi22 port map( a => n2110, b => mult_125_ab_3_0_port, c => n4726, d
=> n3399, outb => n4725);
U3093 : inv port map( inb => mult_125_ab_4_0_port, outb => n4727);
U3094 : oai22 port map( a => n4725, b => n4727, c => n2111, d => n4728, outb
=> n2114);
U3095 : aoi22 port map( a => n2114, b => mult_125_ab_5_0_port, c => n4730, d
=> n3401, outb => n4729);
U3096 : inv port map( inb => mult_125_ab_6_0_port, outb => n4731);
U3097 : oai22 port map( a => n4729, b => n4731, c => n2115, d => n4732, outb
=> n2118);
U3098 : aoi22 port map( a => n2118, b => mult_125_ab_7_0_port, c => n4734, d
=> n3404, outb => n4733);
U3099 : inv port map( inb => mult_125_ab_8_0_port, outb => n4735);
U3100 : oai22 port map( a => n4733, b => n4735, c => n2119, d => n4736, outb
=> n2122);
U3101 : aoi22 port map( a => n2122, b => mult_125_ab_9_0_port, c => n4738, d
=> n3407, outb => n4737);
U3102 : inv port map( inb => mult_125_ab_10_0_port, outb => n4739);
U3103 : oai22 port map( a => n4737, b => n4739, c => n2123, d => n4740, outb
=> n2126);
U3104 : aoi22 port map( a => n2126, b => mult_125_ab_11_0_port, c => n4742,
d => n3410, outb => n4741);
U3105 : inv port map( inb => mult_125_ab_12_0_port, outb => n4743);
U3106 : oai22 port map( a => n4741, b => n4743, c => n2127, d => n4744, outb
=> n2130);
U3107 : aoi22 port map( a => n2130, b => mult_125_ab_13_0_port, c => n4746,
d => n3413, outb => n4745);
U3108 : inv port map( inb => mult_125_ab_14_0_port, outb => n4747);
U3109 : oai22 port map( a => n4745, b => n4747, c => n2131, d => n4748, outb
=> n2134);
U3110 : inv port map( inb => mult_125_ZB, outb => n308);
U3111 : inv port map( inb => mult_125_ZA, outb => n309);
U3112 : nand2 port map( a => adder_mem_array_3_0_port, b =>
multiplier_sigs_2_0_port, outb => n4749);
U3113 : inv port map( inb => multiplier_sigs_2_2_port, outb => n4750);
U3114 : inv port map( inb => adder_mem_array_3_2_port, outb => n4751);
U3115 : inv port map( inb => multiplier_sigs_2_4_port, outb => n4752);
U3116 : inv port map( inb => adder_mem_array_3_4_port, outb => n4753);
U3117 : inv port map( inb => multiplier_sigs_2_6_port, outb => n4754);
U3118 : inv port map( inb => adder_mem_array_3_6_port, outb => n4755);
U3119 : inv port map( inb => multiplier_sigs_2_8_port, outb => n4756);
U3120 : inv port map( inb => adder_mem_array_3_8_port, outb => n4757);
U3121 : inv port map( inb => multiplier_sigs_2_10_port, outb => n4758);
U3122 : inv port map( inb => adder_mem_array_3_10_port, outb => n4759);
U3123 : inv port map( inb => multiplier_sigs_2_12_port, outb => n4760);
U3124 : inv port map( inb => adder_mem_array_3_12_port, outb => n4761);
U3125 : inv port map( inb => multiplier_sigs_2_14_port, outb => n4762);
U3126 : inv port map( inb => adder_mem_array_3_14_port, outb => n4763);
U3127 : inv port map( inb => multiplier_sigs_2_16_port, outb => n4764);
U3128 : inv port map( inb => adder_mem_array_3_16_port, outb => n4765);
U3129 : inv port map( inb => multiplier_sigs_2_18_port, outb => n4766);
U3130 : inv port map( inb => adder_mem_array_3_18_port, outb => n4767);
U3131 : inv port map( inb => multiplier_sigs_2_20_port, outb => n4768);
U3132 : inv port map( inb => adder_mem_array_3_20_port, outb => n4769);
U3133 : inv port map( inb => multiplier_sigs_2_22_port, outb => n4770);
U3134 : inv port map( inb => adder_mem_array_3_22_port, outb => n4771);
U3135 : inv port map( inb => multiplier_sigs_2_24_port, outb => n4772);
U3136 : inv port map( inb => adder_mem_array_3_24_port, outb => n4773);
U3137 : inv port map( inb => multiplier_sigs_2_26_port, outb => n4774);
U3138 : inv port map( inb => adder_mem_array_3_26_port, outb => n4775);
U3139 : nand2 port map( a => adder_mem_array_1_0_port, b =>
multiplier_sigs_0_0_port, outb => n4776);
U3140 : inv port map( inb => multiplier_sigs_0_2_port, outb => n4777);
U3141 : inv port map( inb => adder_mem_array_1_2_port, outb => n4778);
U3142 : nand2 port map( a => adder_mem_array_2_0_port, b =>
multiplier_sigs_1_0_port, outb => n4779);
U3143 : inv port map( inb => multiplier_sigs_1_2_port, outb => n4780);
U3144 : inv port map( inb => adder_mem_array_2_2_port, outb => n4781);
U3145 : inv port map( inb => multiplier_sigs_1_4_port, outb => n4782);
U3146 : inv port map( inb => adder_mem_array_2_4_port, outb => n4783);
U3147 : inv port map( inb => multiplier_sigs_1_6_port, outb => n4784);
U3148 : inv port map( inb => adder_mem_array_2_6_port, outb => n4785);
U3149 : inv port map( inb => multiplier_sigs_1_8_port, outb => n4786);
U3150 : inv port map( inb => adder_mem_array_2_8_port, outb => n4787);
U3151 : inv port map( inb => multiplier_sigs_1_10_port, outb => n4788);
U3152 : inv port map( inb => adder_mem_array_2_10_port, outb => n4789);
U3153 : inv port map( inb => multiplier_sigs_1_12_port, outb => n4790);
U3154 : inv port map( inb => adder_mem_array_2_12_port, outb => n4791);
U3155 : inv port map( inb => multiplier_sigs_1_14_port, outb => n4792);
U3156 : inv port map( inb => adder_mem_array_2_14_port, outb => n4793);
U3157 : inv port map( inb => multiplier_sigs_1_16_port, outb => n4794);
U3158 : inv port map( inb => adder_mem_array_2_16_port, outb => n4795);
U3159 : inv port map( inb => multiplier_sigs_1_18_port, outb => n4796);
U3160 : inv port map( inb => adder_mem_array_2_18_port, outb => n4797);
U3161 : inv port map( inb => multiplier_sigs_1_20_port, outb => n4798);
U3162 : inv port map( inb => adder_mem_array_2_20_port, outb => n4799);
U3163 : inv port map( inb => multiplier_sigs_1_22_port, outb => n4800);
U3164 : inv port map( inb => adder_mem_array_2_22_port, outb => n4801);
U3165 : inv port map( inb => multiplier_sigs_1_24_port, outb => n4802);
U3166 : inv port map( inb => adder_mem_array_2_24_port, outb => n4803);
U3167 : inv port map( inb => multiplier_sigs_1_26_port, outb => n4804);
U3168 : inv port map( inb => adder_mem_array_2_26_port, outb => n4805);
U3169 : inv port map( inb => multiplier_sigs_1_28_port, outb => n4806);
U3170 : inv port map( inb => adder_mem_array_2_28_port, outb => n4807);
U3171 : inv port map( inb => multiplier_sigs_1_30_port, outb => n4808);
U3172 : inv port map( inb => adder_mem_array_2_30_port, outb => n4809);
U3173 : inv port map( inb => multiplier_sigs_0_4_port, outb => n4810);
U3174 : inv port map( inb => adder_mem_array_1_4_port, outb => n4811);
U3175 : inv port map( inb => multiplier_sigs_0_6_port, outb => n4812);
U3176 : inv port map( inb => adder_mem_array_1_6_port, outb => n4813);
U3177 : inv port map( inb => multiplier_sigs_0_8_port, outb => n4814);
U3178 : inv port map( inb => adder_mem_array_1_8_port, outb => n4815);
U3179 : inv port map( inb => multiplier_sigs_0_10_port, outb => n4816);
U3180 : inv port map( inb => adder_mem_array_1_10_port, outb => n4817);
U3181 : inv port map( inb => multiplier_sigs_0_12_port, outb => n4818);
U3182 : inv port map( inb => adder_mem_array_1_12_port, outb => n4819);
U3183 : inv port map( inb => multiplier_sigs_0_14_port, outb => n4820);
U3184 : inv port map( inb => adder_mem_array_1_14_port, outb => n4821);
U3185 : inv port map( inb => multiplier_sigs_0_16_port, outb => n4822);
U3186 : inv port map( inb => adder_mem_array_1_16_port, outb => n4823);
U3187 : inv port map( inb => multiplier_sigs_0_18_port, outb => n4824);
U3188 : inv port map( inb => adder_mem_array_1_18_port, outb => n4825);
U3189 : inv port map( inb => multiplier_sigs_0_20_port, outb => n4826);
U3190 : inv port map( inb => adder_mem_array_1_20_port, outb => n4827);
U3191 : inv port map( inb => multiplier_sigs_0_22_port, outb => n4828);
U3192 : inv port map( inb => adder_mem_array_1_22_port, outb => n4829);
U3193 : inv port map( inb => multiplier_sigs_0_24_port, outb => n4830);
U3194 : inv port map( inb => adder_mem_array_1_24_port, outb => n4831);
U3195 : inv port map( inb => multiplier_sigs_0_26_port, outb => n4832);
U3196 : inv port map( inb => adder_mem_array_1_26_port, outb => n4833);
U3197 : inv port map( inb => multiplier_sigs_0_28_port, outb => n4834);
U3198 : inv port map( inb => adder_mem_array_1_28_port, outb => n4835);
U3199 : inv port map( inb => multiplier_sigs_0_30_port, outb => n4836);
U3200 : inv port map( inb => adder_mem_array_1_30_port, outb => n4837);
U3201 : inv port map( inb => multiplier_sigs_2_28_port, outb => n4838);
U3202 : inv port map( inb => adder_mem_array_3_28_port, outb => n4839);
U3203 : inv port map( inb => multiplier_sigs_2_30_port, outb => n4840);
U3204 : inv port map( inb => adder_mem_array_3_30_port, outb => n4841);
U3205 : xor2 port map( a => mult_125_G4_ab_0_1_port, b =>
mult_125_G4_ab_1_0_port, outb =>
multiplier_sigs_3_1_port);
U3206 : xor2 port map( a => n3885, b => n4842, outb => mult_125_G4_A1_8_port
);
U3207 : xor2 port map( a => n3881, b => n4843, outb => mult_125_G4_A1_6_port
);
U3208 : xor2 port map( a => n3877, b => n4844, outb => mult_125_G4_A1_4_port
);
U3209 : xor2 port map( a => n3873, b => n4845, outb => mult_125_G4_A1_2_port
);
U3210 : xor2 port map( a => n243, b => n244, outb => mult_125_G4_A1_27_port)
;
U3211 : xor2 port map( a => n247, b => n248, outb => mult_125_G4_A1_25_port)
;
U3212 : xor2 port map( a => n251, b => n252, outb => mult_125_G4_A1_23_port)
;
U3213 : xor2 port map( a => n255, b => n256, outb => mult_125_G4_A1_21_port)
;
U3214 : xor2 port map( a => n259, b => n260, outb => mult_125_G4_A1_19_port)
;
U3215 : xor2 port map( a => n263, b => n264, outb => mult_125_G4_A1_17_port)
;
U3216 : xor2 port map( a => n268, b => n269, outb => mult_125_G4_A1_15_port)
;
U3217 : xor2 port map( a => n270, b => n271, outb => mult_125_G4_A1_14_port)
;
U3218 : xor2 port map( a => n3893, b => n4846, outb =>
mult_125_G4_A1_12_port);
U3219 : xor2 port map( a => n3889, b => n4847, outb =>
mult_125_G4_A1_10_port);
U3220 : xor2 port map( a => n2507, b => n4848, outb => mult_125_G4_A1_0_port
);
U3221 : xor2 port map( a => n4170, b => n4849, outb => mult_125_G3_A1_8_port
);
U3222 : xor2 port map( a => n4166, b => n4850, outb => mult_125_G3_A1_6_port
);
U3223 : xor2 port map( a => n4162, b => n4851, outb => mult_125_G3_A1_4_port
);
U3224 : xor2 port map( a => n4158, b => n4852, outb => mult_125_G3_A1_2_port
);
U3225 : xor2 port map( a => n351, b => n352, outb => mult_125_G3_A1_27_port)
;
U3226 : xor2 port map( a => n355, b => n356, outb => mult_125_G3_A1_25_port)
;
U3227 : xor2 port map( a => n359, b => n360, outb => mult_125_G3_A1_23_port)
;
U3228 : xor2 port map( a => n363, b => n364, outb => mult_125_G3_A1_21_port)
;
U3229 : xor2 port map( a => n367, b => n368, outb => mult_125_G3_A1_19_port)
;
U3230 : xor2 port map( a => n371, b => n372, outb => mult_125_G3_A1_17_port)
;
U3231 : xor2 port map( a => n376, b => n377, outb => mult_125_G3_A1_15_port)
;
U3232 : xor2 port map( a => n378, b => n379, outb => mult_125_G3_A1_14_port)
;
U3233 : xor2 port map( a => n4178, b => n4853, outb =>
mult_125_G3_A1_12_port);
U3234 : xor2 port map( a => n4174, b => n4854, outb =>
mult_125_G3_A1_10_port);
U3235 : xor2 port map( a => n2804, b => n4855, outb => mult_125_G3_A1_0_port
);
U3236 : xor2 port map( a => n4455, b => n4856, outb => mult_125_G2_A1_8_port
);
U3237 : xor2 port map( a => n4451, b => n4857, outb => mult_125_G2_A1_6_port
);
U3238 : xor2 port map( a => n4447, b => n4858, outb => mult_125_G2_A1_4_port
);
U3239 : xor2 port map( a => n4443, b => n4859, outb => mult_125_G2_A1_2_port
);
U3240 : xor2 port map( a => n315, b => n316, outb => mult_125_G2_A1_27_port)
;
U3241 : xor2 port map( a => n319, b => n320, outb => mult_125_G2_A1_25_port)
;
U3242 : xor2 port map( a => n323, b => n324, outb => mult_125_G2_A1_23_port)
;
U3243 : xor2 port map( a => n327, b => n328, outb => mult_125_G2_A1_21_port)
;
U3244 : xor2 port map( a => n331, b => n332, outb => mult_125_G2_A1_19_port)
;
U3245 : xor2 port map( a => n335, b => n336, outb => mult_125_G2_A1_17_port)
;
U3246 : xor2 port map( a => n340, b => n341, outb => mult_125_G2_A1_15_port)
;
U3247 : xor2 port map( a => n342, b => n343, outb => mult_125_G2_A1_14_port)
;
U3248 : xor2 port map( a => n4463, b => n4860, outb =>
mult_125_G2_A1_12_port);
U3249 : xor2 port map( a => n4459, b => n4861, outb =>
mult_125_G2_A1_10_port);
U3250 : xor2 port map( a => n3101, b => n4862, outb => mult_125_G2_A1_0_port
);
U3251 : xor2 port map( a => n4740, b => n4863, outb => mult_125_A1_8_port);
U3252 : xor2 port map( a => n4736, b => n4864, outb => mult_125_A1_6_port);
U3253 : xor2 port map( a => n4732, b => n4865, outb => mult_125_A1_4_port);
U3254 : xor2 port map( a => n4728, b => n4866, outb => mult_125_A1_2_port);
U3255 : xor2 port map( a => n279, b => n280, outb => mult_125_A1_27_port);
U3256 : xor2 port map( a => n283, b => n284, outb => mult_125_A1_25_port);
U3257 : xor2 port map( a => n287, b => n288, outb => mult_125_A1_23_port);
U3258 : xor2 port map( a => n291, b => n292, outb => mult_125_A1_21_port);
U3259 : xor2 port map( a => n295, b => n296, outb => mult_125_A1_19_port);
U3260 : xor2 port map( a => n299, b => n300, outb => mult_125_A1_17_port);
U3261 : xor2 port map( a => n304, b => n305, outb => mult_125_A1_15_port);
U3262 : xor2 port map( a => n306, b => n307, outb => mult_125_A1_14_port);
U3263 : xor2 port map( a => n4748, b => n4867, outb => mult_125_A1_12_port);
U3264 : xor2 port map( a => n4744, b => n4868, outb => mult_125_A1_10_port);
U3265 : xor2 port map( a => n3398, b => n4869, outb => mult_125_A1_0_port);
U3266 : xor2 port map( a => n3426, b => n4870, outb => N73);
U3267 : xor2 port map( a => adder_mem_array_3_0_port, b =>
multiplier_sigs_2_0_port, outb => N72);
U3268 : xor2 port map( a => n3447, b => n4871, outb => N7);
U3269 : xor2 port map( a => adder_mem_array_1_0_port, b =>
multiplier_sigs_0_0_port, outb => N6);
U3270 : xor2 port map( a => n3484, b => n4872, outb => N40);
U3271 : xor2 port map( a => adder_mem_array_2_0_port, b =>
multiplier_sigs_1_0_port, outb => N39);
U3272 : xor2 port map( a => mult_125_G4_QA, b => mult_125_G4_QB, outb =>
n2239);
U3273 : xor2 port map( a => mult_125_G4_ab_3_14_port, b =>
mult_125_G4_ab_2_15_port, outb => n4873);
U3274 : xor2 port map( a => mult_125_G4_ab_5_14_port, b =>
mult_125_G4_ab_4_15_port, outb => n4874);
U3275 : xor2 port map( a => mult_125_G4_ab_7_14_port, b =>
mult_125_G4_ab_6_15_port, outb => n4875);
U3276 : xor2 port map( a => mult_125_G4_ab_9_14_port, b =>
mult_125_G4_ab_8_15_port, outb => n4876);
U3277 : xor2 port map( a => mult_125_G4_ab_11_14_port, b =>
mult_125_G4_ab_10_15_port, outb => n4877);
U3278 : xor2 port map( a => mult_125_G4_ab_13_14_port, b =>
mult_125_G4_ab_12_15_port, outb => n4878);
U3279 : xor2 port map( a => mult_125_G4_ab_15_14_port, b =>
mult_125_G4_ab_14_15_port, outb => n4879);
U3280 : xor2 port map( a => mult_125_G4_ab_10_0_port, b => n3882, outb =>
n4842);
U3281 : xor2 port map( a => mult_125_G4_ab_8_0_port, b => n3878, outb =>
n4843);
U3282 : xor2 port map( a => mult_125_G4_ab_6_0_port, b => n3874, outb =>
n4844);
U3283 : xor2 port map( a => n3872, b => n798, outb => n4845);
U3284 : xor2 port map( a => mult_125_G4_ab_14_0_port, b => n3890, outb =>
n4846);
U3285 : xor2 port map( a => mult_125_G4_ab_12_0_port, b => n3886, outb =>
n4847);
U3286 : xor2 port map( a => n794, b => mult_125_G4_ab_2_0_port, outb =>
n4848);
U3287 : xor2 port map( a => mult_125_G3_QA, b => mult_125_G3_QB, outb =>
n2536);
U3288 : xor2 port map( a => mult_125_G3_ab_3_14_port, b =>
mult_125_G3_ab_2_15_port, outb => n4880);
U3289 : xor2 port map( a => mult_125_G3_ab_5_14_port, b =>
mult_125_G3_ab_4_15_port, outb => n4881);
U3290 : xor2 port map( a => mult_125_G3_ab_7_14_port, b =>
mult_125_G3_ab_6_15_port, outb => n4882);
U3291 : xor2 port map( a => mult_125_G3_ab_9_14_port, b =>
mult_125_G3_ab_8_15_port, outb => n4883);
U3292 : xor2 port map( a => mult_125_G3_ab_11_14_port, b =>
mult_125_G3_ab_10_15_port, outb => n4884);
U3293 : xor2 port map( a => mult_125_G3_ab_13_14_port, b =>
mult_125_G3_ab_12_15_port, outb => n4885);
U3294 : xor2 port map( a => mult_125_G3_ab_15_14_port, b =>
mult_125_G3_ab_14_15_port, outb => n4886);
U3295 : xor2 port map( a => mult_125_G3_ab_10_0_port, b => n4167, outb =>
n4849);
U3296 : xor2 port map( a => mult_125_G3_ab_8_0_port, b => n4163, outb =>
n4850);
U3297 : xor2 port map( a => mult_125_G3_ab_6_0_port, b => n4159, outb =>
n4851);
U3298 : xor2 port map( a => n4157, b => n1236, outb => n4852);
U3299 : xor2 port map( a => mult_125_G3_ab_14_0_port, b => n4175, outb =>
n4853);
U3300 : xor2 port map( a => mult_125_G3_ab_12_0_port, b => n4171, outb =>
n4854);
U3301 : xor2 port map( a => n1232, b => mult_125_G3_ab_2_0_port, outb =>
n4855);
U3302 : xor2 port map( a => mult_125_G2_QA, b => mult_125_G2_QB, outb =>
n2833);
U3303 : xor2 port map( a => mult_125_G2_ab_3_14_port, b =>
mult_125_G2_ab_2_15_port, outb => n4887);
U3304 : xor2 port map( a => mult_125_G2_ab_5_14_port, b =>
mult_125_G2_ab_4_15_port, outb => n4888);
U3305 : xor2 port map( a => mult_125_G2_ab_7_14_port, b =>
mult_125_G2_ab_6_15_port, outb => n4889);
U3306 : xor2 port map( a => mult_125_G2_ab_9_14_port, b =>
mult_125_G2_ab_8_15_port, outb => n4890);
U3307 : xor2 port map( a => mult_125_G2_ab_11_14_port, b =>
mult_125_G2_ab_10_15_port, outb => n4891);
U3308 : xor2 port map( a => mult_125_G2_ab_13_14_port, b =>
mult_125_G2_ab_12_15_port, outb => n4892);
U3309 : xor2 port map( a => mult_125_G2_ab_15_14_port, b =>
mult_125_G2_ab_14_15_port, outb => n4893);
U3310 : xor2 port map( a => mult_125_G2_ab_10_0_port, b => n4452, outb =>
n4856);
U3311 : xor2 port map( a => mult_125_G2_ab_8_0_port, b => n4448, outb =>
n4857);
U3312 : xor2 port map( a => mult_125_G2_ab_6_0_port, b => n4444, outb =>
n4858);
U3313 : xor2 port map( a => n4442, b => n1674, outb => n4859);
U3314 : xor2 port map( a => mult_125_G2_ab_14_0_port, b => n4460, outb =>
n4860);
U3315 : xor2 port map( a => mult_125_G2_ab_12_0_port, b => n4456, outb =>
n4861);
U3316 : xor2 port map( a => n1670, b => mult_125_G2_ab_2_0_port, outb =>
n4862);
U3317 : xor2 port map( a => mult_125_QA, b => mult_125_QB, outb => n3130);
U3318 : xor2 port map( a => mult_125_ab_3_14_port, b =>
mult_125_ab_2_15_port, outb => n4894);
U3319 : xor2 port map( a => mult_125_ab_5_14_port, b =>
mult_125_ab_4_15_port, outb => n4895);
U3320 : xor2 port map( a => mult_125_ab_7_14_port, b =>
mult_125_ab_6_15_port, outb => n4896);
U3321 : xor2 port map( a => mult_125_ab_9_14_port, b =>
mult_125_ab_8_15_port, outb => n4897);
U3322 : xor2 port map( a => mult_125_ab_11_14_port, b =>
mult_125_ab_10_15_port, outb => n4898);
U3323 : xor2 port map( a => mult_125_ab_13_14_port, b =>
mult_125_ab_12_15_port, outb => n4899);
U3324 : xor2 port map( a => mult_125_ab_15_14_port, b =>
mult_125_ab_14_15_port, outb => n4900);
U3325 : xor2 port map( a => mult_125_ab_10_0_port, b => n4737, outb => n4863
);
U3326 : xor2 port map( a => mult_125_ab_8_0_port, b => n4733, outb => n4864)
;
U3327 : xor2 port map( a => mult_125_ab_6_0_port, b => n4729, outb => n4865)
;
U3328 : xor2 port map( a => n4727, b => n2112, outb => n4866);
U3329 : xor2 port map( a => mult_125_ab_14_0_port, b => n4745, outb => n4867
);
U3330 : xor2 port map( a => mult_125_ab_12_0_port, b => n4741, outb => n4868
);
U3331 : xor2 port map( a => n2108, b => mult_125_ab_2_0_port, outb => n4869)
;
U3332 : xor2 port map( a => adder_mem_array_3_27_port, b =>
multiplier_sigs_2_27_port, outb => n3428);
U3333 : xor2 port map( a => adder_mem_array_3_25_port, b =>
multiplier_sigs_2_25_port, outb => n3432);
U3334 : xor2 port map( a => adder_mem_array_3_23_port, b =>
multiplier_sigs_2_23_port, outb => n3436);
U3335 : xor2 port map( a => adder_mem_array_3_21_port, b =>
multiplier_sigs_2_21_port, outb => n3440);
U3336 : xor2 port map( a => adder_mem_array_3_19_port, b =>
multiplier_sigs_2_19_port, outb => n3444);
U3337 : xor2 port map( a => adder_mem_array_1_3_port, b =>
multiplier_sigs_0_3_port, outb => n3449);
U3338 : xor2 port map( a => adder_mem_array_3_17_port, b =>
multiplier_sigs_2_17_port, outb => n3451);
U3339 : xor2 port map( a => adder_mem_array_3_15_port, b =>
multiplier_sigs_2_15_port, outb => n3455);
U3340 : xor2 port map( a => adder_mem_array_3_13_port, b =>
multiplier_sigs_2_13_port, outb => n3459);
U3341 : xor2 port map( a => adder_mem_array_3_11_port, b =>
multiplier_sigs_2_11_port, outb => n3463);
U3342 : xor2 port map( a => adder_mem_array_3_9_port, b =>
multiplier_sigs_2_9_port, outb => n3467);
U3343 : xor2 port map( a => adder_mem_array_3_7_port, b =>
multiplier_sigs_2_7_port, outb => n3473);
U3344 : xor2 port map( a => adder_mem_array_3_5_port, b =>
multiplier_sigs_2_5_port, outb => n3477);
U3345 : xor2 port map( a => adder_mem_array_3_3_port, b =>
multiplier_sigs_2_3_port, outb => n3481);
U3346 : xor2 port map( a => n2137, b => adder_mem_array_3_1_port, outb =>
n4870);
U3347 : xor2 port map( a => adder_mem_array_2_31_port, b =>
multiplier_sigs_1_31_port, outb => n3486);
U3348 : xor2 port map( a => n2164, b => adder_mem_array_1_1_port, outb =>
n4871);
U3349 : xor2 port map( a => adder_mem_array_2_29_port, b =>
multiplier_sigs_1_29_port, outb => n3490);
U3350 : xor2 port map( a => adder_mem_array_2_27_port, b =>
multiplier_sigs_1_27_port, outb => n3494);
U3351 : xor2 port map( a => adder_mem_array_2_25_port, b =>
multiplier_sigs_1_25_port, outb => n3498);
U3352 : xor2 port map( a => adder_mem_array_2_23_port, b =>
multiplier_sigs_1_23_port, outb => n3502);
U3353 : xor2 port map( a => adder_mem_array_2_21_port, b =>
multiplier_sigs_1_21_port, outb => n3506);
U3354 : xor2 port map( a => adder_mem_array_2_19_port, b =>
multiplier_sigs_1_19_port, outb => n3510);
U3355 : xor2 port map( a => adder_mem_array_2_17_port, b =>
multiplier_sigs_1_17_port, outb => n3514);
U3356 : xor2 port map( a => adder_mem_array_2_15_port, b =>
multiplier_sigs_1_15_port, outb => n3518);
U3357 : xor2 port map( a => adder_mem_array_2_13_port, b =>
multiplier_sigs_1_13_port, outb => n3522);
U3358 : xor2 port map( a => adder_mem_array_2_11_port, b =>
multiplier_sigs_1_11_port, outb => n3526);
U3359 : xor2 port map( a => adder_mem_array_2_9_port, b =>
multiplier_sigs_1_9_port, outb => n3530);
U3360 : xor2 port map( a => adder_mem_array_2_7_port, b =>
multiplier_sigs_1_7_port, outb => n3534);
U3361 : xor2 port map( a => adder_mem_array_2_5_port, b =>
multiplier_sigs_1_5_port, outb => n3538);
U3362 : xor2 port map( a => adder_mem_array_2_3_port, b =>
multiplier_sigs_1_3_port, outb => n3542);
U3363 : xor2 port map( a => n2167, b => adder_mem_array_2_1_port, outb =>
n4872);
U3364 : xor2 port map( a => adder_mem_array_1_31_port, b =>
multiplier_sigs_0_31_port, outb => n3546);
U3365 : xor2 port map( a => adder_mem_array_1_29_port, b =>
multiplier_sigs_0_29_port, outb => n3550);
U3366 : xor2 port map( a => adder_mem_array_1_27_port, b =>
multiplier_sigs_0_27_port, outb => n3554);
U3367 : xor2 port map( a => adder_mem_array_1_25_port, b =>
multiplier_sigs_0_25_port, outb => n3558);
U3368 : xor2 port map( a => adder_mem_array_1_23_port, b =>
multiplier_sigs_0_23_port, outb => n3562);
U3369 : xor2 port map( a => adder_mem_array_1_21_port, b =>
multiplier_sigs_0_21_port, outb => n3566);
U3370 : xor2 port map( a => adder_mem_array_1_19_port, b =>
multiplier_sigs_0_19_port, outb => n3570);
U3371 : xor2 port map( a => adder_mem_array_1_17_port, b =>
multiplier_sigs_0_17_port, outb => n3574);
U3372 : xor2 port map( a => adder_mem_array_1_15_port, b =>
multiplier_sigs_0_15_port, outb => n3578);
U3373 : xor2 port map( a => adder_mem_array_1_13_port, b =>
multiplier_sigs_0_13_port, outb => n3582);
U3374 : xor2 port map( a => adder_mem_array_1_11_port, b =>
multiplier_sigs_0_11_port, outb => n3586);
U3375 : xor2 port map( a => adder_mem_array_1_9_port, b =>
multiplier_sigs_0_9_port, outb => n3590);
U3376 : xor2 port map( a => adder_mem_array_1_7_port, b =>
multiplier_sigs_0_7_port, outb => n3594);
U3377 : xor2 port map( a => adder_mem_array_1_5_port, b =>
multiplier_sigs_0_5_port, outb => n3598);
U3378 : xor2 port map( a => adder_mem_array_3_31_port, b =>
multiplier_sigs_2_31_port, outb => n3600);
U3379 : xor2 port map( a => adder_mem_array_3_29_port, b =>
multiplier_sigs_2_29_port, outb => n3604);
U3380 : oai22 port map( a => n4902, b => n4903, c => n384, d => n3609, outb
=> n4901);
U3381 : aoi22 port map( a => mult_125_G4_ab_2_15_port, b =>
mult_125_G4_ab_3_14_port, c => n4901, d => n4905,
outb => n4904);
U3382 : oai22 port map( a => n3610, b => n3611, c => n4904, d => n386, outb
=> n4906);
U3383 : aoi22 port map( a => mult_125_G4_ab_4_15_port, b =>
mult_125_G4_ab_5_14_port, c => n4906, d => n4908,
outb => n4907);
U3384 : oai22 port map( a => n3612, b => n3613, c => n4907, d => n388, outb
=> n4909);
U3385 : aoi22 port map( a => mult_125_G4_ab_6_15_port, b =>
mult_125_G4_ab_7_14_port, c => n4909, d => n4911,
outb => n4910);
U3386 : oai22 port map( a => n3614, b => n3615, c => n4910, d => n390, outb
=> n4912);
U3387 : aoi22 port map( a => mult_125_G4_ab_8_15_port, b =>
mult_125_G4_ab_9_14_port, c => n4912, d => n4914,
outb => n4913);
U3388 : oai22 port map( a => n3616, b => n3617, c => n4913, d => n392, outb
=> n4915);
U3389 : aoi22 port map( a => mult_125_G4_ab_10_15_port, b =>
mult_125_G4_ab_11_14_port, c => n4915, d => n4917,
outb => n4916);
U3390 : oai22 port map( a => n3618, b => n3619, c => n4916, d => n394, outb
=> n4918);
U3391 : aoi22 port map( a => mult_125_G4_ab_12_15_port, b =>
mult_125_G4_ab_13_14_port, c => n4918, d => n4920,
outb => n4919);
U3392 : aoi22 port map( a => mult_125_G4_ab_13_15_port, b =>
mult_125_G4_ab_14_14_port, c => n4922, d => n4923,
outb => n4921);
U3393 : aoi22 port map( a => mult_125_G4_ab_14_15_port, b =>
mult_125_G4_ab_15_14_port, c => n4924, d => n4925,
outb => n241);
U3394 : inv port map( inb => n3637, outb => n427);
U3395 : aoi22 port map( a => n427, b => mult_125_G4_ab_15_13_port, c =>
n4926, d => n2280, outb => n243);
U3396 : aoi22 port map( a => n456, b => mult_125_G4_ab_15_12_port, c =>
n4927, d => n2276, outb => n245);
U3397 : oai22 port map( a => n487, b => n486, c => n4929, d => n2321, outb
=> n4928);
U3398 : inv port map( inb => n503, outb => n3687);
U3399 : aoi22 port map( a => n517, b => mult_125_G4_ab_15_10_port, c =>
n4930, d => n2317, outb => n249);
U3400 : oai22 port map( a => n547, b => n546, c => n4932, d => n2362, outb
=> n4931);
U3401 : inv port map( inb => n566, outb => n3731);
U3402 : aoi22 port map( a => n576, b => mult_125_G4_ab_15_8_port, c => n4933
, d => n2358, outb => n253);
U3403 : oai22 port map( a => n607, b => n606, c => n4935, d => n2403, outb
=> n4934);
U3404 : inv port map( inb => n632, outb => n3771);
U3405 : aoi22 port map( a => n638, b => mult_125_G4_ab_15_6_port, c => n4936
, d => n2399, outb => n257);
U3406 : oai22 port map( a => n670, b => n669, c => n4938, d => n2444, outb
=> n4937);
U3407 : aoi22 port map( a => n4939, b => mult_125_G4_ab_15_4_port, c => n701
, d => n2440, outb => n261);
U3408 : aoi22 port map( a => n733, b => mult_125_G4_ab_15_3_port, c => n4940
, d => n2485, outb => n263);
U3409 : oai22 port map( a => n3845, b => n4941, c => n762, d => n2481, outb
=> n266);
U3410 : aoi22 port map( a => n792, b => mult_125_G4_ab_15_1_port, c => n4942
, d => n2504, outb => n268);
U3411 : aoi22 port map( a => n820, b => mult_125_G4_ab_15_0_port, c => n4943
, d => n4944, outb => n270);
U3412 : xor2 port map( a => n4901, b => n4873, outb => n2248);
U3413 : xor2 port map( a => n4945, b => n4904, outb => n2251);
U3414 : xor2 port map( a => n4906, b => n4874, outb => n2254);
U3415 : xor2 port map( a => n4946, b => n4907, outb => n2257);
U3416 : xor2 port map( a => n4909, b => n4875, outb => n2260);
U3417 : xor2 port map( a => n4947, b => n4910, outb => n2263);
U3418 : xor2 port map( a => n4912, b => n4876, outb => n2266);
U3419 : xor2 port map( a => n4948, b => n4913, outb => n2269);
U3420 : xor2 port map( a => n4915, b => n4877, outb => n2272);
U3421 : xor2 port map( a => n4949, b => n4916, outb => n2275);
U3422 : xor2 port map( a => n4918, b => n4878, outb => n2278);
U3423 : xor2 port map( a => n4950, b => n4922, outb => n2280);
U3424 : xor2 port map( a => n4921, b => n4879, outb => n244);
U3425 : xor2 port map( a => n4951, b => n2241, outb => n2286);
U3426 : xor2 port map( a => n4952, b => n2244, outb => n2292);
U3427 : xor2 port map( a => n4953, b => n2246, outb => n2295);
U3428 : xor2 port map( a => n4954, b => n2249, outb => n2298);
U3429 : xor2 port map( a => n4955, b => n2252, outb => n2301);
U3430 : xor2 port map( a => n4956, b => n2255, outb => n2304);
U3431 : xor2 port map( a => n4957, b => n2258, outb => n2307);
U3432 : xor2 port map( a => n4958, b => n2261, outb => n2310);
U3433 : xor2 port map( a => n4959, b => n2264, outb => n2313);
U3434 : xor2 port map( a => n4960, b => n2267, outb => n2316);
U3435 : xor2 port map( a => n4961, b => n2270, outb => n2319);
U3436 : xor2 port map( a => n4962, b => n2273, outb => n2321);
U3437 : xor2 port map( a => n4963, b => n2276, outb => n248);
U3438 : xor2 port map( a => n4964, b => n2282, outb => n2327);
U3439 : xor2 port map( a => n4965, b => n2284, outb => n2330);
U3440 : xor2 port map( a => n4966, b => n2288, outb => n2336);
U3441 : xor2 port map( a => n4967, b => n2290, outb => n2339);
U3442 : xor2 port map( a => n4968, b => n2293, outb => n2342);
U3443 : xor2 port map( a => n4969, b => n2296, outb => n2345);
U3444 : xor2 port map( a => n4970, b => n2299, outb => n2348);
U3445 : xor2 port map( a => n4971, b => n2302, outb => n2351);
U3446 : xor2 port map( a => n4972, b => n2305, outb => n2354);
U3447 : xor2 port map( a => n4973, b => n2308, outb => n2357);
U3448 : xor2 port map( a => n4974, b => n2311, outb => n2360);
U3449 : xor2 port map( a => n4975, b => n2314, outb => n2362);
U3450 : xor2 port map( a => n4976, b => n2317, outb => n252);
U3451 : xor2 port map( a => n4977, b => n2323, outb => n2368);
U3452 : xor2 port map( a => n4978, b => n2325, outb => n2371);
U3453 : xor2 port map( a => n4979, b => n2328, outb => n2374);
U3454 : xor2 port map( a => n4980, b => n2332, outb => n2380);
U3455 : xor2 port map( a => n4981, b => n2334, outb => n2383);
U3456 : xor2 port map( a => n4982, b => n2337, outb => n2386);
U3457 : xor2 port map( a => n4983, b => n2340, outb => n2389);
U3458 : xor2 port map( a => n4984, b => n2343, outb => n2392);
U3459 : xor2 port map( a => n4985, b => n2346, outb => n2395);
U3460 : xor2 port map( a => n4986, b => n2349, outb => n2398);
U3461 : xor2 port map( a => n4987, b => n2352, outb => n2401);
U3462 : xor2 port map( a => n4988, b => n2355, outb => n2403);
U3463 : xor2 port map( a => n4989, b => n2358, outb => n256);
U3464 : xor2 port map( a => n4990, b => n2364, outb => n2409);
U3465 : xor2 port map( a => n4991, b => n2366, outb => n2412);
U3466 : xor2 port map( a => n4992, b => n2369, outb => n2415);
U3467 : xor2 port map( a => n4993, b => n2372, outb => n2418);
U3468 : xor2 port map( a => n4994, b => n2376, outb => n2424);
U3469 : xor2 port map( a => n4995, b => n2378, outb => n2427);
U3470 : xor2 port map( a => n4996, b => n2381, outb => n2430);
U3471 : xor2 port map( a => n4997, b => n2384, outb => n2433);
U3472 : xor2 port map( a => n4998, b => n2387, outb => n2436);
U3473 : xor2 port map( a => n4999, b => n2390, outb => n2439);
U3474 : xor2 port map( a => n5000, b => n2393, outb => n2442);
U3475 : xor2 port map( a => n5001, b => n2396, outb => n2444);
U3476 : xor2 port map( a => n5002, b => n2399, outb => n260);
U3477 : xor2 port map( a => n5003, b => n2405, outb => n2450);
U3478 : xor2 port map( a => n5004, b => n2407, outb => n2453);
U3479 : xor2 port map( a => n5005, b => n2410, outb => n2456);
U3480 : xor2 port map( a => n5006, b => n2413, outb => n2459);
U3481 : xor2 port map( a => n5007, b => n2416, outb => n2462);
U3482 : xor2 port map( a => n5008, b => n2420, outb => n2468);
U3483 : xor2 port map( a => n5009, b => n2422, outb => n2471);
U3484 : xor2 port map( a => n5010, b => n2425, outb => n2474);
U3485 : xor2 port map( a => n5011, b => n2428, outb => n2477);
U3486 : xor2 port map( a => n5012, b => n2431, outb => n2480);
U3487 : xor2 port map( a => n5013, b => n2434, outb => n2483);
U3488 : xor2 port map( a => n5014, b => n2437, outb => n2485);
U3489 : xor2 port map( a => n5015, b => n2440, outb => n264);
U3490 : xor2 port map( a => n5016, b => n2446, outb => n2491);
U3491 : xor2 port map( a => n5017, b => n2448, outb => n2494);
U3492 : xor2 port map( a => n5018, b => n2451, outb => n2497);
U3493 : xor2 port map( a => n5019, b => n2454, outb => n2500);
U3494 : xor2 port map( a => n5020, b => n2457, outb => n2503);
U3495 : xor2 port map( a => n5021, b => n2460, outb => n2506);
U3496 : xor2 port map( a => n5022, b => n2464, outb => n2512);
U3497 : xor2 port map( a => n5023, b => n2466, outb => n2515);
U3498 : xor2 port map( a => n5024, b => n2469, outb => n2518);
U3499 : xor2 port map( a => n5025, b => n2472, outb => n2521);
U3500 : xor2 port map( a => n5026, b => n2475, outb => n2524);
U3501 : xor2 port map( a => n5027, b => n2478, outb => n2527);
U3502 : xor2 port map( a => n5028, b => n2481, outb => n269);
U3503 : xor2 port map( a => n5029, b => n2487, outb => n3873);
U3504 : xor2 port map( a => n5030, b => n2489, outb => n3877);
U3505 : xor2 port map( a => n5031, b => n2492, outb => n3881);
U3506 : xor2 port map( a => n5032, b => n2495, outb => n3885);
U3507 : xor2 port map( a => n5033, b => n2498, outb => n3889);
U3508 : xor2 port map( a => n5034, b => n2501, outb => n3893);
U3509 : inv port map( inb => n2525, outb => n4944);
U3510 : xor2 port map( a => n5035, b => n2504, outb => n271);
U3511 : xor2 port map( a => n5036, b => n2525, outb => n275);
U3512 : xor2 port map( a => n3609, b => mult_125_G4_ab_2_14_port, outb =>
n2242);
U3513 : xor2 port map( a => n3610, b => n3611, outb => n4945);
U3514 : xor2 port map( a => n3612, b => n3613, outb => n4946);
U3515 : xor2 port map( a => n3614, b => n3615, outb => n4947);
U3516 : xor2 port map( a => n3616, b => n3617, outb => n4948);
U3517 : xor2 port map( a => n3618, b => n3619, outb => n4949);
U3518 : xor2 port map( a => mult_125_G4_ab_13_15_port, b =>
mult_125_G4_ab_14_14_port, outb => n4950);
U3519 : xor2 port map( a => n3620, b => n399, outb => n2245);
U3520 : xor2 port map( a => mult_125_G4_ab_3_13_port, b => n403, outb =>
n4951);
U3521 : xor2 port map( a => mult_125_G4_ab_4_13_port, b => n405, outb =>
n2247);
U3522 : xor2 port map( a => mult_125_G4_ab_5_13_port, b => n407, outb =>
n2250);
U3523 : xor2 port map( a => mult_125_G4_ab_6_13_port, b => n409, outb =>
n2253);
U3524 : xor2 port map( a => n3627, b => n3625, outb => n2256);
U3525 : xor2 port map( a => mult_125_G4_ab_8_13_port, b => n413, outb =>
n2259);
U3526 : xor2 port map( a => n3630, b => n3628, outb => n2262);
U3527 : xor2 port map( a => mult_125_G4_ab_10_13_port, b => n417, outb =>
n2265);
U3528 : xor2 port map( a => n3633, b => n3631, outb => n2268);
U3529 : xor2 port map( a => mult_125_G4_ab_12_13_port, b => n421, outb =>
n2271);
U3530 : xor2 port map( a => n3636, b => n3634, outb => n2274);
U3531 : xor2 port map( a => mult_125_G4_ab_14_13_port, b => n425, outb =>
n2277);
U3532 : xor2 port map( a => mult_125_G4_ab_15_13_port, b => n3637, outb =>
n2279);
U3533 : xor2 port map( a => n430, b => mult_125_G4_ab_2_12_port, outb =>
n2283);
U3534 : xor2 port map( a => n3641, b => n3639, outb => n4952);
U3535 : xor2 port map( a => mult_125_G4_ab_4_12_port, b => n434, outb =>
n2285);
U3536 : xor2 port map( a => mult_125_G4_ab_5_12_port, b => n3642, outb =>
n4953);
U3537 : xor2 port map( a => n3646, b => n3644, outb => n4954);
U3538 : xor2 port map( a => mult_125_G4_ab_7_12_port, b => n440, outb =>
n4955);
U3539 : xor2 port map( a => n3649, b => n3647, outb => n4956);
U3540 : xor2 port map( a => mult_125_G4_ab_9_12_port, b => n444, outb =>
n4957);
U3541 : xor2 port map( a => n3652, b => n3650, outb => n4958);
U3542 : xor2 port map( a => mult_125_G4_ab_11_12_port, b => n448, outb =>
n4959);
U3543 : xor2 port map( a => n3655, b => n3653, outb => n4960);
U3544 : xor2 port map( a => mult_125_G4_ab_13_12_port, b => n452, outb =>
n4961);
U3545 : xor2 port map( a => n3658, b => n3656, outb => n4962);
U3546 : xor2 port map( a => n5037, b => n456, outb => n4963);
U3547 : xor2 port map( a => n459, b => mult_125_G4_ab_2_11_port, outb =>
n2289);
U3548 : xor2 port map( a => mult_125_G4_ab_3_11_port, b => n462, outb =>
n4964);
U3549 : xor2 port map( a => n3662, b => n3661, outb => n2291);
U3550 : xor2 port map( a => mult_125_G4_ab_5_11_port, b => n466, outb =>
n4965);
U3551 : xor2 port map( a => mult_125_G4_ab_6_11_port, b => n468, outb =>
n2294);
U3552 : xor2 port map( a => n3667, b => n3666, outb => n2297);
U3553 : xor2 port map( a => mult_125_G4_ab_8_11_port, b => n472, outb =>
n2300);
U3554 : xor2 port map( a => n3670, b => n3668, outb => n2303);
U3555 : xor2 port map( a => mult_125_G4_ab_10_11_port, b => n476, outb =>
n2306);
U3556 : xor2 port map( a => n3673, b => n3671, outb => n2309);
U3557 : xor2 port map( a => mult_125_G4_ab_12_11_port, b => n480, outb =>
n2312);
U3558 : xor2 port map( a => n3676, b => n3674, outb => n2315);
U3559 : xor2 port map( a => mult_125_G4_ab_14_11_port, b => n484, outb =>
n2318);
U3560 : xor2 port map( a => n486, b => n487, outb => n2320);
U3561 : xor2 port map( a => n490, b => mult_125_G4_ab_2_10_port, outb =>
n2324);
U3562 : xor2 port map( a => n3680, b => n3678, outb => n4966);
U3563 : xor2 port map( a => mult_125_G4_ab_4_10_port, b => n494, outb =>
n2326);
U3564 : xor2 port map( a => n496, b => n497, outb => n4967);
U3565 : xor2 port map( a => mult_125_G4_ab_6_10_port, b => n499, outb =>
n2329);
U3566 : xor2 port map( a => mult_125_G4_ab_7_10_port, b => n501, outb =>
n4968);
U3567 : xor2 port map( a => n3686, b => n3687, outb => n4969);
U3568 : xor2 port map( a => mult_125_G4_ab_9_10_port, b => n505, outb =>
n4970);
U3569 : xor2 port map( a => n3690, b => n3688, outb => n4971);
U3570 : xor2 port map( a => mult_125_G4_ab_11_10_port, b => n509, outb =>
n4972);
U3571 : xor2 port map( a => n3693, b => n3691, outb => n4973);
U3572 : xor2 port map( a => mult_125_G4_ab_13_10_port, b => n513, outb =>
n4974);
U3573 : xor2 port map( a => n3696, b => n3694, outb => n4975);
U3574 : xor2 port map( a => n5038, b => n517, outb => n4976);
U3575 : xor2 port map( a => n520, b => mult_125_G4_ab_2_9_port, outb =>
n2333);
U3576 : xor2 port map( a => n3699, b => n3697, outb => n4977);
U3577 : xor2 port map( a => mult_125_G4_ab_4_9_port, b => n524, outb =>
n2335);
U3578 : xor2 port map( a => mult_125_G4_ab_5_9_port, b => n526, outb =>
n4978);
U3579 : xor2 port map( a => mult_125_G4_ab_6_9_port, b => n528, outb =>
n2338);
U3580 : xor2 port map( a => mult_125_G4_ab_7_9_port, b => n530, outb =>
n4979);
U3581 : xor2 port map( a => n3707, b => n3705, outb => n2341);
U3582 : xor2 port map( a => n3709, b => n3708, outb => n2344);
U3583 : xor2 port map( a => mult_125_G4_ab_10_9_port, b => n536, outb =>
n2347);
U3584 : xor2 port map( a => n3712, b => n3710, outb => n2350);
U3585 : xor2 port map( a => mult_125_G4_ab_12_9_port, b => n540, outb =>
n2353);
U3586 : xor2 port map( a => n3715, b => n3713, outb => n2356);
U3587 : xor2 port map( a => mult_125_G4_ab_14_9_port, b => n544, outb =>
n2359);
U3588 : xor2 port map( a => n546, b => n547, outb => n2361);
U3589 : xor2 port map( a => n550, b => mult_125_G4_ab_2_8_port, outb =>
n2365);
U3590 : xor2 port map( a => n3719, b => n3717, outb => n4980);
U3591 : xor2 port map( a => n3721, b => n554, outb => n2367);
U3592 : xor2 port map( a => n3723, b => n3722, outb => n4981);
U3593 : xor2 port map( a => mult_125_G4_ab_6_8_port, b => n558, outb =>
n2370);
U3594 : xor2 port map( a => n3726, b => n3724, outb => n4982);
U3595 : xor2 port map( a => mult_125_G4_ab_8_8_port, b => n562, outb =>
n2373);
U3596 : xor2 port map( a => mult_125_G4_ab_9_8_port, b => n564, outb =>
n4983);
U3597 : xor2 port map( a => n3730, b => n3731, outb => n4984);
U3598 : xor2 port map( a => mult_125_G4_ab_11_8_port, b => n568, outb =>
n4985);
U3599 : xor2 port map( a => n3734, b => n3732, outb => n4986);
U3600 : xor2 port map( a => mult_125_G4_ab_13_8_port, b => n572, outb =>
n4987);
U3601 : xor2 port map( a => n3737, b => n3735, outb => n4988);
U3602 : xor2 port map( a => n5039, b => n576, outb => n4989);
U3603 : xor2 port map( a => n579, b => mult_125_G4_ab_2_7_port, outb =>
n2377);
U3604 : xor2 port map( a => mult_125_G4_ab_3_7_port, b => n582, outb =>
n4990);
U3605 : xor2 port map( a => n3741, b => n3740, outb => n2379);
U3606 : xor2 port map( a => mult_125_G4_ab_5_7_port, b => n586, outb =>
n4991);
U3607 : xor2 port map( a => n3744, b => n3742, outb => n2382);
U3608 : xor2 port map( a => mult_125_G4_ab_7_7_port, b => n590, outb =>
n4992);
U3609 : xor2 port map( a => n3747, b => n3745, outb => n2385);
U3610 : xor2 port map( a => mult_125_G4_ab_9_7_port, b => n594, outb =>
n4993);
U3611 : xor2 port map( a => n3750, b => n3748, outb => n2388);
U3612 : xor2 port map( a => n3752, b => n3751, outb => n2391);
U3613 : xor2 port map( a => mult_125_G4_ab_12_7_port, b => n600, outb =>
n2394);
U3614 : xor2 port map( a => n3755, b => n3753, outb => n2397);
U3615 : xor2 port map( a => mult_125_G4_ab_14_7_port, b => n604, outb =>
n2400);
U3616 : xor2 port map( a => n606, b => n607, outb => n2402);
U3617 : xor2 port map( a => n610, b => mult_125_G4_ab_2_6_port, outb =>
n2406);
U3618 : xor2 port map( a => n3759, b => n3757, outb => n4994);
U3619 : xor2 port map( a => mult_125_G4_ab_4_6_port, b => n614, outb =>
n2408);
U3620 : xor2 port map( a => n616, b => n617, outb => n4995);
U3621 : xor2 port map( a => mult_125_G4_ab_6_6_port, b => n619, outb =>
n2411);
U3622 : xor2 port map( a => n3764, b => n3762, outb => n4996);
U3623 : xor2 port map( a => mult_125_G4_ab_8_6_port, b => n623, outb =>
n2414);
U3624 : xor2 port map( a => n625, b => n626, outb => n4997);
U3625 : xor2 port map( a => mult_125_G4_ab_10_6_port, b => n628, outb =>
n2417);
U3626 : xor2 port map( a => mult_125_G4_ab_11_6_port, b => n630, outb =>
n4998);
U3627 : xor2 port map( a => n3770, b => n3771, outb => n4999);
U3628 : xor2 port map( a => mult_125_G4_ab_13_6_port, b => n634, outb =>
n5000);
U3629 : xor2 port map( a => n3774, b => n3772, outb => n5001);
U3630 : xor2 port map( a => n5040, b => n638, outb => n5002);
U3631 : xor2 port map( a => n641, b => mult_125_G4_ab_2_5_port, outb =>
n2421);
U3632 : xor2 port map( a => mult_125_G4_ab_3_5_port, b => n644, outb =>
n5003);
U3633 : xor2 port map( a => mult_125_G4_ab_4_5_port, b => n646, outb =>
n2423);
U3634 : xor2 port map( a => mult_125_G4_ab_5_5_port, b => n648, outb =>
n5004);
U3635 : xor2 port map( a => n650, b => n651, outb => n2426);
U3636 : xor2 port map( a => mult_125_G4_ab_7_5_port, b => n653, outb =>
n5005);
U3637 : xor2 port map( a => mult_125_G4_ab_8_5_port, b => n655, outb =>
n2429);
U3638 : xor2 port map( a => mult_125_G4_ab_9_5_port, b => n657, outb =>
n5006);
U3639 : xor2 port map( a => n3786, b => n3784, outb => n2432);
U3640 : xor2 port map( a => mult_125_G4_ab_11_5_port, b => n661, outb =>
n5007);
U3641 : xor2 port map( a => n3789, b => n3787, outb => n2435);
U3642 : xor2 port map( a => n3791, b => n665, outb => n2438);
U3643 : xor2 port map( a => mult_125_G4_ab_14_5_port, b => n667, outb =>
n2441);
U3644 : xor2 port map( a => n669, b => n670, outb => n2443);
U3645 : xor2 port map( a => n673, b => mult_125_G4_ab_2_4_port, outb =>
n2447);
U3646 : xor2 port map( a => n3795, b => n3793, outb => n5008);
U3647 : xor2 port map( a => mult_125_G4_ab_4_4_port, b => n677, outb =>
n2449);
U3648 : xor2 port map( a => n679, b => n680, outb => n5009);
U3649 : xor2 port map( a => mult_125_G4_ab_6_4_port, b => n682, outb =>
n2452);
U3650 : xor2 port map( a => n684, b => n685, outb => n5010);
U3651 : xor2 port map( a => mult_125_G4_ab_8_4_port, b => n687, outb =>
n2455);
U3652 : xor2 port map( a => mult_125_G4_ab_9_4_port, b => n689, outb =>
n5011);
U3653 : xor2 port map( a => mult_125_G4_ab_10_4_port, b => n691, outb =>
n2458);
U3654 : xor2 port map( a => n693, b => n694, outb => n5012);
U3655 : xor2 port map( a => mult_125_G4_ab_12_4_port, b => n696, outb =>
n2461);
U3656 : xor2 port map( a => mult_125_G4_ab_13_4_port, b => n698, outb =>
n5013);
U3657 : xor2 port map( a => mult_125_G4_ab_14_4_port, b => n700, outb =>
n5014);
U3658 : xor2 port map( a => mult_125_G4_ab_15_4_port, b => n703, outb =>
n5015);
U3659 : xor2 port map( a => n706, b => mult_125_G4_ab_2_3_port, outb =>
n2465);
U3660 : xor2 port map( a => mult_125_G4_ab_3_3_port, b => n709, outb =>
n5016);
U3661 : xor2 port map( a => mult_125_G4_ab_4_3_port, b => n711, outb =>
n2467);
U3662 : xor2 port map( a => mult_125_G4_ab_5_3_port, b => n713, outb =>
n5017);
U3663 : xor2 port map( a => mult_125_G4_ab_6_3_port, b => n715, outb =>
n2470);
U3664 : xor2 port map( a => mult_125_G4_ab_7_3_port, b => n717, outb =>
n5018);
U3665 : xor2 port map( a => mult_125_G4_ab_8_3_port, b => n719, outb =>
n2473);
U3666 : xor2 port map( a => mult_125_G4_ab_9_3_port, b => n721, outb =>
n5019);
U3667 : xor2 port map( a => mult_125_G4_ab_10_3_port, b => n723, outb =>
n2476);
U3668 : xor2 port map( a => mult_125_G4_ab_11_3_port, b => n725, outb =>
n5020);
U3669 : xor2 port map( a => n3824, b => n3822, outb => n2479);
U3670 : xor2 port map( a => mult_125_G4_ab_13_3_port, b => n729, outb =>
n5021);
U3671 : xor2 port map( a => mult_125_G4_ab_14_3_port, b => n731, outb =>
n2482);
U3672 : xor2 port map( a => mult_125_G4_ab_15_3_port, b => n733, outb =>
n2484);
U3673 : xor2 port map( a => n736, b => mult_125_G4_ab_2_2_port, outb =>
n2488);
U3674 : xor2 port map( a => n3830, b => n3828, outb => n5022);
U3675 : xor2 port map( a => mult_125_G4_ab_4_2_port, b => n740, outb =>
n2490);
U3676 : xor2 port map( a => mult_125_G4_ab_5_2_port, b => n742, outb =>
n5023);
U3677 : xor2 port map( a => mult_125_G4_ab_6_2_port, b => n744, outb =>
n2493);
U3678 : xor2 port map( a => n746, b => n747, outb => n5024);
U3679 : xor2 port map( a => mult_125_G4_ab_8_2_port, b => n749, outb =>
n2496);
U3680 : xor2 port map( a => mult_125_G4_ab_9_2_port, b => n751, outb =>
n5025);
U3681 : xor2 port map( a => mult_125_G4_ab_10_2_port, b => n753, outb =>
n2499);
U3682 : xor2 port map( a => mult_125_G4_ab_11_2_port, b => n755, outb =>
n5026);
U3683 : xor2 port map( a => mult_125_G4_ab_12_2_port, b => n757, outb =>
n2502);
U3684 : xor2 port map( a => mult_125_G4_ab_13_2_port, b => n759, outb =>
n5027);
U3685 : xor2 port map( a => mult_125_G4_ab_14_2_port, b => n761, outb =>
n2505);
U3686 : xor2 port map( a => n4941, b => n3845, outb => n5028);
U3687 : xor2 port map( a => n3848, b => mult_125_G4_ab_2_1_port, outb =>
n2509);
U3688 : xor2 port map( a => n3849, b => n3847, outb => n5029);
U3689 : xor2 port map( a => mult_125_G4_ab_4_1_port, b => n3851, outb =>
n2511);
U3690 : xor2 port map( a => mult_125_G4_ab_5_1_port, b => n772, outb =>
n5041);
U3691 : xor2 port map( a => n3854, b => n774, outb => n2514);
U3692 : xor2 port map( a => n5042, b => n776, outb => n5031);
U3693 : xor2 port map( a => mult_125_G4_ab_8_1_port, b => n3855, outb =>
n2517);
U3694 : xor2 port map( a => n5043, b => n780, outb => n5032);
U3695 : xor2 port map( a => n3860, b => n782, outb => n2520);
U3696 : xor2 port map( a => n5044, b => n784, outb => n5033);
U3697 : xor2 port map( a => n3863, b => n786, outb => n2523);
U3698 : xor2 port map( a => n5045, b => n788, outb => n5034);
U3699 : xor2 port map( a => n3866, b => n3864, outb => n2526);
U3700 : xor2 port map( a => n5046, b => n792, outb => n5035);
U3701 : xor2 port map( a => mult_125_G4_ab_15_0_port, b => n820, outb =>
n5036);
U3702 : xor2 port map( a => mult_125_G4_ab_11_0_port, b => n812, outb =>
n2528);
U3703 : xor2 port map( a => mult_125_G4_ab_9_0_port, b => n808, outb =>
n2529);
U3704 : xor2 port map( a => mult_125_G4_ab_7_0_port, b => n804, outb =>
n2530);
U3705 : xor2 port map( a => mult_125_G4_ab_5_0_port, b => n800, outb =>
n2531);
U3706 : xor2 port map( a => mult_125_G4_ab_3_0_port, b => n796, outb =>
n2532);
U3707 : xor2 port map( a => n272, b => mult_125_G4_ZA, outb => n2533);
U3708 : xor2 port map( a => mult_125_G4_ab_13_0_port, b => n816, outb =>
n2534);
U3709 : oai22 port map( a => n5048, b => n5049, c => n822, d => n3894, outb
=> n5047);
U3710 : aoi22 port map( a => mult_125_G3_ab_2_15_port, b =>
mult_125_G3_ab_3_14_port, c => n5047, d => n5051,
outb => n5050);
U3711 : oai22 port map( a => n3895, b => n3896, c => n5050, d => n824, outb
=> n5052);
U3712 : aoi22 port map( a => mult_125_G3_ab_4_15_port, b =>
mult_125_G3_ab_5_14_port, c => n5052, d => n5054,
outb => n5053);
U3713 : oai22 port map( a => n3897, b => n3898, c => n5053, d => n826, outb
=> n5055);
U3714 : aoi22 port map( a => mult_125_G3_ab_6_15_port, b =>
mult_125_G3_ab_7_14_port, c => n5055, d => n5057,
outb => n5056);
U3715 : oai22 port map( a => n3899, b => n3900, c => n5056, d => n828, outb
=> n5058);
U3716 : aoi22 port map( a => mult_125_G3_ab_8_15_port, b =>
mult_125_G3_ab_9_14_port, c => n5058, d => n5060,
outb => n5059);
U3717 : oai22 port map( a => n3901, b => n3902, c => n5059, d => n830, outb
=> n5061);
U3718 : aoi22 port map( a => mult_125_G3_ab_10_15_port, b =>
mult_125_G3_ab_11_14_port, c => n5061, d => n5063,
outb => n5062);
U3719 : oai22 port map( a => n3903, b => n3904, c => n5062, d => n832, outb
=> n5064);
U3720 : aoi22 port map( a => mult_125_G3_ab_12_15_port, b =>
mult_125_G3_ab_13_14_port, c => n5064, d => n5066,
outb => n5065);
U3721 : aoi22 port map( a => mult_125_G3_ab_13_15_port, b =>
mult_125_G3_ab_14_14_port, c => n5068, d => n5069,
outb => n5067);
U3722 : aoi22 port map( a => mult_125_G3_ab_14_15_port, b =>
mult_125_G3_ab_15_14_port, c => n5070, d => n5071,
outb => n349);
U3723 : inv port map( inb => n3922, outb => n865);
U3724 : aoi22 port map( a => n865, b => mult_125_G3_ab_15_13_port, c =>
n5072, d => n2577, outb => n351);
U3725 : aoi22 port map( a => n894, b => mult_125_G3_ab_15_12_port, c =>
n5073, d => n2573, outb => n353);
U3726 : oai22 port map( a => n925, b => n924, c => n5075, d => n2618, outb
=> n5074);
U3727 : inv port map( inb => n941, outb => n3972);
U3728 : aoi22 port map( a => n955, b => mult_125_G3_ab_15_10_port, c =>
n5076, d => n2614, outb => n357);
U3729 : oai22 port map( a => n985, b => n984, c => n5078, d => n2659, outb
=> n5077);
U3730 : inv port map( inb => n1004, outb => n4016);
U3731 : aoi22 port map( a => n1014, b => mult_125_G3_ab_15_8_port, c =>
n5079, d => n2655, outb => n361);
U3732 : oai22 port map( a => n1045, b => n1044, c => n5081, d => n2700, outb
=> n5080);
U3733 : inv port map( inb => n1070, outb => n4056);
U3734 : aoi22 port map( a => n1076, b => mult_125_G3_ab_15_6_port, c =>
n5082, d => n2696, outb => n365);
U3735 : oai22 port map( a => n1108, b => n1107, c => n5084, d => n2741, outb
=> n5083);
U3736 : aoi22 port map( a => n5085, b => mult_125_G3_ab_15_4_port, c =>
n1139, d => n2737, outb => n369);
U3737 : aoi22 port map( a => n1171, b => mult_125_G3_ab_15_3_port, c =>
n5086, d => n2782, outb => n371);
U3738 : oai22 port map( a => n4130, b => n5087, c => n1200, d => n2778, outb
=> n374);
U3739 : aoi22 port map( a => n1230, b => mult_125_G3_ab_15_1_port, c =>
n5088, d => n2801, outb => n376);
U3740 : aoi22 port map( a => n1258, b => mult_125_G3_ab_15_0_port, c =>
n5089, d => n5090, outb => n378);
U3741 : aoi22 port map( a => adder_mem_array_3_1_port, b => n2137, c =>
n5091, d => n3426, outb => n3483);
U3742 : oai22 port map( a => n4750, b => n4751, c => n3483, d => n2138, outb
=> n3480);
U3743 : aoi22 port map( a => multiplier_sigs_2_3_port, b =>
adder_mem_array_3_3_port, c => n3480, d => n5092,
outb => n3479);
U3744 : oai22 port map( a => n4752, b => n4753, c => n3479, d => n2140, outb
=> n3476);
U3745 : aoi22 port map( a => multiplier_sigs_2_5_port, b =>
adder_mem_array_3_5_port, c => n3476, d => n5093,
outb => n3475);
U3746 : oai22 port map( a => n4754, b => n4755, c => n3475, d => n2142, outb
=> n3472);
U3747 : aoi22 port map( a => multiplier_sigs_2_7_port, b =>
adder_mem_array_3_7_port, c => n3472, d => n5094,
outb => n3469);
U3748 : oai22 port map( a => n4756, b => n4757, c => n3469, d => n2144, outb
=> n3466);
U3749 : aoi22 port map( a => multiplier_sigs_2_9_port, b =>
adder_mem_array_3_9_port, c => n3466, d => n5095,
outb => n3465);
U3750 : oai22 port map( a => n4758, b => n4759, c => n3465, d => n2146, outb
=> n3462);
U3751 : aoi22 port map( a => multiplier_sigs_2_11_port, b =>
adder_mem_array_3_11_port, c => n3462, d => n5096,
outb => n3461);
U3752 : oai22 port map( a => n4760, b => n4761, c => n3461, d => n2148, outb
=> n3458);
U3753 : aoi22 port map( a => multiplier_sigs_2_13_port, b =>
adder_mem_array_3_13_port, c => n3458, d => n5097,
outb => n3457);
U3754 : oai22 port map( a => n4762, b => n4763, c => n3457, d => n2150, outb
=> n3454);
U3755 : aoi22 port map( a => multiplier_sigs_2_15_port, b =>
adder_mem_array_3_15_port, c => n3454, d => n5098,
outb => n3453);
U3756 : oai22 port map( a => n4764, b => n4765, c => n3453, d => n2152, outb
=> n3450);
U3757 : aoi22 port map( a => multiplier_sigs_2_17_port, b =>
adder_mem_array_3_17_port, c => n3450, d => n5099,
outb => n3446);
U3758 : oai22 port map( a => n4766, b => n4767, c => n3446, d => n2154, outb
=> n3443);
U3759 : aoi22 port map( a => multiplier_sigs_2_19_port, b =>
adder_mem_array_3_19_port, c => n3443, d => n5100,
outb => n3442);
U3760 : oai22 port map( a => n4768, b => n4769, c => n3442, d => n2156, outb
=> n3439);
U3761 : aoi22 port map( a => multiplier_sigs_2_21_port, b =>
adder_mem_array_3_21_port, c => n3439, d => n5101,
outb => n3438);
U3762 : oai22 port map( a => n4770, b => n4771, c => n3438, d => n2158, outb
=> n3435);
U3763 : aoi22 port map( a => multiplier_sigs_2_23_port, b =>
adder_mem_array_3_23_port, c => n3435, d => n5102,
outb => n3434);
U3764 : oai22 port map( a => n4772, b => n4773, c => n3434, d => n2160, outb
=> n3431);
U3765 : aoi22 port map( a => multiplier_sigs_2_25_port, b =>
adder_mem_array_3_25_port, c => n3431, d => n5103,
outb => n3430);
U3766 : oai22 port map( a => n4774, b => n4775, c => n3430, d => n2162, outb
=> n3427);
U3767 : aoi22 port map( a => adder_mem_array_3_27_port, b =>
multiplier_sigs_2_27_port, c => n3427, d => n5104,
outb => n3606);
U3768 : oai22 port map( a => n4838, b => n4839, c => n3606, d => n2232, outb
=> n3603);
U3769 : aoi22 port map( a => multiplier_sigs_2_29_port, b =>
adder_mem_array_3_29_port, c => n3603, d => n5105,
outb => n3602);
U3770 : oai22 port map( a => n4840, b => n4841, c => n3602, d => n2234, outb
=> n2237);
U3771 : nand2 port map( a => n5106, b => n5107, outb => n2236);
U3772 : xor2 port map( a => n5047, b => n4880, outb => n2545);
U3773 : xor2 port map( a => n5108, b => n5050, outb => n2548);
U3774 : xor2 port map( a => n5052, b => n4881, outb => n2551);
U3775 : xor2 port map( a => n5109, b => n5053, outb => n2554);
U3776 : xor2 port map( a => n5055, b => n4882, outb => n2557);
U3777 : xor2 port map( a => n5110, b => n5056, outb => n2560);
U3778 : xor2 port map( a => n5058, b => n4883, outb => n2563);
U3779 : xor2 port map( a => n5111, b => n5059, outb => n2566);
U3780 : xor2 port map( a => n5061, b => n4884, outb => n2569);
U3781 : xor2 port map( a => n5112, b => n5062, outb => n2572);
U3782 : xor2 port map( a => n5064, b => n4885, outb => n2575);
U3783 : xor2 port map( a => n5113, b => n5068, outb => n2577);
U3784 : xor2 port map( a => n5067, b => n4886, outb => n352);
U3785 : xor2 port map( a => n5114, b => n2538, outb => n2583);
U3786 : xor2 port map( a => n5115, b => n2541, outb => n2589);
U3787 : xor2 port map( a => n5116, b => n2543, outb => n2592);
U3788 : xor2 port map( a => n5117, b => n2546, outb => n2595);
U3789 : xor2 port map( a => n5118, b => n2549, outb => n2598);
U3790 : xor2 port map( a => n5119, b => n2552, outb => n2601);
U3791 : xor2 port map( a => n5120, b => n2555, outb => n2604);
U3792 : xor2 port map( a => n5121, b => n2558, outb => n2607);
U3793 : xor2 port map( a => n5122, b => n2561, outb => n2610);
U3794 : xor2 port map( a => n5123, b => n2564, outb => n2613);
U3795 : xor2 port map( a => n5124, b => n2567, outb => n2616);
U3796 : xor2 port map( a => n5125, b => n2570, outb => n2618);
U3797 : xor2 port map( a => n5126, b => n2573, outb => n356);
U3798 : xor2 port map( a => n5127, b => n2579, outb => n2624);
U3799 : xor2 port map( a => n5128, b => n2581, outb => n2627);
U3800 : xor2 port map( a => n5129, b => n2585, outb => n2633);
U3801 : xor2 port map( a => n5130, b => n2587, outb => n2636);
U3802 : xor2 port map( a => n5131, b => n2590, outb => n2639);
U3803 : xor2 port map( a => n5132, b => n2593, outb => n2642);
U3804 : xor2 port map( a => n5133, b => n2596, outb => n2645);
U3805 : xor2 port map( a => n5134, b => n2599, outb => n2648);
U3806 : xor2 port map( a => n5135, b => n2602, outb => n2651);
U3807 : xor2 port map( a => n5136, b => n2605, outb => n2654);
U3808 : xor2 port map( a => n5137, b => n2608, outb => n2657);
U3809 : xor2 port map( a => n5138, b => n2611, outb => n2659);
U3810 : xor2 port map( a => n5139, b => n2614, outb => n360);
U3811 : xor2 port map( a => n5140, b => n2620, outb => n2665);
U3812 : xor2 port map( a => n5141, b => n2622, outb => n2668);
U3813 : xor2 port map( a => n5142, b => n2625, outb => n2671);
U3814 : xor2 port map( a => n5143, b => n2629, outb => n2677);
U3815 : xor2 port map( a => n5144, b => n2631, outb => n2680);
U3816 : xor2 port map( a => n5145, b => n2634, outb => n2683);
U3817 : xor2 port map( a => n5146, b => n2637, outb => n2686);
U3818 : xor2 port map( a => n5147, b => n2640, outb => n2689);
U3819 : xor2 port map( a => n5148, b => n2643, outb => n2692);
U3820 : xor2 port map( a => n5149, b => n2646, outb => n2695);
U3821 : xor2 port map( a => n5150, b => n2649, outb => n2698);
U3822 : xor2 port map( a => n5151, b => n2652, outb => n2700);
U3823 : xor2 port map( a => n5152, b => n2655, outb => n364);
U3824 : xor2 port map( a => n5153, b => n2661, outb => n2706);
U3825 : xor2 port map( a => n5154, b => n2663, outb => n2709);
U3826 : xor2 port map( a => n5155, b => n2666, outb => n2712);
U3827 : xor2 port map( a => n5156, b => n2669, outb => n2715);
U3828 : xor2 port map( a => n5157, b => n2673, outb => n2721);
U3829 : xor2 port map( a => n5158, b => n2675, outb => n2724);
U3830 : xor2 port map( a => n5159, b => n2678, outb => n2727);
U3831 : xor2 port map( a => n5160, b => n2681, outb => n2730);
U3832 : xor2 port map( a => n5161, b => n2684, outb => n2733);
U3833 : xor2 port map( a => n5162, b => n2687, outb => n2736);
U3834 : xor2 port map( a => n5163, b => n2690, outb => n2739);
U3835 : xor2 port map( a => n5164, b => n2693, outb => n2741);
U3836 : xor2 port map( a => n5165, b => n2696, outb => n368);
U3837 : xor2 port map( a => n5166, b => n2702, outb => n2747);
U3838 : xor2 port map( a => n5167, b => n2704, outb => n2750);
U3839 : xor2 port map( a => n5168, b => n2707, outb => n2753);
U3840 : xor2 port map( a => n5169, b => n2710, outb => n2756);
U3841 : xor2 port map( a => n5170, b => n2713, outb => n2759);
U3842 : xor2 port map( a => n5171, b => n2717, outb => n2765);
U3843 : xor2 port map( a => n5172, b => n2719, outb => n2768);
U3844 : xor2 port map( a => n5173, b => n2722, outb => n2771);
U3845 : xor2 port map( a => n5174, b => n2725, outb => n2774);
U3846 : xor2 port map( a => n5175, b => n2728, outb => n2777);
U3847 : xor2 port map( a => n5176, b => n2731, outb => n2780);
U3848 : xor2 port map( a => n5177, b => n2734, outb => n2782);
U3849 : xor2 port map( a => n5178, b => n2737, outb => n372);
U3850 : xor2 port map( a => n5179, b => n2743, outb => n2788);
U3851 : xor2 port map( a => n5180, b => n2745, outb => n2791);
U3852 : xor2 port map( a => n5181, b => n2748, outb => n2794);
U3853 : xor2 port map( a => n5182, b => n2751, outb => n2797);
U3854 : xor2 port map( a => n5183, b => n2754, outb => n2800);
U3855 : xor2 port map( a => n5184, b => n2757, outb => n2803);
U3856 : xor2 port map( a => n5185, b => n2761, outb => n2809);
U3857 : xor2 port map( a => n5186, b => n2763, outb => n2812);
U3858 : xor2 port map( a => n5187, b => n2766, outb => n2815);
U3859 : xor2 port map( a => n5188, b => n2769, outb => n2818);
U3860 : xor2 port map( a => n5189, b => n2772, outb => n2821);
U3861 : xor2 port map( a => n5190, b => n2775, outb => n2824);
U3862 : xor2 port map( a => n5191, b => n2778, outb => n377);
U3863 : xor2 port map( a => n5192, b => n2784, outb => n4158);
U3864 : xor2 port map( a => n5193, b => n2786, outb => n4162);
U3865 : xor2 port map( a => n5194, b => n2789, outb => n4166);
U3866 : xor2 port map( a => n5195, b => n2792, outb => n4170);
U3867 : xor2 port map( a => n5196, b => n2795, outb => n4174);
U3868 : xor2 port map( a => n5197, b => n2798, outb => n4178);
U3869 : inv port map( inb => n2822, outb => n5090);
U3870 : xor2 port map( a => n5198, b => n2801, outb => n379);
U3871 : xor2 port map( a => n5199, b => n2822, outb => n383);
U3872 : xor2 port map( a => n3894, b => mult_125_G3_ab_2_14_port, outb =>
n2539);
U3873 : xor2 port map( a => n3895, b => n3896, outb => n5108);
U3874 : xor2 port map( a => n3897, b => n3898, outb => n5109);
U3875 : xor2 port map( a => n3899, b => n3900, outb => n5110);
U3876 : xor2 port map( a => n3901, b => n3902, outb => n5111);
U3877 : xor2 port map( a => n3903, b => n3904, outb => n5112);
U3878 : xor2 port map( a => mult_125_G3_ab_13_15_port, b =>
mult_125_G3_ab_14_14_port, outb => n5113);
U3879 : xor2 port map( a => n3905, b => n837, outb => n2542);
U3880 : xor2 port map( a => mult_125_G3_ab_3_13_port, b => n841, outb =>
n5114);
U3881 : xor2 port map( a => mult_125_G3_ab_4_13_port, b => n843, outb =>
n2544);
U3882 : xor2 port map( a => mult_125_G3_ab_5_13_port, b => n845, outb =>
n2547);
U3883 : xor2 port map( a => mult_125_G3_ab_6_13_port, b => n847, outb =>
n2550);
U3884 : xor2 port map( a => n3912, b => n3910, outb => n2553);
U3885 : xor2 port map( a => mult_125_G3_ab_8_13_port, b => n851, outb =>
n2556);
U3886 : xor2 port map( a => n3915, b => n3913, outb => n2559);
U3887 : xor2 port map( a => mult_125_G3_ab_10_13_port, b => n855, outb =>
n2562);
U3888 : xor2 port map( a => n3918, b => n3916, outb => n2565);
U3889 : xor2 port map( a => mult_125_G3_ab_12_13_port, b => n859, outb =>
n2568);
U3890 : xor2 port map( a => n3921, b => n3919, outb => n2571);
U3891 : xor2 port map( a => mult_125_G3_ab_14_13_port, b => n863, outb =>
n2574);
U3892 : xor2 port map( a => mult_125_G3_ab_15_13_port, b => n3922, outb =>
n2576);
U3893 : xor2 port map( a => n868, b => mult_125_G3_ab_2_12_port, outb =>
n2580);
U3894 : xor2 port map( a => n3926, b => n3924, outb => n5115);
U3895 : xor2 port map( a => mult_125_G3_ab_4_12_port, b => n872, outb =>
n2582);
U3896 : xor2 port map( a => mult_125_G3_ab_5_12_port, b => n3927, outb =>
n5116);
U3897 : xor2 port map( a => n3931, b => n3929, outb => n5117);
U3898 : xor2 port map( a => mult_125_G3_ab_7_12_port, b => n878, outb =>
n5118);
U3899 : xor2 port map( a => n3934, b => n3932, outb => n5119);
U3900 : xor2 port map( a => mult_125_G3_ab_9_12_port, b => n882, outb =>
n5120);
U3901 : xor2 port map( a => n3937, b => n3935, outb => n5121);
U3902 : xor2 port map( a => mult_125_G3_ab_11_12_port, b => n886, outb =>
n5122);
U3903 : xor2 port map( a => n3940, b => n3938, outb => n5123);
U3904 : xor2 port map( a => mult_125_G3_ab_13_12_port, b => n890, outb =>
n5124);
U3905 : xor2 port map( a => n3943, b => n3941, outb => n5125);
U3906 : xor2 port map( a => n5200, b => n894, outb => n5126);
U3907 : xor2 port map( a => n897, b => mult_125_G3_ab_2_11_port, outb =>
n2586);
U3908 : xor2 port map( a => mult_125_G3_ab_3_11_port, b => n900, outb =>
n5127);
U3909 : xor2 port map( a => n3947, b => n3946, outb => n2588);
U3910 : xor2 port map( a => mult_125_G3_ab_5_11_port, b => n904, outb =>
n5128);
U3911 : xor2 port map( a => mult_125_G3_ab_6_11_port, b => n906, outb =>
n2591);
U3912 : xor2 port map( a => n3952, b => n3951, outb => n2594);
U3913 : xor2 port map( a => mult_125_G3_ab_8_11_port, b => n910, outb =>
n2597);
U3914 : xor2 port map( a => n3955, b => n3953, outb => n2600);
U3915 : xor2 port map( a => mult_125_G3_ab_10_11_port, b => n914, outb =>
n2603);
U3916 : xor2 port map( a => n3958, b => n3956, outb => n2606);
U3917 : xor2 port map( a => mult_125_G3_ab_12_11_port, b => n918, outb =>
n2609);
U3918 : xor2 port map( a => n3961, b => n3959, outb => n2612);
U3919 : xor2 port map( a => mult_125_G3_ab_14_11_port, b => n922, outb =>
n2615);
U3920 : xor2 port map( a => n924, b => n925, outb => n2617);
U3921 : xor2 port map( a => n928, b => mult_125_G3_ab_2_10_port, outb =>
n2621);
U3922 : xor2 port map( a => n3965, b => n3963, outb => n5129);
U3923 : xor2 port map( a => mult_125_G3_ab_4_10_port, b => n932, outb =>
n2623);
U3924 : xor2 port map( a => n934, b => n935, outb => n5130);
U3925 : xor2 port map( a => mult_125_G3_ab_6_10_port, b => n937, outb =>
n2626);
U3926 : xor2 port map( a => mult_125_G3_ab_7_10_port, b => n939, outb =>
n5131);
U3927 : xor2 port map( a => n3971, b => n3972, outb => n5132);
U3928 : xor2 port map( a => mult_125_G3_ab_9_10_port, b => n943, outb =>
n5133);
U3929 : xor2 port map( a => n3975, b => n3973, outb => n5134);
U3930 : xor2 port map( a => mult_125_G3_ab_11_10_port, b => n947, outb =>
n5135);
U3931 : xor2 port map( a => n3978, b => n3976, outb => n5136);
U3932 : xor2 port map( a => mult_125_G3_ab_13_10_port, b => n951, outb =>
n5137);
U3933 : xor2 port map( a => n3981, b => n3979, outb => n5138);
U3934 : xor2 port map( a => n5201, b => n955, outb => n5139);
U3935 : xor2 port map( a => n958, b => mult_125_G3_ab_2_9_port, outb =>
n2630);
U3936 : xor2 port map( a => n3984, b => n3982, outb => n5140);
U3937 : xor2 port map( a => mult_125_G3_ab_4_9_port, b => n962, outb =>
n2632);
U3938 : xor2 port map( a => mult_125_G3_ab_5_9_port, b => n964, outb =>
n5141);
U3939 : xor2 port map( a => mult_125_G3_ab_6_9_port, b => n966, outb =>
n2635);
U3940 : xor2 port map( a => mult_125_G3_ab_7_9_port, b => n968, outb =>
n5142);
U3941 : xor2 port map( a => n3992, b => n3990, outb => n2638);
U3942 : xor2 port map( a => n3994, b => n3993, outb => n2641);
U3943 : xor2 port map( a => mult_125_G3_ab_10_9_port, b => n974, outb =>
n2644);
U3944 : xor2 port map( a => n3997, b => n3995, outb => n2647);
U3945 : xor2 port map( a => mult_125_G3_ab_12_9_port, b => n978, outb =>
n2650);
U3946 : xor2 port map( a => n4000, b => n3998, outb => n2653);
U3947 : xor2 port map( a => mult_125_G3_ab_14_9_port, b => n982, outb =>
n2656);
U3948 : xor2 port map( a => n984, b => n985, outb => n2658);
U3949 : xor2 port map( a => n988, b => mult_125_G3_ab_2_8_port, outb =>
n2662);
U3950 : xor2 port map( a => n4004, b => n4002, outb => n5143);
U3951 : xor2 port map( a => n4006, b => n992, outb => n2664);
U3952 : xor2 port map( a => n4008, b => n4007, outb => n5144);
U3953 : xor2 port map( a => mult_125_G3_ab_6_8_port, b => n996, outb =>
n2667);
U3954 : xor2 port map( a => n4011, b => n4009, outb => n5145);
U3955 : xor2 port map( a => mult_125_G3_ab_8_8_port, b => n1000, outb =>
n2670);
U3956 : xor2 port map( a => mult_125_G3_ab_9_8_port, b => n1002, outb =>
n5146);
U3957 : xor2 port map( a => n4015, b => n4016, outb => n5147);
U3958 : xor2 port map( a => mult_125_G3_ab_11_8_port, b => n1006, outb =>
n5148);
U3959 : xor2 port map( a => n4019, b => n4017, outb => n5149);
U3960 : xor2 port map( a => mult_125_G3_ab_13_8_port, b => n1010, outb =>
n5150);
U3961 : xor2 port map( a => n4022, b => n4020, outb => n5151);
U3962 : xor2 port map( a => n5202, b => n1014, outb => n5152);
U3963 : xor2 port map( a => n1017, b => mult_125_G3_ab_2_7_port, outb =>
n2674);
U3964 : xor2 port map( a => mult_125_G3_ab_3_7_port, b => n1020, outb =>
n5153);
U3965 : xor2 port map( a => n4026, b => n4025, outb => n2676);
U3966 : xor2 port map( a => mult_125_G3_ab_5_7_port, b => n1024, outb =>
n5154);
U3967 : xor2 port map( a => n4029, b => n4027, outb => n2679);
U3968 : xor2 port map( a => mult_125_G3_ab_7_7_port, b => n1028, outb =>
n5155);
U3969 : xor2 port map( a => n4032, b => n4030, outb => n2682);
U3970 : xor2 port map( a => mult_125_G3_ab_9_7_port, b => n1032, outb =>
n5156);
U3971 : xor2 port map( a => n4035, b => n4033, outb => n2685);
U3972 : xor2 port map( a => n4037, b => n4036, outb => n2688);
U3973 : xor2 port map( a => mult_125_G3_ab_12_7_port, b => n1038, outb =>
n2691);
U3974 : xor2 port map( a => n4040, b => n4038, outb => n2694);
U3975 : xor2 port map( a => mult_125_G3_ab_14_7_port, b => n1042, outb =>
n2697);
U3976 : xor2 port map( a => n1044, b => n1045, outb => n2699);
U3977 : xor2 port map( a => n1048, b => mult_125_G3_ab_2_6_port, outb =>
n2703);
U3978 : xor2 port map( a => n4044, b => n4042, outb => n5157);
U3979 : xor2 port map( a => mult_125_G3_ab_4_6_port, b => n1052, outb =>
n2705);
U3980 : xor2 port map( a => n1054, b => n1055, outb => n5158);
U3981 : xor2 port map( a => mult_125_G3_ab_6_6_port, b => n1057, outb =>
n2708);
U3982 : xor2 port map( a => n4049, b => n4047, outb => n5159);
U3983 : xor2 port map( a => mult_125_G3_ab_8_6_port, b => n1061, outb =>
n2711);
U3984 : xor2 port map( a => n1063, b => n1064, outb => n5160);
U3985 : xor2 port map( a => mult_125_G3_ab_10_6_port, b => n1066, outb =>
n2714);
U3986 : xor2 port map( a => mult_125_G3_ab_11_6_port, b => n1068, outb =>
n5161);
U3987 : xor2 port map( a => n4055, b => n4056, outb => n5162);
U3988 : xor2 port map( a => mult_125_G3_ab_13_6_port, b => n1072, outb =>
n5163);
U3989 : xor2 port map( a => n4059, b => n4057, outb => n5164);
U3990 : xor2 port map( a => n5203, b => n1076, outb => n5165);
U3991 : xor2 port map( a => n1079, b => mult_125_G3_ab_2_5_port, outb =>
n2718);
U3992 : xor2 port map( a => mult_125_G3_ab_3_5_port, b => n1082, outb =>
n5166);
U3993 : xor2 port map( a => mult_125_G3_ab_4_5_port, b => n1084, outb =>
n2720);
U3994 : xor2 port map( a => mult_125_G3_ab_5_5_port, b => n1086, outb =>
n5167);
U3995 : xor2 port map( a => n1088, b => n1089, outb => n2723);
U3996 : xor2 port map( a => mult_125_G3_ab_7_5_port, b => n1091, outb =>
n5168);
U3997 : xor2 port map( a => mult_125_G3_ab_8_5_port, b => n1093, outb =>
n2726);
U3998 : xor2 port map( a => mult_125_G3_ab_9_5_port, b => n1095, outb =>
n5169);
U3999 : xor2 port map( a => n4071, b => n4069, outb => n2729);
U4000 : xor2 port map( a => mult_125_G3_ab_11_5_port, b => n1099, outb =>
n5170);
U4001 : xor2 port map( a => n4074, b => n4072, outb => n2732);
U4002 : xor2 port map( a => n4076, b => n1103, outb => n2735);
U4003 : xor2 port map( a => mult_125_G3_ab_14_5_port, b => n1105, outb =>
n2738);
U4004 : xor2 port map( a => n1107, b => n1108, outb => n2740);
U4005 : xor2 port map( a => n1111, b => mult_125_G3_ab_2_4_port, outb =>
n2744);
U4006 : xor2 port map( a => n4080, b => n4078, outb => n5171);
U4007 : xor2 port map( a => mult_125_G3_ab_4_4_port, b => n1115, outb =>
n2746);
U4008 : xor2 port map( a => n1117, b => n1118, outb => n5172);
U4009 : xor2 port map( a => mult_125_G3_ab_6_4_port, b => n1120, outb =>
n2749);
U4010 : xor2 port map( a => n1122, b => n1123, outb => n5173);
U4011 : xor2 port map( a => mult_125_G3_ab_8_4_port, b => n1125, outb =>
n2752);
U4012 : xor2 port map( a => mult_125_G3_ab_9_4_port, b => n1127, outb =>
n5174);
U4013 : xor2 port map( a => mult_125_G3_ab_10_4_port, b => n1129, outb =>
n2755);
U4014 : xor2 port map( a => n1131, b => n1132, outb => n5175);
U4015 : xor2 port map( a => mult_125_G3_ab_12_4_port, b => n1134, outb =>
n2758);
U4016 : xor2 port map( a => mult_125_G3_ab_13_4_port, b => n1136, outb =>
n5176);
U4017 : xor2 port map( a => mult_125_G3_ab_14_4_port, b => n1138, outb =>
n5177);
U4018 : xor2 port map( a => mult_125_G3_ab_15_4_port, b => n1141, outb =>
n5178);
U4019 : xor2 port map( a => n1144, b => mult_125_G3_ab_2_3_port, outb =>
n2762);
U4020 : xor2 port map( a => mult_125_G3_ab_3_3_port, b => n1147, outb =>
n5179);
U4021 : xor2 port map( a => mult_125_G3_ab_4_3_port, b => n1149, outb =>
n2764);
U4022 : xor2 port map( a => mult_125_G3_ab_5_3_port, b => n1151, outb =>
n5180);
U4023 : xor2 port map( a => mult_125_G3_ab_6_3_port, b => n1153, outb =>
n2767);
U4024 : xor2 port map( a => mult_125_G3_ab_7_3_port, b => n1155, outb =>
n5181);
U4025 : xor2 port map( a => mult_125_G3_ab_8_3_port, b => n1157, outb =>
n2770);
U4026 : xor2 port map( a => mult_125_G3_ab_9_3_port, b => n1159, outb =>
n5182);
U4027 : xor2 port map( a => mult_125_G3_ab_10_3_port, b => n1161, outb =>
n2773);
U4028 : xor2 port map( a => mult_125_G3_ab_11_3_port, b => n1163, outb =>
n5183);
U4029 : xor2 port map( a => n4109, b => n4107, outb => n2776);
U4030 : xor2 port map( a => mult_125_G3_ab_13_3_port, b => n1167, outb =>
n5184);
U4031 : xor2 port map( a => mult_125_G3_ab_14_3_port, b => n1169, outb =>
n2779);
U4032 : xor2 port map( a => mult_125_G3_ab_15_3_port, b => n1171, outb =>
n2781);
U4033 : xor2 port map( a => n1174, b => mult_125_G3_ab_2_2_port, outb =>
n2785);
U4034 : xor2 port map( a => n4115, b => n4113, outb => n5185);
U4035 : xor2 port map( a => mult_125_G3_ab_4_2_port, b => n1178, outb =>
n2787);
U4036 : xor2 port map( a => mult_125_G3_ab_5_2_port, b => n1180, outb =>
n5186);
U4037 : xor2 port map( a => mult_125_G3_ab_6_2_port, b => n1182, outb =>
n2790);
U4038 : xor2 port map( a => n1184, b => n1185, outb => n5187);
U4039 : xor2 port map( a => mult_125_G3_ab_8_2_port, b => n1187, outb =>
n2793);
U4040 : xor2 port map( a => mult_125_G3_ab_9_2_port, b => n1189, outb =>
n5188);
U4041 : xor2 port map( a => mult_125_G3_ab_10_2_port, b => n1191, outb =>
n2796);
U4042 : xor2 port map( a => mult_125_G3_ab_11_2_port, b => n1193, outb =>
n5189);
U4043 : xor2 port map( a => mult_125_G3_ab_12_2_port, b => n1195, outb =>
n2799);
U4044 : xor2 port map( a => mult_125_G3_ab_13_2_port, b => n1197, outb =>
n5190);
U4045 : xor2 port map( a => mult_125_G3_ab_14_2_port, b => n1199, outb =>
n2802);
U4046 : xor2 port map( a => n5087, b => n4130, outb => n5191);
U4047 : xor2 port map( a => n4133, b => mult_125_G3_ab_2_1_port, outb =>
n2806);
U4048 : xor2 port map( a => n4134, b => n4132, outb => n5192);
U4049 : xor2 port map( a => mult_125_G3_ab_4_1_port, b => n4136, outb =>
n2808);
U4050 : xor2 port map( a => mult_125_G3_ab_5_1_port, b => n1210, outb =>
n5204);
U4051 : xor2 port map( a => n4139, b => n1212, outb => n2811);
U4052 : xor2 port map( a => n5205, b => n1214, outb => n5194);
U4053 : xor2 port map( a => mult_125_G3_ab_8_1_port, b => n4140, outb =>
n2814);
U4054 : xor2 port map( a => n5206, b => n1218, outb => n5195);
U4055 : xor2 port map( a => n4145, b => n1220, outb => n2817);
U4056 : xor2 port map( a => n5207, b => n1222, outb => n5196);
U4057 : xor2 port map( a => n4148, b => n1224, outb => n2820);
U4058 : xor2 port map( a => n5208, b => n1226, outb => n5197);
U4059 : xor2 port map( a => n4151, b => n4149, outb => n2823);
U4060 : xor2 port map( a => n5209, b => n1230, outb => n5198);
U4061 : xor2 port map( a => mult_125_G3_ab_15_0_port, b => n1258, outb =>
n5199);
U4062 : xor2 port map( a => mult_125_G3_ab_11_0_port, b => n1250, outb =>
n2825);
U4063 : xor2 port map( a => mult_125_G3_ab_9_0_port, b => n1246, outb =>
n2826);
U4064 : xor2 port map( a => mult_125_G3_ab_7_0_port, b => n1242, outb =>
n2827);
U4065 : xor2 port map( a => mult_125_G3_ab_5_0_port, b => n1238, outb =>
n2828);
U4066 : xor2 port map( a => mult_125_G3_ab_3_0_port, b => n1234, outb =>
n2829);
U4067 : xor2 port map( a => n380, b => mult_125_G3_ZA, outb => n2830);
U4068 : xor2 port map( a => mult_125_G3_ab_13_0_port, b => n1254, outb =>
n2831);
U4069 : xor2 port map( a => n4774, b => adder_mem_array_3_26_port, outb =>
n3429);
U4070 : xor2 port map( a => n4772, b => adder_mem_array_3_24_port, outb =>
n3433);
U4071 : xor2 port map( a => n4770, b => adder_mem_array_3_22_port, outb =>
n3437);
U4072 : xor2 port map( a => n4768, b => adder_mem_array_3_20_port, outb =>
n3441);
U4073 : xor2 port map( a => n4766, b => adder_mem_array_3_18_port, outb =>
n3445);
U4074 : xor2 port map( a => n4764, b => adder_mem_array_3_16_port, outb =>
n3452);
U4075 : xor2 port map( a => n4762, b => adder_mem_array_3_14_port, outb =>
n3456);
U4076 : xor2 port map( a => n4760, b => adder_mem_array_3_12_port, outb =>
n3460);
U4077 : xor2 port map( a => n4758, b => adder_mem_array_3_10_port, outb =>
n3464);
U4078 : xor2 port map( a => n4756, b => adder_mem_array_3_8_port, outb =>
n3468);
U4079 : xor2 port map( a => n4754, b => adder_mem_array_3_6_port, outb =>
n3474);
U4080 : xor2 port map( a => n4752, b => adder_mem_array_3_4_port, outb =>
n3478);
U4081 : xor2 port map( a => n4750, b => adder_mem_array_3_2_port, outb =>
n3482);
U4082 : xor2 port map( a => n5107, b => adder_mem_array_3_32_port, outb =>
n3599);
U4083 : xor2 port map( a => n4840, b => adder_mem_array_3_30_port, outb =>
n3601);
U4084 : xor2 port map( a => n4838, b => adder_mem_array_3_28_port, outb =>
n3605);
U4085 : oai22 port map( a => n5211, b => n5212, c => n1260, d => n4179, outb
=> n5210);
U4086 : aoi22 port map( a => mult_125_G2_ab_2_15_port, b =>
mult_125_G2_ab_3_14_port, c => n5210, d => n5214,
outb => n5213);
U4087 : oai22 port map( a => n4180, b => n4181, c => n5213, d => n1262, outb
=> n5215);
U4088 : aoi22 port map( a => mult_125_G2_ab_4_15_port, b =>
mult_125_G2_ab_5_14_port, c => n5215, d => n5217,
outb => n5216);
U4089 : oai22 port map( a => n4182, b => n4183, c => n5216, d => n1264, outb
=> n5218);
U4090 : aoi22 port map( a => mult_125_G2_ab_6_15_port, b =>
mult_125_G2_ab_7_14_port, c => n5218, d => n5220,
outb => n5219);
U4091 : oai22 port map( a => n4184, b => n4185, c => n5219, d => n1266, outb
=> n5221);
U4092 : aoi22 port map( a => mult_125_G2_ab_8_15_port, b =>
mult_125_G2_ab_9_14_port, c => n5221, d => n5223,
outb => n5222);
U4093 : oai22 port map( a => n4186, b => n4187, c => n5222, d => n1268, outb
=> n5224);
U4094 : aoi22 port map( a => mult_125_G2_ab_10_15_port, b =>
mult_125_G2_ab_11_14_port, c => n5224, d => n5226,
outb => n5225);
U4095 : oai22 port map( a => n4188, b => n4189, c => n5225, d => n1270, outb
=> n5227);
U4096 : aoi22 port map( a => mult_125_G2_ab_12_15_port, b =>
mult_125_G2_ab_13_14_port, c => n5227, d => n5229,
outb => n5228);
U4097 : aoi22 port map( a => mult_125_G2_ab_13_15_port, b =>
mult_125_G2_ab_14_14_port, c => n5231, d => n5232,
outb => n5230);
U4098 : aoi22 port map( a => mult_125_G2_ab_14_15_port, b =>
mult_125_G2_ab_15_14_port, c => n5233, d => n5234,
outb => n313);
U4099 : inv port map( inb => n4207, outb => n1303);
U4100 : aoi22 port map( a => n1303, b => mult_125_G2_ab_15_13_port, c =>
n5235, d => n2874, outb => n315);
U4101 : aoi22 port map( a => n1332, b => mult_125_G2_ab_15_12_port, c =>
n5236, d => n2870, outb => n317);
U4102 : oai22 port map( a => n1363, b => n1362, c => n5238, d => n2915, outb
=> n5237);
U4103 : inv port map( inb => n1379, outb => n4257);
U4104 : aoi22 port map( a => n1393, b => mult_125_G2_ab_15_10_port, c =>
n5239, d => n2911, outb => n321);
U4105 : oai22 port map( a => n1423, b => n1422, c => n5241, d => n2956, outb
=> n5240);
U4106 : inv port map( inb => n1442, outb => n4301);
U4107 : aoi22 port map( a => n1452, b => mult_125_G2_ab_15_8_port, c =>
n5242, d => n2952, outb => n325);
U4108 : oai22 port map( a => n1483, b => n1482, c => n5244, d => n2997, outb
=> n5243);
U4109 : inv port map( inb => n1508, outb => n4341);
U4110 : aoi22 port map( a => n1514, b => mult_125_G2_ab_15_6_port, c =>
n5245, d => n2993, outb => n329);
U4111 : oai22 port map( a => n1546, b => n1545, c => n5247, d => n3038, outb
=> n5246);
U4112 : aoi22 port map( a => n5248, b => mult_125_G2_ab_15_4_port, c =>
n1577, d => n3034, outb => n333);
U4113 : aoi22 port map( a => n1609, b => mult_125_G2_ab_15_3_port, c =>
n5249, d => n3079, outb => n335);
U4114 : oai22 port map( a => n4415, b => n5250, c => n1638, d => n3075, outb
=> n338);
U4115 : aoi22 port map( a => n1668, b => mult_125_G2_ab_15_1_port, c =>
n5251, d => n3098, outb => n340);
U4116 : aoi22 port map( a => n1696, b => mult_125_G2_ab_15_0_port, c =>
n5252, d => n5253, outb => n342);
U4117 : aoi22 port map( a => adder_mem_array_2_1_port, b => n2167, c =>
n5254, d => n3484, outb => n3544);
U4118 : oai22 port map( a => n4780, b => n4781, c => n3544, d => n2168, outb
=> n3541);
U4119 : aoi22 port map( a => multiplier_sigs_1_3_port, b =>
adder_mem_array_2_3_port, c => n3541, d => n5255,
outb => n3540);
U4120 : oai22 port map( a => n4782, b => n4783, c => n3540, d => n2170, outb
=> n3537);
U4121 : aoi22 port map( a => multiplier_sigs_1_5_port, b =>
adder_mem_array_2_5_port, c => n3537, d => n5256,
outb => n3536);
U4122 : oai22 port map( a => n4784, b => n4785, c => n3536, d => n2172, outb
=> n3533);
U4123 : aoi22 port map( a => multiplier_sigs_1_7_port, b =>
adder_mem_array_2_7_port, c => n3533, d => n5257,
outb => n3532);
U4124 : oai22 port map( a => n4786, b => n4787, c => n3532, d => n2174, outb
=> n3529);
U4125 : aoi22 port map( a => multiplier_sigs_1_9_port, b =>
adder_mem_array_2_9_port, c => n3529, d => n5258,
outb => n3528);
U4126 : oai22 port map( a => n4788, b => n4789, c => n3528, d => n2176, outb
=> n3525);
U4127 : aoi22 port map( a => multiplier_sigs_1_11_port, b =>
adder_mem_array_2_11_port, c => n3525, d => n5259,
outb => n3524);
U4128 : oai22 port map( a => n4790, b => n4791, c => n3524, d => n2178, outb
=> n3521);
U4129 : aoi22 port map( a => multiplier_sigs_1_13_port, b =>
adder_mem_array_2_13_port, c => n3521, d => n5260,
outb => n3520);
U4130 : oai22 port map( a => n4792, b => n4793, c => n3520, d => n2180, outb
=> n3517);
U4131 : aoi22 port map( a => multiplier_sigs_1_15_port, b =>
adder_mem_array_2_15_port, c => n3517, d => n5261,
outb => n3516);
U4132 : oai22 port map( a => n4794, b => n4795, c => n3516, d => n2182, outb
=> n3513);
U4133 : aoi22 port map( a => multiplier_sigs_1_17_port, b =>
adder_mem_array_2_17_port, c => n3513, d => n5262,
outb => n3512);
U4134 : oai22 port map( a => n4796, b => n4797, c => n3512, d => n2184, outb
=> n3509);
U4135 : aoi22 port map( a => multiplier_sigs_1_19_port, b =>
adder_mem_array_2_19_port, c => n3509, d => n5263,
outb => n3508);
U4136 : oai22 port map( a => n4798, b => n4799, c => n3508, d => n2186, outb
=> n3505);
U4137 : aoi22 port map( a => multiplier_sigs_1_21_port, b =>
adder_mem_array_2_21_port, c => n3505, d => n5264,
outb => n3504);
U4138 : oai22 port map( a => n4800, b => n4801, c => n3504, d => n2188, outb
=> n3501);
U4139 : aoi22 port map( a => multiplier_sigs_1_23_port, b =>
adder_mem_array_2_23_port, c => n3501, d => n5265,
outb => n3500);
U4140 : oai22 port map( a => n4802, b => n4803, c => n3500, d => n2190, outb
=> n3497);
U4141 : aoi22 port map( a => multiplier_sigs_1_25_port, b =>
adder_mem_array_2_25_port, c => n3497, d => n5266,
outb => n3496);
U4142 : oai22 port map( a => n4804, b => n4805, c => n3496, d => n2192, outb
=> n3493);
U4143 : aoi22 port map( a => multiplier_sigs_1_27_port, b =>
adder_mem_array_2_27_port, c => n3493, d => n5267,
outb => n3492);
U4144 : oai22 port map( a => n4806, b => n4807, c => n3492, d => n2194, outb
=> n3489);
U4145 : aoi22 port map( a => multiplier_sigs_1_29_port, b =>
adder_mem_array_2_29_port, c => n3489, d => n5268,
outb => n3488);
U4146 : oai22 port map( a => n4808, b => n4809, c => n3488, d => n2196, outb
=> n2199);
U4147 : nand2 port map( a => n5269, b => n5270, outb => n2198);
U4148 : xor2 port map( a => n5210, b => n4887, outb => n2842);
U4149 : xor2 port map( a => n5271, b => n5213, outb => n2845);
U4150 : xor2 port map( a => n5215, b => n4888, outb => n2848);
U4151 : xor2 port map( a => n5272, b => n5216, outb => n2851);
U4152 : xor2 port map( a => n5218, b => n4889, outb => n2854);
U4153 : xor2 port map( a => n5273, b => n5219, outb => n2857);
U4154 : xor2 port map( a => n5221, b => n4890, outb => n2860);
U4155 : xor2 port map( a => n5274, b => n5222, outb => n2863);
U4156 : xor2 port map( a => n5224, b => n4891, outb => n2866);
U4157 : xor2 port map( a => n5275, b => n5225, outb => n2869);
U4158 : xor2 port map( a => n5227, b => n4892, outb => n2872);
U4159 : xor2 port map( a => n5276, b => n5231, outb => n2874);
U4160 : xor2 port map( a => n5230, b => n4893, outb => n316);
U4161 : xor2 port map( a => n5277, b => n2835, outb => n2880);
U4162 : xor2 port map( a => n5278, b => n2838, outb => n2886);
U4163 : xor2 port map( a => n5279, b => n2840, outb => n2889);
U4164 : xor2 port map( a => n5280, b => n2843, outb => n2892);
U4165 : xor2 port map( a => n5281, b => n2846, outb => n2895);
U4166 : xor2 port map( a => n5282, b => n2849, outb => n2898);
U4167 : xor2 port map( a => n5283, b => n2852, outb => n2901);
U4168 : xor2 port map( a => n5284, b => n2855, outb => n2904);
U4169 : xor2 port map( a => n5285, b => n2858, outb => n2907);
U4170 : xor2 port map( a => n5286, b => n2861, outb => n2910);
U4171 : xor2 port map( a => n5287, b => n2864, outb => n2913);
U4172 : xor2 port map( a => n5288, b => n2867, outb => n2915);
U4173 : xor2 port map( a => n5289, b => n2870, outb => n320);
U4174 : xor2 port map( a => n5290, b => n2876, outb => n2921);
U4175 : xor2 port map( a => n5291, b => n2878, outb => n2924);
U4176 : xor2 port map( a => n5292, b => n2882, outb => n2930);
U4177 : xor2 port map( a => n5293, b => n2884, outb => n2933);
U4178 : xor2 port map( a => n5294, b => n2887, outb => n2936);
U4179 : xor2 port map( a => n5295, b => n2890, outb => n2939);
U4180 : xor2 port map( a => n5296, b => n2893, outb => n2942);
U4181 : xor2 port map( a => n5297, b => n2896, outb => n2945);
U4182 : xor2 port map( a => n5298, b => n2899, outb => n2948);
U4183 : xor2 port map( a => n5299, b => n2902, outb => n2951);
U4184 : xor2 port map( a => n5300, b => n2905, outb => n2954);
U4185 : xor2 port map( a => n5301, b => n2908, outb => n2956);
U4186 : xor2 port map( a => n5302, b => n2911, outb => n324);
U4187 : xor2 port map( a => n5303, b => n2917, outb => n2962);
U4188 : xor2 port map( a => n5304, b => n2919, outb => n2965);
U4189 : xor2 port map( a => n5305, b => n2922, outb => n2968);
U4190 : xor2 port map( a => n5306, b => n2926, outb => n2974);
U4191 : xor2 port map( a => n5307, b => n2928, outb => n2977);
U4192 : xor2 port map( a => n5308, b => n2931, outb => n2980);
U4193 : xor2 port map( a => n5309, b => n2934, outb => n2983);
U4194 : xor2 port map( a => n5310, b => n2937, outb => n2986);
U4195 : xor2 port map( a => n5311, b => n2940, outb => n2989);
U4196 : xor2 port map( a => n5312, b => n2943, outb => n2992);
U4197 : xor2 port map( a => n5313, b => n2946, outb => n2995);
U4198 : xor2 port map( a => n5314, b => n2949, outb => n2997);
U4199 : xor2 port map( a => n5315, b => n2952, outb => n328);
U4200 : xor2 port map( a => n5316, b => n2958, outb => n3003);
U4201 : xor2 port map( a => n5317, b => n2960, outb => n3006);
U4202 : xor2 port map( a => n5318, b => n2963, outb => n3009);
U4203 : xor2 port map( a => n5319, b => n2966, outb => n3012);
U4204 : xor2 port map( a => n5320, b => n2970, outb => n3018);
U4205 : xor2 port map( a => n5321, b => n2972, outb => n3021);
U4206 : xor2 port map( a => n5322, b => n2975, outb => n3024);
U4207 : xor2 port map( a => n5323, b => n2978, outb => n3027);
U4208 : xor2 port map( a => n5324, b => n2981, outb => n3030);
U4209 : xor2 port map( a => n5325, b => n2984, outb => n3033);
U4210 : xor2 port map( a => n5326, b => n2987, outb => n3036);
U4211 : xor2 port map( a => n5327, b => n2990, outb => n3038);
U4212 : xor2 port map( a => n5328, b => n2993, outb => n332);
U4213 : xor2 port map( a => n5329, b => n2999, outb => n3044);
U4214 : xor2 port map( a => n5330, b => n3001, outb => n3047);
U4215 : xor2 port map( a => n5331, b => n3004, outb => n3050);
U4216 : xor2 port map( a => n5332, b => n3007, outb => n3053);
U4217 : xor2 port map( a => n5333, b => n3010, outb => n3056);
U4218 : xor2 port map( a => n5334, b => n3014, outb => n3062);
U4219 : xor2 port map( a => n5335, b => n3016, outb => n3065);
U4220 : xor2 port map( a => n5336, b => n3019, outb => n3068);
U4221 : xor2 port map( a => n5337, b => n3022, outb => n3071);
U4222 : xor2 port map( a => n5338, b => n3025, outb => n3074);
U4223 : xor2 port map( a => n5339, b => n3028, outb => n3077);
U4224 : xor2 port map( a => n5340, b => n3031, outb => n3079);
U4225 : xor2 port map( a => n5341, b => n3034, outb => n336);
U4226 : xor2 port map( a => n5342, b => n3040, outb => n3085);
U4227 : xor2 port map( a => n5343, b => n3042, outb => n3088);
U4228 : xor2 port map( a => n5344, b => n3045, outb => n3091);
U4229 : xor2 port map( a => n5345, b => n3048, outb => n3094);
U4230 : xor2 port map( a => n5346, b => n3051, outb => n3097);
U4231 : xor2 port map( a => n5347, b => n3054, outb => n3100);
U4232 : xor2 port map( a => n5348, b => n3058, outb => n3106);
U4233 : xor2 port map( a => n5349, b => n3060, outb => n3109);
U4234 : xor2 port map( a => n5350, b => n3063, outb => n3112);
U4235 : xor2 port map( a => n5351, b => n3066, outb => n3115);
U4236 : xor2 port map( a => n5352, b => n3069, outb => n3118);
U4237 : xor2 port map( a => n5353, b => n3072, outb => n3121);
U4238 : xor2 port map( a => n5354, b => n3075, outb => n341);
U4239 : xor2 port map( a => n5355, b => n3081, outb => n4443);
U4240 : xor2 port map( a => n5356, b => n3083, outb => n4447);
U4241 : xor2 port map( a => n5357, b => n3086, outb => n4451);
U4242 : xor2 port map( a => n5358, b => n3089, outb => n4455);
U4243 : xor2 port map( a => n5359, b => n3092, outb => n4459);
U4244 : xor2 port map( a => n5360, b => n3095, outb => n4463);
U4245 : inv port map( inb => n3119, outb => n5253);
U4246 : xor2 port map( a => n5361, b => n3098, outb => n343);
U4247 : xor2 port map( a => n5362, b => n3119, outb => n347);
U4248 : xor2 port map( a => n4179, b => mult_125_G2_ab_2_14_port, outb =>
n2836);
U4249 : xor2 port map( a => n4180, b => n4181, outb => n5271);
U4250 : xor2 port map( a => n4182, b => n4183, outb => n5272);
U4251 : xor2 port map( a => n4184, b => n4185, outb => n5273);
U4252 : xor2 port map( a => n4186, b => n4187, outb => n5274);
U4253 : xor2 port map( a => n4188, b => n4189, outb => n5275);
U4254 : xor2 port map( a => mult_125_G2_ab_13_15_port, b =>
mult_125_G2_ab_14_14_port, outb => n5276);
U4255 : xor2 port map( a => n4190, b => n1275, outb => n2839);
U4256 : xor2 port map( a => mult_125_G2_ab_3_13_port, b => n1279, outb =>
n5277);
U4257 : xor2 port map( a => mult_125_G2_ab_4_13_port, b => n1281, outb =>
n2841);
U4258 : xor2 port map( a => mult_125_G2_ab_5_13_port, b => n1283, outb =>
n2844);
U4259 : xor2 port map( a => mult_125_G2_ab_6_13_port, b => n1285, outb =>
n2847);
U4260 : xor2 port map( a => n4197, b => n4195, outb => n2850);
U4261 : xor2 port map( a => mult_125_G2_ab_8_13_port, b => n1289, outb =>
n2853);
U4262 : xor2 port map( a => n4200, b => n4198, outb => n2856);
U4263 : xor2 port map( a => mult_125_G2_ab_10_13_port, b => n1293, outb =>
n2859);
U4264 : xor2 port map( a => n4203, b => n4201, outb => n2862);
U4265 : xor2 port map( a => mult_125_G2_ab_12_13_port, b => n1297, outb =>
n2865);
U4266 : xor2 port map( a => n4206, b => n4204, outb => n2868);
U4267 : xor2 port map( a => mult_125_G2_ab_14_13_port, b => n1301, outb =>
n2871);
U4268 : xor2 port map( a => mult_125_G2_ab_15_13_port, b => n4207, outb =>
n2873);
U4269 : xor2 port map( a => n1306, b => mult_125_G2_ab_2_12_port, outb =>
n2877);
U4270 : xor2 port map( a => n4211, b => n4209, outb => n5278);
U4271 : xor2 port map( a => mult_125_G2_ab_4_12_port, b => n1310, outb =>
n2879);
U4272 : xor2 port map( a => mult_125_G2_ab_5_12_port, b => n4212, outb =>
n5279);
U4273 : xor2 port map( a => n4216, b => n4214, outb => n5280);
U4274 : xor2 port map( a => mult_125_G2_ab_7_12_port, b => n1316, outb =>
n5281);
U4275 : xor2 port map( a => n4219, b => n4217, outb => n5282);
U4276 : xor2 port map( a => mult_125_G2_ab_9_12_port, b => n1320, outb =>
n5283);
U4277 : xor2 port map( a => n4222, b => n4220, outb => n5284);
U4278 : xor2 port map( a => mult_125_G2_ab_11_12_port, b => n1324, outb =>
n5285);
U4279 : xor2 port map( a => n4225, b => n4223, outb => n5286);
U4280 : xor2 port map( a => mult_125_G2_ab_13_12_port, b => n1328, outb =>
n5287);
U4281 : xor2 port map( a => n4228, b => n4226, outb => n5288);
U4282 : xor2 port map( a => n5363, b => n1332, outb => n5289);
U4283 : xor2 port map( a => n1335, b => mult_125_G2_ab_2_11_port, outb =>
n2883);
U4284 : xor2 port map( a => mult_125_G2_ab_3_11_port, b => n1338, outb =>
n5290);
U4285 : xor2 port map( a => n4232, b => n4231, outb => n2885);
U4286 : xor2 port map( a => mult_125_G2_ab_5_11_port, b => n1342, outb =>
n5291);
U4287 : xor2 port map( a => mult_125_G2_ab_6_11_port, b => n1344, outb =>
n2888);
U4288 : xor2 port map( a => n4237, b => n4236, outb => n2891);
U4289 : xor2 port map( a => mult_125_G2_ab_8_11_port, b => n1348, outb =>
n2894);
U4290 : xor2 port map( a => n4240, b => n4238, outb => n2897);
U4291 : xor2 port map( a => mult_125_G2_ab_10_11_port, b => n1352, outb =>
n2900);
U4292 : xor2 port map( a => n4243, b => n4241, outb => n2903);
U4293 : xor2 port map( a => mult_125_G2_ab_12_11_port, b => n1356, outb =>
n2906);
U4294 : xor2 port map( a => n4246, b => n4244, outb => n2909);
U4295 : xor2 port map( a => mult_125_G2_ab_14_11_port, b => n1360, outb =>
n2912);
U4296 : xor2 port map( a => n1362, b => n1363, outb => n2914);
U4297 : xor2 port map( a => n1366, b => mult_125_G2_ab_2_10_port, outb =>
n2918);
U4298 : xor2 port map( a => n4250, b => n4248, outb => n5292);
U4299 : xor2 port map( a => mult_125_G2_ab_4_10_port, b => n1370, outb =>
n2920);
U4300 : xor2 port map( a => n1372, b => n1373, outb => n5293);
U4301 : xor2 port map( a => mult_125_G2_ab_6_10_port, b => n1375, outb =>
n2923);
U4302 : xor2 port map( a => mult_125_G2_ab_7_10_port, b => n1377, outb =>
n5294);
U4303 : xor2 port map( a => n4256, b => n4257, outb => n5295);
U4304 : xor2 port map( a => mult_125_G2_ab_9_10_port, b => n1381, outb =>
n5296);
U4305 : xor2 port map( a => n4260, b => n4258, outb => n5297);
U4306 : xor2 port map( a => mult_125_G2_ab_11_10_port, b => n1385, outb =>
n5298);
U4307 : xor2 port map( a => n4263, b => n4261, outb => n5299);
U4308 : xor2 port map( a => mult_125_G2_ab_13_10_port, b => n1389, outb =>
n5300);
U4309 : xor2 port map( a => n4266, b => n4264, outb => n5301);
U4310 : xor2 port map( a => n5364, b => n1393, outb => n5302);
U4311 : xor2 port map( a => n1396, b => mult_125_G2_ab_2_9_port, outb =>
n2927);
U4312 : xor2 port map( a => n4269, b => n4267, outb => n5303);
U4313 : xor2 port map( a => mult_125_G2_ab_4_9_port, b => n1400, outb =>
n2929);
U4314 : xor2 port map( a => mult_125_G2_ab_5_9_port, b => n1402, outb =>
n5304);
U4315 : xor2 port map( a => mult_125_G2_ab_6_9_port, b => n1404, outb =>
n2932);
U4316 : xor2 port map( a => mult_125_G2_ab_7_9_port, b => n1406, outb =>
n5305);
U4317 : xor2 port map( a => n4277, b => n4275, outb => n2935);
U4318 : xor2 port map( a => n4279, b => n4278, outb => n2938);
U4319 : xor2 port map( a => mult_125_G2_ab_10_9_port, b => n1412, outb =>
n2941);
U4320 : xor2 port map( a => n4282, b => n4280, outb => n2944);
U4321 : xor2 port map( a => mult_125_G2_ab_12_9_port, b => n1416, outb =>
n2947);
U4322 : xor2 port map( a => n4285, b => n4283, outb => n2950);
U4323 : xor2 port map( a => mult_125_G2_ab_14_9_port, b => n1420, outb =>
n2953);
U4324 : xor2 port map( a => n1422, b => n1423, outb => n2955);
U4325 : xor2 port map( a => n1426, b => mult_125_G2_ab_2_8_port, outb =>
n2959);
U4326 : xor2 port map( a => n4289, b => n4287, outb => n5306);
U4327 : xor2 port map( a => n4291, b => n1430, outb => n2961);
U4328 : xor2 port map( a => n4293, b => n4292, outb => n5307);
U4329 : xor2 port map( a => mult_125_G2_ab_6_8_port, b => n1434, outb =>
n2964);
U4330 : xor2 port map( a => n4296, b => n4294, outb => n5308);
U4331 : xor2 port map( a => mult_125_G2_ab_8_8_port, b => n1438, outb =>
n2967);
U4332 : xor2 port map( a => mult_125_G2_ab_9_8_port, b => n1440, outb =>
n5309);
U4333 : xor2 port map( a => n4300, b => n4301, outb => n5310);
U4334 : xor2 port map( a => mult_125_G2_ab_11_8_port, b => n1444, outb =>
n5311);
U4335 : xor2 port map( a => n4304, b => n4302, outb => n5312);
U4336 : xor2 port map( a => mult_125_G2_ab_13_8_port, b => n1448, outb =>
n5313);
U4337 : xor2 port map( a => n4307, b => n4305, outb => n5314);
U4338 : xor2 port map( a => n5365, b => n1452, outb => n5315);
U4339 : xor2 port map( a => n1455, b => mult_125_G2_ab_2_7_port, outb =>
n2971);
U4340 : xor2 port map( a => mult_125_G2_ab_3_7_port, b => n1458, outb =>
n5316);
U4341 : xor2 port map( a => n4311, b => n4310, outb => n2973);
U4342 : xor2 port map( a => mult_125_G2_ab_5_7_port, b => n1462, outb =>
n5317);
U4343 : xor2 port map( a => n4314, b => n4312, outb => n2976);
U4344 : xor2 port map( a => mult_125_G2_ab_7_7_port, b => n1466, outb =>
n5318);
U4345 : xor2 port map( a => n4317, b => n4315, outb => n2979);
U4346 : xor2 port map( a => mult_125_G2_ab_9_7_port, b => n1470, outb =>
n5319);
U4347 : xor2 port map( a => n4320, b => n4318, outb => n2982);
U4348 : xor2 port map( a => n4322, b => n4321, outb => n2985);
U4349 : xor2 port map( a => mult_125_G2_ab_12_7_port, b => n1476, outb =>
n2988);
U4350 : xor2 port map( a => n4325, b => n4323, outb => n2991);
U4351 : xor2 port map( a => mult_125_G2_ab_14_7_port, b => n1480, outb =>
n2994);
U4352 : xor2 port map( a => n1482, b => n1483, outb => n2996);
U4353 : xor2 port map( a => n1486, b => mult_125_G2_ab_2_6_port, outb =>
n3000);
U4354 : xor2 port map( a => n4329, b => n4327, outb => n5320);
U4355 : xor2 port map( a => mult_125_G2_ab_4_6_port, b => n1490, outb =>
n3002);
U4356 : xor2 port map( a => n1492, b => n1493, outb => n5321);
U4357 : xor2 port map( a => mult_125_G2_ab_6_6_port, b => n1495, outb =>
n3005);
U4358 : xor2 port map( a => n4334, b => n4332, outb => n5322);
U4359 : xor2 port map( a => mult_125_G2_ab_8_6_port, b => n1499, outb =>
n3008);
U4360 : xor2 port map( a => n1501, b => n1502, outb => n5323);
U4361 : xor2 port map( a => mult_125_G2_ab_10_6_port, b => n1504, outb =>
n3011);
U4362 : xor2 port map( a => mult_125_G2_ab_11_6_port, b => n1506, outb =>
n5324);
U4363 : xor2 port map( a => n4340, b => n4341, outb => n5325);
U4364 : xor2 port map( a => mult_125_G2_ab_13_6_port, b => n1510, outb =>
n5326);
U4365 : xor2 port map( a => n4344, b => n4342, outb => n5327);
U4366 : xor2 port map( a => n5366, b => n1514, outb => n5328);
U4367 : xor2 port map( a => n1517, b => mult_125_G2_ab_2_5_port, outb =>
n3015);
U4368 : xor2 port map( a => mult_125_G2_ab_3_5_port, b => n1520, outb =>
n5329);
U4369 : xor2 port map( a => mult_125_G2_ab_4_5_port, b => n1522, outb =>
n3017);
U4370 : xor2 port map( a => mult_125_G2_ab_5_5_port, b => n1524, outb =>
n5330);
U4371 : xor2 port map( a => n1526, b => n1527, outb => n3020);
U4372 : xor2 port map( a => mult_125_G2_ab_7_5_port, b => n1529, outb =>
n5331);
U4373 : xor2 port map( a => mult_125_G2_ab_8_5_port, b => n1531, outb =>
n3023);
U4374 : xor2 port map( a => mult_125_G2_ab_9_5_port, b => n1533, outb =>
n5332);
U4375 : xor2 port map( a => n4356, b => n4354, outb => n3026);
U4376 : xor2 port map( a => mult_125_G2_ab_11_5_port, b => n1537, outb =>
n5333);
U4377 : xor2 port map( a => n4359, b => n4357, outb => n3029);
U4378 : xor2 port map( a => n4361, b => n1541, outb => n3032);
U4379 : xor2 port map( a => mult_125_G2_ab_14_5_port, b => n1543, outb =>
n3035);
U4380 : xor2 port map( a => n1545, b => n1546, outb => n3037);
U4381 : xor2 port map( a => n1549, b => mult_125_G2_ab_2_4_port, outb =>
n3041);
U4382 : xor2 port map( a => n4365, b => n4363, outb => n5334);
U4383 : xor2 port map( a => mult_125_G2_ab_4_4_port, b => n1553, outb =>
n3043);
U4384 : xor2 port map( a => n1555, b => n1556, outb => n5335);
U4385 : xor2 port map( a => mult_125_G2_ab_6_4_port, b => n1558, outb =>
n3046);
U4386 : xor2 port map( a => n1560, b => n1561, outb => n5336);
U4387 : xor2 port map( a => mult_125_G2_ab_8_4_port, b => n1563, outb =>
n3049);
U4388 : xor2 port map( a => mult_125_G2_ab_9_4_port, b => n1565, outb =>
n5337);
U4389 : xor2 port map( a => mult_125_G2_ab_10_4_port, b => n1567, outb =>
n3052);
U4390 : xor2 port map( a => n1569, b => n1570, outb => n5338);
U4391 : xor2 port map( a => mult_125_G2_ab_12_4_port, b => n1572, outb =>
n3055);
U4392 : xor2 port map( a => mult_125_G2_ab_13_4_port, b => n1574, outb =>
n5339);
U4393 : xor2 port map( a => mult_125_G2_ab_14_4_port, b => n1576, outb =>
n5340);
U4394 : xor2 port map( a => mult_125_G2_ab_15_4_port, b => n1579, outb =>
n5341);
U4395 : xor2 port map( a => n1582, b => mult_125_G2_ab_2_3_port, outb =>
n3059);
U4396 : xor2 port map( a => mult_125_G2_ab_3_3_port, b => n1585, outb =>
n5342);
U4397 : xor2 port map( a => mult_125_G2_ab_4_3_port, b => n1587, outb =>
n3061);
U4398 : xor2 port map( a => mult_125_G2_ab_5_3_port, b => n1589, outb =>
n5343);
U4399 : xor2 port map( a => mult_125_G2_ab_6_3_port, b => n1591, outb =>
n3064);
U4400 : xor2 port map( a => mult_125_G2_ab_7_3_port, b => n1593, outb =>
n5344);
U4401 : xor2 port map( a => mult_125_G2_ab_8_3_port, b => n1595, outb =>
n3067);
U4402 : xor2 port map( a => mult_125_G2_ab_9_3_port, b => n1597, outb =>
n5345);
U4403 : xor2 port map( a => mult_125_G2_ab_10_3_port, b => n1599, outb =>
n3070);
U4404 : xor2 port map( a => mult_125_G2_ab_11_3_port, b => n1601, outb =>
n5346);
U4405 : xor2 port map( a => n4394, b => n4392, outb => n3073);
U4406 : xor2 port map( a => mult_125_G2_ab_13_3_port, b => n1605, outb =>
n5347);
U4407 : xor2 port map( a => mult_125_G2_ab_14_3_port, b => n1607, outb =>
n3076);
U4408 : xor2 port map( a => mult_125_G2_ab_15_3_port, b => n1609, outb =>
n3078);
U4409 : xor2 port map( a => n1612, b => mult_125_G2_ab_2_2_port, outb =>
n3082);
U4410 : xor2 port map( a => n4400, b => n4398, outb => n5348);
U4411 : xor2 port map( a => mult_125_G2_ab_4_2_port, b => n1616, outb =>
n3084);
U4412 : xor2 port map( a => mult_125_G2_ab_5_2_port, b => n1618, outb =>
n5349);
U4413 : xor2 port map( a => mult_125_G2_ab_6_2_port, b => n1620, outb =>
n3087);
U4414 : xor2 port map( a => n1622, b => n1623, outb => n5350);
U4415 : xor2 port map( a => mult_125_G2_ab_8_2_port, b => n1625, outb =>
n3090);
U4416 : xor2 port map( a => mult_125_G2_ab_9_2_port, b => n1627, outb =>
n5351);
U4417 : xor2 port map( a => mult_125_G2_ab_10_2_port, b => n1629, outb =>
n3093);
U4418 : xor2 port map( a => mult_125_G2_ab_11_2_port, b => n1631, outb =>
n5352);
U4419 : xor2 port map( a => mult_125_G2_ab_12_2_port, b => n1633, outb =>
n3096);
U4420 : xor2 port map( a => mult_125_G2_ab_13_2_port, b => n1635, outb =>
n5353);
U4421 : xor2 port map( a => mult_125_G2_ab_14_2_port, b => n1637, outb =>
n3099);
U4422 : xor2 port map( a => n5250, b => n4415, outb => n5354);
U4423 : xor2 port map( a => n4418, b => mult_125_G2_ab_2_1_port, outb =>
n3103);
U4424 : xor2 port map( a => n4419, b => n4417, outb => n5355);
U4425 : xor2 port map( a => mult_125_G2_ab_4_1_port, b => n4421, outb =>
n3105);
U4426 : xor2 port map( a => mult_125_G2_ab_5_1_port, b => n1648, outb =>
n5367);
U4427 : xor2 port map( a => n4424, b => n1650, outb => n3108);
U4428 : xor2 port map( a => n5368, b => n1652, outb => n5357);
U4429 : xor2 port map( a => mult_125_G2_ab_8_1_port, b => n4425, outb =>
n3111);
U4430 : xor2 port map( a => n5369, b => n1656, outb => n5358);
U4431 : xor2 port map( a => n4430, b => n1658, outb => n3114);
U4432 : xor2 port map( a => n5370, b => n1660, outb => n5359);
U4433 : xor2 port map( a => n4433, b => n1662, outb => n3117);
U4434 : xor2 port map( a => n5371, b => n1664, outb => n5360);
U4435 : xor2 port map( a => n4436, b => n4434, outb => n3120);
U4436 : xor2 port map( a => n5372, b => n1668, outb => n5361);
U4437 : xor2 port map( a => mult_125_G2_ab_15_0_port, b => n1696, outb =>
n5362);
U4438 : xor2 port map( a => mult_125_G2_ab_11_0_port, b => n1688, outb =>
n3122);
U4439 : xor2 port map( a => mult_125_G2_ab_9_0_port, b => n1684, outb =>
n3123);
U4440 : xor2 port map( a => mult_125_G2_ab_7_0_port, b => n1680, outb =>
n3124);
U4441 : xor2 port map( a => mult_125_G2_ab_5_0_port, b => n1676, outb =>
n3125);
U4442 : xor2 port map( a => mult_125_G2_ab_3_0_port, b => n1672, outb =>
n3126);
U4443 : xor2 port map( a => n344, b => mult_125_G2_ZA, outb => n3127);
U4444 : xor2 port map( a => mult_125_G2_ab_13_0_port, b => n1692, outb =>
n3128);
U4445 : xor2 port map( a => n5270, b => adder_mem_array_2_32_port, outb =>
n3485);
U4446 : xor2 port map( a => n4808, b => adder_mem_array_2_30_port, outb =>
n3487);
U4447 : xor2 port map( a => n4806, b => adder_mem_array_2_28_port, outb =>
n3491);
U4448 : xor2 port map( a => n4804, b => adder_mem_array_2_26_port, outb =>
n3495);
U4449 : xor2 port map( a => n4802, b => adder_mem_array_2_24_port, outb =>
n3499);
U4450 : xor2 port map( a => n4800, b => adder_mem_array_2_22_port, outb =>
n3503);
U4451 : xor2 port map( a => n4798, b => adder_mem_array_2_20_port, outb =>
n3507);
U4452 : xor2 port map( a => n4796, b => adder_mem_array_2_18_port, outb =>
n3511);
U4453 : xor2 port map( a => n4794, b => adder_mem_array_2_16_port, outb =>
n3515);
U4454 : xor2 port map( a => n4792, b => adder_mem_array_2_14_port, outb =>
n3519);
U4455 : xor2 port map( a => n4790, b => adder_mem_array_2_12_port, outb =>
n3523);
U4456 : xor2 port map( a => n4788, b => adder_mem_array_2_10_port, outb =>
n3527);
U4457 : xor2 port map( a => n4786, b => adder_mem_array_2_8_port, outb =>
n3531);
U4458 : xor2 port map( a => n4784, b => adder_mem_array_2_6_port, outb =>
n3535);
U4459 : xor2 port map( a => n4782, b => adder_mem_array_2_4_port, outb =>
n3539);
U4460 : xor2 port map( a => n4780, b => adder_mem_array_2_2_port, outb =>
n3543);
U4461 : oai22 port map( a => n5374, b => n5375, c => n1698, d => n4464, outb
=> n5373);
U4462 : aoi22 port map( a => mult_125_ab_2_15_port, b =>
mult_125_ab_3_14_port, c => n5373, d => n5377, outb
=> n5376);
U4463 : oai22 port map( a => n4465, b => n4466, c => n5376, d => n1700, outb
=> n5378);
U4464 : aoi22 port map( a => mult_125_ab_4_15_port, b =>
mult_125_ab_5_14_port, c => n5378, d => n5380, outb
=> n5379);
U4465 : oai22 port map( a => n4467, b => n4468, c => n5379, d => n1702, outb
=> n5381);
U4466 : aoi22 port map( a => mult_125_ab_6_15_port, b =>
mult_125_ab_7_14_port, c => n5381, d => n5383, outb
=> n5382);
U4467 : oai22 port map( a => n4469, b => n4470, c => n5382, d => n1704, outb
=> n5384);
U4468 : aoi22 port map( a => mult_125_ab_8_15_port, b =>
mult_125_ab_9_14_port, c => n5384, d => n5386, outb
=> n5385);
U4469 : oai22 port map( a => n4471, b => n4472, c => n5385, d => n1706, outb
=> n5387);
U4470 : aoi22 port map( a => mult_125_ab_10_15_port, b =>
mult_125_ab_11_14_port, c => n5387, d => n5389, outb
=> n5388);
U4471 : oai22 port map( a => n4473, b => n4474, c => n5388, d => n1708, outb
=> n5390);
U4472 : aoi22 port map( a => mult_125_ab_12_15_port, b =>
mult_125_ab_13_14_port, c => n5390, d => n5392, outb
=> n5391);
U4473 : aoi22 port map( a => mult_125_ab_13_15_port, b =>
mult_125_ab_14_14_port, c => n5394, d => n5395, outb
=> n5393);
U4474 : aoi22 port map( a => mult_125_ab_14_15_port, b =>
mult_125_ab_15_14_port, c => n5396, d => n5397, outb
=> n277);
U4475 : inv port map( inb => n4492, outb => n1741);
U4476 : aoi22 port map( a => n1741, b => mult_125_ab_15_13_port, c => n5398,
d => n3171, outb => n279);
U4477 : aoi22 port map( a => n1770, b => mult_125_ab_15_12_port, c => n5399,
d => n3167, outb => n281);
U4478 : oai22 port map( a => n1801, b => n1800, c => n5401, d => n3212, outb
=> n5400);
U4479 : inv port map( inb => n1817, outb => n4542);
U4480 : aoi22 port map( a => n1831, b => mult_125_ab_15_10_port, c => n5402,
d => n3208, outb => n285);
U4481 : oai22 port map( a => n1861, b => n1860, c => n5404, d => n3253, outb
=> n5403);
U4482 : inv port map( inb => n1880, outb => n4586);
U4483 : aoi22 port map( a => n1890, b => mult_125_ab_15_8_port, c => n5405,
d => n3249, outb => n289);
U4484 : oai22 port map( a => n1921, b => n1920, c => n5407, d => n3294, outb
=> n5406);
U4485 : inv port map( inb => n1946, outb => n4626);
U4486 : aoi22 port map( a => n1952, b => mult_125_ab_15_6_port, c => n5408,
d => n3290, outb => n293);
U4487 : oai22 port map( a => n1984, b => n1983, c => n5410, d => n3335, outb
=> n5409);
U4488 : aoi22 port map( a => n5411, b => mult_125_ab_15_4_port, c => n2015,
d => n3331, outb => n297);
U4489 : aoi22 port map( a => n2047, b => mult_125_ab_15_3_port, c => n5412,
d => n3376, outb => n299);
U4490 : oai22 port map( a => n4700, b => n5413, c => n2076, d => n3372, outb
=> n302);
U4491 : aoi22 port map( a => n2106, b => mult_125_ab_15_1_port, c => n5414,
d => n3395, outb => n304);
U4492 : aoi22 port map( a => n2134, b => mult_125_ab_15_0_port, c => n5415,
d => n5416, outb => n306);
U4493 : aoi22 port map( a => adder_mem_array_1_1_port, b => n2164, c =>
n5417, d => n3447, outb => n3471);
U4494 : oai22 port map( a => n4777, b => n4778, c => n3471, d => n2165, outb
=> n3448);
U4495 : aoi22 port map( a => adder_mem_array_1_3_port, b =>
multiplier_sigs_0_3_port, c => n3448, d => n5418,
outb => n3608);
U4496 : oai22 port map( a => n4810, b => n4811, c => n3608, d => n2201, outb
=> n3597);
U4497 : aoi22 port map( a => multiplier_sigs_0_5_port, b =>
adder_mem_array_1_5_port, c => n3597, d => n5419,
outb => n3596);
U4498 : oai22 port map( a => n4812, b => n4813, c => n3596, d => n2203, outb
=> n3593);
U4499 : aoi22 port map( a => multiplier_sigs_0_7_port, b =>
adder_mem_array_1_7_port, c => n3593, d => n5420,
outb => n3592);
U4500 : oai22 port map( a => n4814, b => n4815, c => n3592, d => n2205, outb
=> n3589);
U4501 : aoi22 port map( a => multiplier_sigs_0_9_port, b =>
adder_mem_array_1_9_port, c => n3589, d => n5421,
outb => n3588);
U4502 : oai22 port map( a => n4816, b => n4817, c => n3588, d => n2207, outb
=> n3585);
U4503 : aoi22 port map( a => multiplier_sigs_0_11_port, b =>
adder_mem_array_1_11_port, c => n3585, d => n5422,
outb => n3584);
U4504 : oai22 port map( a => n4818, b => n4819, c => n3584, d => n2209, outb
=> n3581);
U4505 : aoi22 port map( a => multiplier_sigs_0_13_port, b =>
adder_mem_array_1_13_port, c => n3581, d => n5423,
outb => n3580);
U4506 : oai22 port map( a => n4820, b => n4821, c => n3580, d => n2211, outb
=> n3577);
U4507 : aoi22 port map( a => multiplier_sigs_0_15_port, b =>
adder_mem_array_1_15_port, c => n3577, d => n5424,
outb => n3576);
U4508 : oai22 port map( a => n4822, b => n4823, c => n3576, d => n2213, outb
=> n3573);
U4509 : aoi22 port map( a => multiplier_sigs_0_17_port, b =>
adder_mem_array_1_17_port, c => n3573, d => n5425,
outb => n3572);
U4510 : oai22 port map( a => n4824, b => n4825, c => n3572, d => n2215, outb
=> n3569);
U4511 : aoi22 port map( a => multiplier_sigs_0_19_port, b =>
adder_mem_array_1_19_port, c => n3569, d => n5426,
outb => n3568);
U4512 : oai22 port map( a => n4826, b => n4827, c => n3568, d => n2217, outb
=> n3565);
U4513 : aoi22 port map( a => multiplier_sigs_0_21_port, b =>
adder_mem_array_1_21_port, c => n3565, d => n5427,
outb => n3564);
U4514 : oai22 port map( a => n4828, b => n4829, c => n3564, d => n2219, outb
=> n3561);
U4515 : aoi22 port map( a => multiplier_sigs_0_23_port, b =>
adder_mem_array_1_23_port, c => n3561, d => n5428,
outb => n3560);
U4516 : oai22 port map( a => n4830, b => n4831, c => n3560, d => n2221, outb
=> n3557);
U4517 : aoi22 port map( a => multiplier_sigs_0_25_port, b =>
adder_mem_array_1_25_port, c => n3557, d => n5429,
outb => n3556);
U4518 : oai22 port map( a => n4832, b => n4833, c => n3556, d => n2223, outb
=> n3553);
U4519 : aoi22 port map( a => multiplier_sigs_0_27_port, b =>
adder_mem_array_1_27_port, c => n3553, d => n5430,
outb => n3552);
U4520 : oai22 port map( a => n4834, b => n4835, c => n3552, d => n2225, outb
=> n3549);
U4521 : aoi22 port map( a => multiplier_sigs_0_29_port, b =>
adder_mem_array_1_29_port, c => n3549, d => n5431,
outb => n3548);
U4522 : oai22 port map( a => n4836, b => n4837, c => n3548, d => n2227, outb
=> n2230);
U4523 : nand2 port map( a => n5432, b => n5433, outb => n2229);
U4524 : xor2 port map( a => n5373, b => n4894, outb => n3139);
U4525 : xor2 port map( a => n5434, b => n5376, outb => n3142);
U4526 : xor2 port map( a => n5378, b => n4895, outb => n3145);
U4527 : xor2 port map( a => n5435, b => n5379, outb => n3148);
U4528 : xor2 port map( a => n5381, b => n4896, outb => n3151);
U4529 : xor2 port map( a => n5436, b => n5382, outb => n3154);
U4530 : xor2 port map( a => n5384, b => n4897, outb => n3157);
U4531 : xor2 port map( a => n5437, b => n5385, outb => n3160);
U4532 : xor2 port map( a => n5387, b => n4898, outb => n3163);
U4533 : xor2 port map( a => n5438, b => n5388, outb => n3166);
U4534 : xor2 port map( a => n5390, b => n4899, outb => n3169);
U4535 : xor2 port map( a => n5439, b => n5394, outb => n3171);
U4536 : xor2 port map( a => n5393, b => n4900, outb => n280);
U4537 : xor2 port map( a => n5440, b => n3132, outb => n3177);
U4538 : xor2 port map( a => n5441, b => n3135, outb => n3183);
U4539 : xor2 port map( a => n5442, b => n3137, outb => n3186);
U4540 : xor2 port map( a => n5443, b => n3140, outb => n3189);
U4541 : xor2 port map( a => n5444, b => n3143, outb => n3192);
U4542 : xor2 port map( a => n5445, b => n3146, outb => n3195);
U4543 : xor2 port map( a => n5446, b => n3149, outb => n3198);
U4544 : xor2 port map( a => n5447, b => n3152, outb => n3201);
U4545 : xor2 port map( a => n5448, b => n3155, outb => n3204);
U4546 : xor2 port map( a => n5449, b => n3158, outb => n3207);
U4547 : xor2 port map( a => n5450, b => n3161, outb => n3210);
U4548 : xor2 port map( a => n5451, b => n3164, outb => n3212);
U4549 : xor2 port map( a => n5452, b => n3167, outb => n284);
U4550 : xor2 port map( a => n5453, b => n3173, outb => n3218);
U4551 : xor2 port map( a => n5454, b => n3175, outb => n3221);
U4552 : xor2 port map( a => n5455, b => n3179, outb => n3227);
U4553 : xor2 port map( a => n5456, b => n3181, outb => n3230);
U4554 : xor2 port map( a => n5457, b => n3184, outb => n3233);
U4555 : xor2 port map( a => n5458, b => n3187, outb => n3236);
U4556 : xor2 port map( a => n5459, b => n3190, outb => n3239);
U4557 : xor2 port map( a => n5460, b => n3193, outb => n3242);
U4558 : xor2 port map( a => n5461, b => n3196, outb => n3245);
U4559 : xor2 port map( a => n5462, b => n3199, outb => n3248);
U4560 : xor2 port map( a => n5463, b => n3202, outb => n3251);
U4561 : xor2 port map( a => n5464, b => n3205, outb => n3253);
U4562 : xor2 port map( a => n5465, b => n3208, outb => n288);
U4563 : xor2 port map( a => n5466, b => n3214, outb => n3259);
U4564 : xor2 port map( a => n5467, b => n3216, outb => n3262);
U4565 : xor2 port map( a => n5468, b => n3219, outb => n3265);
U4566 : xor2 port map( a => n5469, b => n3223, outb => n3271);
U4567 : xor2 port map( a => n5470, b => n3225, outb => n3274);
U4568 : xor2 port map( a => n5471, b => n3228, outb => n3277);
U4569 : xor2 port map( a => n5472, b => n3231, outb => n3280);
U4570 : xor2 port map( a => n5473, b => n3234, outb => n3283);
U4571 : xor2 port map( a => n5474, b => n3237, outb => n3286);
U4572 : xor2 port map( a => n5475, b => n3240, outb => n3289);
U4573 : xor2 port map( a => n5476, b => n3243, outb => n3292);
U4574 : xor2 port map( a => n5477, b => n3246, outb => n3294);
U4575 : xor2 port map( a => n5478, b => n3249, outb => n292);
U4576 : xor2 port map( a => n5479, b => n3255, outb => n3300);
U4577 : xor2 port map( a => n5480, b => n3257, outb => n3303);
U4578 : xor2 port map( a => n5481, b => n3260, outb => n3306);
U4579 : xor2 port map( a => n5482, b => n3263, outb => n3309);
U4580 : xor2 port map( a => n5483, b => n3267, outb => n3315);
U4581 : xor2 port map( a => n5484, b => n3269, outb => n3318);
U4582 : xor2 port map( a => n5485, b => n3272, outb => n3321);
U4583 : xor2 port map( a => n5486, b => n3275, outb => n3324);
U4584 : xor2 port map( a => n5487, b => n3278, outb => n3327);
U4585 : xor2 port map( a => n5488, b => n3281, outb => n3330);
U4586 : xor2 port map( a => n5489, b => n3284, outb => n3333);
U4587 : xor2 port map( a => n5490, b => n3287, outb => n3335);
U4588 : xor2 port map( a => n5491, b => n3290, outb => n296);
U4589 : xor2 port map( a => n5492, b => n3296, outb => n3341);
U4590 : xor2 port map( a => n5493, b => n3298, outb => n3344);
U4591 : xor2 port map( a => n5494, b => n3301, outb => n3347);
U4592 : xor2 port map( a => n5495, b => n3304, outb => n3350);
U4593 : xor2 port map( a => n5496, b => n3307, outb => n3353);
U4594 : xor2 port map( a => n5497, b => n3311, outb => n3359);
U4595 : xor2 port map( a => n5498, b => n3313, outb => n3362);
U4596 : xor2 port map( a => n5499, b => n3316, outb => n3365);
U4597 : xor2 port map( a => n5500, b => n3319, outb => n3368);
U4598 : xor2 port map( a => n5501, b => n3322, outb => n3371);
U4599 : xor2 port map( a => n5502, b => n3325, outb => n3374);
U4600 : xor2 port map( a => n5503, b => n3328, outb => n3376);
U4601 : xor2 port map( a => n5504, b => n3331, outb => n300);
U4602 : xor2 port map( a => n5505, b => n3337, outb => n3382);
U4603 : xor2 port map( a => n5506, b => n3339, outb => n3385);
U4604 : xor2 port map( a => n5507, b => n3342, outb => n3388);
U4605 : xor2 port map( a => n5508, b => n3345, outb => n3391);
U4606 : xor2 port map( a => n5509, b => n3348, outb => n3394);
U4607 : xor2 port map( a => n5510, b => n3351, outb => n3397);
U4608 : xor2 port map( a => n5511, b => n3355, outb => n3403);
U4609 : xor2 port map( a => n5512, b => n3357, outb => n3406);
U4610 : xor2 port map( a => n5513, b => n3360, outb => n3409);
U4611 : xor2 port map( a => n5514, b => n3363, outb => n3412);
U4612 : xor2 port map( a => n5515, b => n3366, outb => n3415);
U4613 : xor2 port map( a => n5516, b => n3369, outb => n3418);
U4614 : xor2 port map( a => n5517, b => n3372, outb => n305);
U4615 : xor2 port map( a => n5518, b => n3378, outb => n4728);
U4616 : xor2 port map( a => n5519, b => n3380, outb => n4732);
U4617 : xor2 port map( a => n5520, b => n3383, outb => n4736);
U4618 : xor2 port map( a => n5521, b => n3386, outb => n4740);
U4619 : xor2 port map( a => n5522, b => n3389, outb => n4744);
U4620 : xor2 port map( a => n5523, b => n3392, outb => n4748);
U4621 : inv port map( inb => n3416, outb => n5416);
U4622 : xor2 port map( a => n5524, b => n3395, outb => n307);
U4623 : xor2 port map( a => n5525, b => n3416, outb => n311);
U4624 : xor2 port map( a => n4464, b => mult_125_ab_2_14_port, outb => n3133
);
U4625 : xor2 port map( a => n4465, b => n4466, outb => n5434);
U4626 : xor2 port map( a => n4467, b => n4468, outb => n5435);
U4627 : xor2 port map( a => n4469, b => n4470, outb => n5436);
U4628 : xor2 port map( a => n4471, b => n4472, outb => n5437);
U4629 : xor2 port map( a => n4473, b => n4474, outb => n5438);
U4630 : xor2 port map( a => mult_125_ab_13_15_port, b =>
mult_125_ab_14_14_port, outb => n5439);
U4631 : xor2 port map( a => n4475, b => n1713, outb => n3136);
U4632 : xor2 port map( a => mult_125_ab_3_13_port, b => n1717, outb => n5440
);
U4633 : xor2 port map( a => mult_125_ab_4_13_port, b => n1719, outb => n3138
);
U4634 : xor2 port map( a => mult_125_ab_5_13_port, b => n1721, outb => n3141
);
U4635 : xor2 port map( a => mult_125_ab_6_13_port, b => n1723, outb => n3144
);
U4636 : xor2 port map( a => n4482, b => n4480, outb => n3147);
U4637 : xor2 port map( a => mult_125_ab_8_13_port, b => n1727, outb => n3150
);
U4638 : xor2 port map( a => n4485, b => n4483, outb => n3153);
U4639 : xor2 port map( a => mult_125_ab_10_13_port, b => n1731, outb =>
n3156);
U4640 : xor2 port map( a => n4488, b => n4486, outb => n3159);
U4641 : xor2 port map( a => mult_125_ab_12_13_port, b => n1735, outb =>
n3162);
U4642 : xor2 port map( a => n4491, b => n4489, outb => n3165);
U4643 : xor2 port map( a => mult_125_ab_14_13_port, b => n1739, outb =>
n3168);
U4644 : xor2 port map( a => mult_125_ab_15_13_port, b => n4492, outb =>
n3170);
U4645 : xor2 port map( a => n1744, b => mult_125_ab_2_12_port, outb => n3174
);
U4646 : xor2 port map( a => n4496, b => n4494, outb => n5441);
U4647 : xor2 port map( a => mult_125_ab_4_12_port, b => n1748, outb => n3176
);
U4648 : xor2 port map( a => mult_125_ab_5_12_port, b => n4497, outb => n5442
);
U4649 : xor2 port map( a => n4501, b => n4499, outb => n5443);
U4650 : xor2 port map( a => mult_125_ab_7_12_port, b => n1754, outb => n5444
);
U4651 : xor2 port map( a => n4504, b => n4502, outb => n5445);
U4652 : xor2 port map( a => mult_125_ab_9_12_port, b => n1758, outb => n5446
);
U4653 : xor2 port map( a => n4507, b => n4505, outb => n5447);
U4654 : xor2 port map( a => mult_125_ab_11_12_port, b => n1762, outb =>
n5448);
U4655 : xor2 port map( a => n4510, b => n4508, outb => n5449);
U4656 : xor2 port map( a => mult_125_ab_13_12_port, b => n1766, outb =>
n5450);
U4657 : xor2 port map( a => n4513, b => n4511, outb => n5451);
U4658 : xor2 port map( a => n5526, b => n1770, outb => n5452);
U4659 : xor2 port map( a => n1773, b => mult_125_ab_2_11_port, outb => n3180
);
U4660 : xor2 port map( a => mult_125_ab_3_11_port, b => n1776, outb => n5453
);
U4661 : xor2 port map( a => n4517, b => n4516, outb => n3182);
U4662 : xor2 port map( a => mult_125_ab_5_11_port, b => n1780, outb => n5454
);
U4663 : xor2 port map( a => mult_125_ab_6_11_port, b => n1782, outb => n3185
);
U4664 : xor2 port map( a => n4522, b => n4521, outb => n3188);
U4665 : xor2 port map( a => mult_125_ab_8_11_port, b => n1786, outb => n3191
);
U4666 : xor2 port map( a => n4525, b => n4523, outb => n3194);
U4667 : xor2 port map( a => mult_125_ab_10_11_port, b => n1790, outb =>
n3197);
U4668 : xor2 port map( a => n4528, b => n4526, outb => n3200);
U4669 : xor2 port map( a => mult_125_ab_12_11_port, b => n1794, outb =>
n3203);
U4670 : xor2 port map( a => n4531, b => n4529, outb => n3206);
U4671 : xor2 port map( a => mult_125_ab_14_11_port, b => n1798, outb =>
n3209);
U4672 : xor2 port map( a => n1800, b => n1801, outb => n3211);
U4673 : xor2 port map( a => n1804, b => mult_125_ab_2_10_port, outb => n3215
);
U4674 : xor2 port map( a => n4535, b => n4533, outb => n5455);
U4675 : xor2 port map( a => mult_125_ab_4_10_port, b => n1808, outb => n3217
);
U4676 : xor2 port map( a => n1810, b => n1811, outb => n5456);
U4677 : xor2 port map( a => mult_125_ab_6_10_port, b => n1813, outb => n3220
);
U4678 : xor2 port map( a => mult_125_ab_7_10_port, b => n1815, outb => n5457
);
U4679 : xor2 port map( a => n4541, b => n4542, outb => n5458);
U4680 : xor2 port map( a => mult_125_ab_9_10_port, b => n1819, outb => n5459
);
U4681 : xor2 port map( a => n4545, b => n4543, outb => n5460);
U4682 : xor2 port map( a => mult_125_ab_11_10_port, b => n1823, outb =>
n5461);
U4683 : xor2 port map( a => n4548, b => n4546, outb => n5462);
U4684 : xor2 port map( a => mult_125_ab_13_10_port, b => n1827, outb =>
n5463);
U4685 : xor2 port map( a => n4551, b => n4549, outb => n5464);
U4686 : xor2 port map( a => n5527, b => n1831, outb => n5465);
U4687 : xor2 port map( a => n1834, b => mult_125_ab_2_9_port, outb => n3224)
;
U4688 : xor2 port map( a => n4554, b => n4552, outb => n5466);
U4689 : xor2 port map( a => mult_125_ab_4_9_port, b => n1838, outb => n3226)
;
U4690 : xor2 port map( a => mult_125_ab_5_9_port, b => n1840, outb => n5467)
;
U4691 : xor2 port map( a => mult_125_ab_6_9_port, b => n1842, outb => n3229)
;
U4692 : xor2 port map( a => mult_125_ab_7_9_port, b => n1844, outb => n5468)
;
U4693 : xor2 port map( a => n4562, b => n4560, outb => n3232);
U4694 : xor2 port map( a => n4564, b => n4563, outb => n3235);
U4695 : xor2 port map( a => mult_125_ab_10_9_port, b => n1850, outb => n3238
);
U4696 : xor2 port map( a => n4567, b => n4565, outb => n3241);
U4697 : xor2 port map( a => mult_125_ab_12_9_port, b => n1854, outb => n3244
);
U4698 : xor2 port map( a => n4570, b => n4568, outb => n3247);
U4699 : xor2 port map( a => mult_125_ab_14_9_port, b => n1858, outb => n3250
);
U4700 : xor2 port map( a => n1860, b => n1861, outb => n3252);
U4701 : xor2 port map( a => n1864, b => mult_125_ab_2_8_port, outb => n3256)
;
U4702 : xor2 port map( a => n4574, b => n4572, outb => n5469);
U4703 : xor2 port map( a => n4576, b => n1868, outb => n3258);
U4704 : xor2 port map( a => n4578, b => n4577, outb => n5470);
U4705 : xor2 port map( a => mult_125_ab_6_8_port, b => n1872, outb => n3261)
;
U4706 : xor2 port map( a => n4581, b => n4579, outb => n5471);
U4707 : xor2 port map( a => mult_125_ab_8_8_port, b => n1876, outb => n3264)
;
U4708 : xor2 port map( a => mult_125_ab_9_8_port, b => n1878, outb => n5472)
;
U4709 : xor2 port map( a => n4585, b => n4586, outb => n5473);
U4710 : xor2 port map( a => mult_125_ab_11_8_port, b => n1882, outb => n5474
);
U4711 : xor2 port map( a => n4589, b => n4587, outb => n5475);
U4712 : xor2 port map( a => mult_125_ab_13_8_port, b => n1886, outb => n5476
);
U4713 : xor2 port map( a => n4592, b => n4590, outb => n5477);
U4714 : xor2 port map( a => n5528, b => n1890, outb => n5478);
U4715 : xor2 port map( a => n1893, b => mult_125_ab_2_7_port, outb => n3268)
;
U4716 : xor2 port map( a => mult_125_ab_3_7_port, b => n1896, outb => n5479)
;
U4717 : xor2 port map( a => n4596, b => n4595, outb => n3270);
U4718 : xor2 port map( a => mult_125_ab_5_7_port, b => n1900, outb => n5480)
;
U4719 : xor2 port map( a => n4599, b => n4597, outb => n3273);
U4720 : xor2 port map( a => mult_125_ab_7_7_port, b => n1904, outb => n5481)
;
U4721 : xor2 port map( a => n4602, b => n4600, outb => n3276);
U4722 : xor2 port map( a => mult_125_ab_9_7_port, b => n1908, outb => n5482)
;
U4723 : xor2 port map( a => n4605, b => n4603, outb => n3279);
U4724 : xor2 port map( a => n4607, b => n4606, outb => n3282);
U4725 : xor2 port map( a => mult_125_ab_12_7_port, b => n1914, outb => n3285
);
U4726 : xor2 port map( a => n4610, b => n4608, outb => n3288);
U4727 : xor2 port map( a => mult_125_ab_14_7_port, b => n1918, outb => n3291
);
U4728 : xor2 port map( a => n1920, b => n1921, outb => n3293);
U4729 : xor2 port map( a => n1924, b => mult_125_ab_2_6_port, outb => n3297)
;
U4730 : xor2 port map( a => n4614, b => n4612, outb => n5483);
U4731 : xor2 port map( a => mult_125_ab_4_6_port, b => n1928, outb => n3299)
;
U4732 : xor2 port map( a => n1930, b => n1931, outb => n5484);
U4733 : xor2 port map( a => mult_125_ab_6_6_port, b => n1933, outb => n3302)
;
U4734 : xor2 port map( a => n4619, b => n4617, outb => n5485);
U4735 : xor2 port map( a => mult_125_ab_8_6_port, b => n1937, outb => n3305)
;
U4736 : xor2 port map( a => n1939, b => n1940, outb => n5486);
U4737 : xor2 port map( a => mult_125_ab_10_6_port, b => n1942, outb => n3308
);
U4738 : xor2 port map( a => mult_125_ab_11_6_port, b => n1944, outb => n5487
);
U4739 : xor2 port map( a => n4625, b => n4626, outb => n5488);
U4740 : xor2 port map( a => mult_125_ab_13_6_port, b => n1948, outb => n5489
);
U4741 : xor2 port map( a => n4629, b => n4627, outb => n5490);
U4742 : xor2 port map( a => n5529, b => n1952, outb => n5491);
U4743 : xor2 port map( a => n1955, b => mult_125_ab_2_5_port, outb => n3312)
;
U4744 : xor2 port map( a => mult_125_ab_3_5_port, b => n1958, outb => n5492)
;
U4745 : xor2 port map( a => mult_125_ab_4_5_port, b => n1960, outb => n3314)
;
U4746 : xor2 port map( a => mult_125_ab_5_5_port, b => n1962, outb => n5493)
;
U4747 : xor2 port map( a => n1964, b => n1965, outb => n3317);
U4748 : xor2 port map( a => mult_125_ab_7_5_port, b => n1967, outb => n5494)
;
U4749 : xor2 port map( a => mult_125_ab_8_5_port, b => n1969, outb => n3320)
;
U4750 : xor2 port map( a => mult_125_ab_9_5_port, b => n1971, outb => n5495)
;
U4751 : xor2 port map( a => n4641, b => n4639, outb => n3323);
U4752 : xor2 port map( a => mult_125_ab_11_5_port, b => n1975, outb => n5496
);
U4753 : xor2 port map( a => n4644, b => n4642, outb => n3326);
U4754 : xor2 port map( a => n4646, b => n1979, outb => n3329);
U4755 : xor2 port map( a => mult_125_ab_14_5_port, b => n1981, outb => n3332
);
U4756 : xor2 port map( a => n1983, b => n1984, outb => n3334);
U4757 : xor2 port map( a => n1987, b => mult_125_ab_2_4_port, outb => n3338)
;
U4758 : xor2 port map( a => n4650, b => n4648, outb => n5497);
U4759 : xor2 port map( a => mult_125_ab_4_4_port, b => n1991, outb => n3340)
;
U4760 : xor2 port map( a => n1993, b => n1994, outb => n5498);
U4761 : xor2 port map( a => mult_125_ab_6_4_port, b => n1996, outb => n3343)
;
U4762 : xor2 port map( a => n1998, b => n1999, outb => n5499);
U4763 : xor2 port map( a => mult_125_ab_8_4_port, b => n2001, outb => n3346)
;
U4764 : xor2 port map( a => mult_125_ab_9_4_port, b => n2003, outb => n5500)
;
U4765 : xor2 port map( a => mult_125_ab_10_4_port, b => n2005, outb => n3349
);
U4766 : xor2 port map( a => n2007, b => n2008, outb => n5501);
U4767 : xor2 port map( a => mult_125_ab_12_4_port, b => n2010, outb => n3352
);
U4768 : xor2 port map( a => mult_125_ab_13_4_port, b => n2012, outb => n5502
);
U4769 : xor2 port map( a => mult_125_ab_14_4_port, b => n2014, outb => n5503
);
U4770 : xor2 port map( a => mult_125_ab_15_4_port, b => n2017, outb => n5504
);
U4771 : xor2 port map( a => n2020, b => mult_125_ab_2_3_port, outb => n3356)
;
U4772 : xor2 port map( a => mult_125_ab_3_3_port, b => n2023, outb => n5505)
;
U4773 : xor2 port map( a => mult_125_ab_4_3_port, b => n2025, outb => n3358)
;
U4774 : xor2 port map( a => mult_125_ab_5_3_port, b => n2027, outb => n5506)
;
U4775 : xor2 port map( a => mult_125_ab_6_3_port, b => n2029, outb => n3361)
;
U4776 : xor2 port map( a => mult_125_ab_7_3_port, b => n2031, outb => n5507)
;
U4777 : xor2 port map( a => mult_125_ab_8_3_port, b => n2033, outb => n3364)
;
U4778 : xor2 port map( a => mult_125_ab_9_3_port, b => n2035, outb => n5508)
;
U4779 : xor2 port map( a => mult_125_ab_10_3_port, b => n2037, outb => n3367
);
U4780 : xor2 port map( a => mult_125_ab_11_3_port, b => n2039, outb => n5509
);
U4781 : xor2 port map( a => n4679, b => n4677, outb => n3370);
U4782 : xor2 port map( a => mult_125_ab_13_3_port, b => n2043, outb => n5510
);
U4783 : xor2 port map( a => mult_125_ab_14_3_port, b => n2045, outb => n3373
);
U4784 : xor2 port map( a => mult_125_ab_15_3_port, b => n2047, outb => n3375
);
U4785 : xor2 port map( a => n2050, b => mult_125_ab_2_2_port, outb => n3379)
;
U4786 : xor2 port map( a => n4685, b => n4683, outb => n5511);
U4787 : xor2 port map( a => mult_125_ab_4_2_port, b => n2054, outb => n3381)
;
U4788 : xor2 port map( a => mult_125_ab_5_2_port, b => n2056, outb => n5512)
;
U4789 : xor2 port map( a => mult_125_ab_6_2_port, b => n2058, outb => n3384)
;
U4790 : xor2 port map( a => n2060, b => n2061, outb => n5513);
U4791 : xor2 port map( a => mult_125_ab_8_2_port, b => n2063, outb => n3387)
;
U4792 : xor2 port map( a => mult_125_ab_9_2_port, b => n2065, outb => n5514)
;
U4793 : xor2 port map( a => mult_125_ab_10_2_port, b => n2067, outb => n3390
);
U4794 : xor2 port map( a => mult_125_ab_11_2_port, b => n2069, outb => n5515
);
U4795 : xor2 port map( a => mult_125_ab_12_2_port, b => n2071, outb => n3393
);
U4796 : xor2 port map( a => mult_125_ab_13_2_port, b => n2073, outb => n5516
);
U4797 : xor2 port map( a => mult_125_ab_14_2_port, b => n2075, outb => n3396
);
U4798 : xor2 port map( a => n5413, b => n4700, outb => n5517);
U4799 : xor2 port map( a => n4703, b => mult_125_ab_2_1_port, outb => n3400)
;
U4800 : xor2 port map( a => n4704, b => n4702, outb => n5518);
U4801 : xor2 port map( a => mult_125_ab_4_1_port, b => n4706, outb => n3402)
;
U4802 : xor2 port map( a => mult_125_ab_5_1_port, b => n2086, outb => n5530)
;
U4803 : xor2 port map( a => n4709, b => n2088, outb => n3405);
U4804 : xor2 port map( a => n5531, b => n2090, outb => n5520);
U4805 : xor2 port map( a => mult_125_ab_8_1_port, b => n4710, outb => n3408)
;
U4806 : xor2 port map( a => n5532, b => n2094, outb => n5521);
U4807 : xor2 port map( a => n4715, b => n2096, outb => n3411);
U4808 : xor2 port map( a => n5533, b => n2098, outb => n5522);
U4809 : xor2 port map( a => n4718, b => n2100, outb => n3414);
U4810 : xor2 port map( a => n5534, b => n2102, outb => n5523);
U4811 : xor2 port map( a => n4721, b => n4719, outb => n3417);
U4812 : xor2 port map( a => n5535, b => n2106, outb => n5524);
U4813 : xor2 port map( a => mult_125_ab_15_0_port, b => n2134, outb => n5525
);
U4814 : xor2 port map( a => mult_125_ab_11_0_port, b => n2126, outb => n3419
);
U4815 : xor2 port map( a => mult_125_ab_9_0_port, b => n2122, outb => n3420)
;
U4816 : xor2 port map( a => mult_125_ab_7_0_port, b => n2118, outb => n3421)
;
U4817 : xor2 port map( a => mult_125_ab_5_0_port, b => n2114, outb => n3422)
;
U4818 : xor2 port map( a => mult_125_ab_3_0_port, b => n2110, outb => n3423)
;
U4819 : xor2 port map( a => n308, b => mult_125_ZA, outb => n3424);
U4820 : xor2 port map( a => mult_125_ab_13_0_port, b => n2130, outb => n3425
);
U4821 : xor2 port map( a => n4777, b => adder_mem_array_1_2_port, outb =>
n3470);
U4822 : xor2 port map( a => n5433, b => adder_mem_array_1_32_port, outb =>
n3545);
U4823 : xor2 port map( a => n4836, b => adder_mem_array_1_30_port, outb =>
n3547);
U4824 : xor2 port map( a => n4834, b => adder_mem_array_1_28_port, outb =>
n3551);
U4825 : xor2 port map( a => n4832, b => adder_mem_array_1_26_port, outb =>
n3555);
U4826 : xor2 port map( a => n4830, b => adder_mem_array_1_24_port, outb =>
n3559);
U4827 : xor2 port map( a => n4828, b => adder_mem_array_1_22_port, outb =>
n3563);
U4828 : xor2 port map( a => n4826, b => adder_mem_array_1_20_port, outb =>
n3567);
U4829 : xor2 port map( a => n4824, b => adder_mem_array_1_18_port, outb =>
n3571);
U4830 : xor2 port map( a => n4822, b => adder_mem_array_1_16_port, outb =>
n3575);
U4831 : xor2 port map( a => n4820, b => adder_mem_array_1_14_port, outb =>
n3579);
U4832 : xor2 port map( a => n4818, b => adder_mem_array_1_12_port, outb =>
n3583);
U4833 : xor2 port map( a => n4816, b => adder_mem_array_1_10_port, outb =>
n3587);
U4834 : xor2 port map( a => n4814, b => adder_mem_array_1_8_port, outb =>
n3591);
U4835 : xor2 port map( a => n4812, b => adder_mem_array_1_6_port, outb =>
n3595);
U4836 : xor2 port map( a => n4810, b => adder_mem_array_1_4_port, outb =>
n3607);
U4837 : inv port map( inb => n385, outb => n4905);
U4838 : inv port map( inb => n387, outb => n4908);
U4839 : inv port map( inb => n389, outb => n4911);
U4840 : inv port map( inb => n391, outb => n4914);
U4841 : inv port map( inb => n393, outb => n4917);
U4842 : inv port map( inb => n395, outb => n4920);
U4843 : inv port map( inb => n397, outb => n4925);
U4844 : inv port map( inb => n430, outb => n3640);
U4845 : inv port map( inb => n459, outb => n3659);
U4846 : inv port map( inb => n490, outb => n3679);
U4847 : inv port map( inb => n520, outb => n3698);
U4848 : inv port map( inb => n550, outb => n3718);
U4849 : inv port map( inb => n579, outb => n3738);
U4850 : inv port map( inb => n610, outb => n3758);
U4851 : inv port map( inb => n641, outb => n3775);
U4852 : inv port map( inb => n673, outb => n3794);
U4853 : inv port map( inb => n706, outb => n3809);
U4854 : inv port map( inb => n736, outb => n3829);
U4855 : inv port map( inb => n766, outb => n3848);
U4856 : inv port map( inb => n3867, outb => n794);
U4857 : inv port map( inb => n3868, outb => n796);
U4858 : inv port map( inb => n819, outb => n4943);
U4859 : inv port map( inb => n823, outb => n5051);
U4860 : inv port map( inb => n825, outb => n5054);
U4861 : inv port map( inb => n827, outb => n5057);
U4862 : inv port map( inb => n829, outb => n5060);
U4863 : inv port map( inb => n831, outb => n5063);
U4864 : inv port map( inb => n833, outb => n5066);
U4865 : inv port map( inb => n835, outb => n5071);
U4866 : inv port map( inb => n868, outb => n3925);
U4867 : inv port map( inb => n897, outb => n3944);
U4868 : inv port map( inb => n928, outb => n3964);
U4869 : inv port map( inb => n958, outb => n3983);
U4870 : inv port map( inb => n988, outb => n4003);
U4871 : inv port map( inb => n1017, outb => n4023);
U4872 : inv port map( inb => n1048, outb => n4043);
U4873 : inv port map( inb => n1079, outb => n4060);
U4874 : inv port map( inb => n1111, outb => n4079);
U4875 : inv port map( inb => n1144, outb => n4094);
U4876 : inv port map( inb => n1174, outb => n4114);
U4877 : inv port map( inb => n1204, outb => n4133);
U4878 : inv port map( inb => n4152, outb => n1232);
U4879 : inv port map( inb => n4153, outb => n1234);
U4880 : inv port map( inb => n1257, outb => n5089);
U4881 : inv port map( inb => n1261, outb => n5214);
U4882 : inv port map( inb => n1263, outb => n5217);
U4883 : inv port map( inb => n1265, outb => n5220);
U4884 : inv port map( inb => n1267, outb => n5223);
U4885 : inv port map( inb => n1269, outb => n5226);
U4886 : inv port map( inb => n1271, outb => n5229);
U4887 : inv port map( inb => n1273, outb => n5234);
U4888 : inv port map( inb => n1306, outb => n4210);
U4889 : inv port map( inb => n1335, outb => n4229);
U4890 : inv port map( inb => n1366, outb => n4249);
U4891 : inv port map( inb => n1396, outb => n4268);
U4892 : inv port map( inb => n1426, outb => n4288);
U4893 : inv port map( inb => n1455, outb => n4308);
U4894 : inv port map( inb => n1486, outb => n4328);
U4895 : inv port map( inb => n1517, outb => n4345);
U4896 : inv port map( inb => n1549, outb => n4364);
U4897 : inv port map( inb => n1582, outb => n4379);
U4898 : inv port map( inb => n1612, outb => n4399);
U4899 : inv port map( inb => n1642, outb => n4418);
U4900 : inv port map( inb => n4437, outb => n1670);
U4901 : inv port map( inb => n4438, outb => n1672);
U4902 : inv port map( inb => n1695, outb => n5252);
U4903 : inv port map( inb => n1699, outb => n5377);
U4904 : inv port map( inb => n1701, outb => n5380);
U4905 : inv port map( inb => n1703, outb => n5383);
U4906 : inv port map( inb => n1705, outb => n5386);
U4907 : inv port map( inb => n1707, outb => n5389);
U4908 : inv port map( inb => n1709, outb => n5392);
U4909 : inv port map( inb => n1711, outb => n5397);
U4910 : inv port map( inb => n1744, outb => n4495);
U4911 : inv port map( inb => n1773, outb => n4514);
U4912 : inv port map( inb => n1804, outb => n4534);
U4913 : inv port map( inb => n1834, outb => n4553);
U4914 : inv port map( inb => n1864, outb => n4573);
U4915 : inv port map( inb => n1893, outb => n4593);
U4916 : inv port map( inb => n1924, outb => n4613);
U4917 : inv port map( inb => n1955, outb => n4630);
U4918 : inv port map( inb => n1987, outb => n4649);
U4919 : inv port map( inb => n2020, outb => n4664);
U4920 : inv port map( inb => n2050, outb => n4684);
U4921 : inv port map( inb => n2080, outb => n4703);
U4922 : inv port map( inb => n4722, outb => n2108);
U4923 : inv port map( inb => n4723, outb => n2110);
U4924 : inv port map( inb => n2133, outb => n5415);
U4925 : inv port map( inb => n4749, outb => n2137);
U4926 : inv port map( inb => n2139, outb => n5092);
U4927 : inv port map( inb => n2141, outb => n5093);
U4928 : inv port map( inb => n2143, outb => n5094);
U4929 : inv port map( inb => n2145, outb => n5095);
U4930 : inv port map( inb => n2147, outb => n5096);
U4931 : inv port map( inb => n2149, outb => n5097);
U4932 : inv port map( inb => n2151, outb => n5098);
U4933 : inv port map( inb => n2153, outb => n5099);
U4934 : inv port map( inb => n2155, outb => n5100);
U4935 : inv port map( inb => n2157, outb => n5101);
U4936 : inv port map( inb => n2159, outb => n5102);
U4937 : inv port map( inb => n2161, outb => n5103);
U4938 : inv port map( inb => n4776, outb => n2164);
U4939 : inv port map( inb => n4779, outb => n2167);
U4940 : inv port map( inb => n2169, outb => n5255);
U4941 : inv port map( inb => n2171, outb => n5256);
U4942 : inv port map( inb => n2173, outb => n5257);
U4943 : inv port map( inb => n2175, outb => n5258);
U4944 : inv port map( inb => n2177, outb => n5259);
U4945 : inv port map( inb => n2179, outb => n5260);
U4946 : inv port map( inb => n2181, outb => n5261);
U4947 : inv port map( inb => n2183, outb => n5262);
U4948 : inv port map( inb => n2185, outb => n5263);
U4949 : inv port map( inb => n2187, outb => n5264);
U4950 : inv port map( inb => n2189, outb => n5265);
U4951 : inv port map( inb => n2191, outb => n5266);
U4952 : inv port map( inb => n2193, outb => n5267);
U4953 : inv port map( inb => n2195, outb => n5268);
U4954 : inv port map( inb => n2200, outb => n5418);
U4955 : inv port map( inb => n2202, outb => n5419);
U4956 : inv port map( inb => n2204, outb => n5420);
U4957 : inv port map( inb => n2206, outb => n5421);
U4958 : inv port map( inb => n2208, outb => n5422);
U4959 : inv port map( inb => n2210, outb => n5423);
U4960 : inv port map( inb => n2212, outb => n5424);
U4961 : inv port map( inb => n2214, outb => n5425);
U4962 : inv port map( inb => n2216, outb => n5426);
U4963 : inv port map( inb => n2218, outb => n5427);
U4964 : inv port map( inb => n2220, outb => n5428);
U4965 : inv port map( inb => n2222, outb => n5429);
U4966 : inv port map( inb => n2224, outb => n5430);
U4967 : inv port map( inb => n2226, outb => n5431);
U4968 : inv port map( inb => n2231, outb => n5104);
U4969 : inv port map( inb => n2233, outb => n5105);
U4970 : inv port map( inb => mult_125_G4_ab_1_15_port, outb => n4902);
U4971 : inv port map( inb => mult_125_G4_ab_2_14_port, outb => n4903);
U4972 : inv port map( inb => n408, outb => n3626);
U4973 : inv port map( inb => n412, outb => n3629);
U4974 : inv port map( inb => n416, outb => n3632);
U4975 : inv port map( inb => n420, outb => n3635);
U4976 : inv port map( inb => n424, outb => n3638);
U4977 : inv port map( inb => mult_125_G4_ab_3_12_port, outb => n3641);
U4978 : inv port map( inb => n439, outb => n3648);
U4979 : inv port map( inb => n443, outb => n3651);
U4980 : inv port map( inb => n447, outb => n3654);
U4981 : inv port map( inb => n451, outb => n3657);
U4982 : inv port map( inb => mult_125_G4_ab_3_11_port, outb => n461);
U4983 : inv port map( inb => n471, outb => n3669);
U4984 : inv port map( inb => n475, outb => n3672);
U4985 : inv port map( inb => n479, outb => n3675);
U4986 : inv port map( inb => n483, outb => n3677);
U4987 : inv port map( inb => mult_125_G4_ab_3_10_port, outb => n3680);
U4988 : inv port map( inb => n504, outb => n3689);
U4989 : inv port map( inb => n508, outb => n3692);
U4990 : inv port map( inb => n512, outb => n3695);
U4991 : inv port map( inb => mult_125_G4_ab_3_9_port, outb => n3699);
U4992 : inv port map( inb => n535, outb => n3711);
U4993 : inv port map( inb => n539, outb => n3714);
U4994 : inv port map( inb => n543, outb => n3716);
U4995 : inv port map( inb => mult_125_G4_ab_3_8_port, outb => n3719);
U4996 : inv port map( inb => n567, outb => n3733);
U4997 : inv port map( inb => n571, outb => n3736);
U4998 : inv port map( inb => mult_125_G4_ab_3_7_port, outb => n581);
U4999 : inv port map( inb => n599, outb => n3754);
U5000 : inv port map( inb => n603, outb => n3756);
U5001 : inv port map( inb => mult_125_G4_ab_3_6_port, outb => n3759);
U5002 : inv port map( inb => n633, outb => n3773);
U5003 : inv port map( inb => mult_125_G4_ab_3_5_port, outb => n643);
U5004 : inv port map( inb => n666, outb => n3792);
U5005 : inv port map( inb => mult_125_G4_ab_3_4_port, outb => n3795);
U5006 : inv port map( inb => mult_125_G4_ab_3_3_port, outb => n708);
U5007 : inv port map( inb => mult_125_G4_ab_3_2_port, outb => n3830);
U5008 : inv port map( inb => mult_125_G4_ab_3_1_port, outb => n3849);
U5009 : inv port map( inb => n770, outb => n3851);
U5010 : inv port map( inb => n799, outb => n3875);
U5011 : inv port map( inb => n803, outb => n3879);
U5012 : inv port map( inb => n807, outb => n3883);
U5013 : inv port map( inb => n811, outb => n3887);
U5014 : inv port map( inb => n815, outb => n3891);
U5015 : inv port map( inb => mult_125_G3_ab_1_15_port, outb => n5048);
U5016 : inv port map( inb => mult_125_G3_ab_2_14_port, outb => n5049);
U5017 : inv port map( inb => n846, outb => n3911);
U5018 : inv port map( inb => n850, outb => n3914);
U5019 : inv port map( inb => n854, outb => n3917);
U5020 : inv port map( inb => n858, outb => n3920);
U5021 : inv port map( inb => n862, outb => n3923);
U5022 : inv port map( inb => mult_125_G3_ab_3_12_port, outb => n3926);
U5023 : inv port map( inb => n877, outb => n3933);
U5024 : inv port map( inb => n881, outb => n3936);
U5025 : inv port map( inb => n885, outb => n3939);
U5026 : inv port map( inb => n889, outb => n3942);
U5027 : inv port map( inb => mult_125_G3_ab_3_11_port, outb => n899);
U5028 : inv port map( inb => n909, outb => n3954);
U5029 : inv port map( inb => n913, outb => n3957);
U5030 : inv port map( inb => n917, outb => n3960);
U5031 : inv port map( inb => n921, outb => n3962);
U5032 : inv port map( inb => mult_125_G3_ab_3_10_port, outb => n3965);
U5033 : inv port map( inb => n942, outb => n3974);
U5034 : inv port map( inb => n946, outb => n3977);
U5035 : inv port map( inb => n950, outb => n3980);
U5036 : inv port map( inb => mult_125_G3_ab_3_9_port, outb => n3984);
U5037 : inv port map( inb => n973, outb => n3996);
U5038 : inv port map( inb => n977, outb => n3999);
U5039 : inv port map( inb => n981, outb => n4001);
U5040 : inv port map( inb => mult_125_G3_ab_3_8_port, outb => n4004);
U5041 : inv port map( inb => n1005, outb => n4018);
U5042 : inv port map( inb => n1009, outb => n4021);
U5043 : inv port map( inb => mult_125_G3_ab_3_7_port, outb => n1019);
U5044 : inv port map( inb => n1037, outb => n4039);
U5045 : inv port map( inb => n1041, outb => n4041);
U5046 : inv port map( inb => mult_125_G3_ab_3_6_port, outb => n4044);
U5047 : inv port map( inb => n1071, outb => n4058);
U5048 : inv port map( inb => mult_125_G3_ab_3_5_port, outb => n1081);
U5049 : inv port map( inb => n1104, outb => n4077);
U5050 : inv port map( inb => mult_125_G3_ab_3_4_port, outb => n4080);
U5051 : inv port map( inb => mult_125_G3_ab_3_3_port, outb => n1146);
U5052 : inv port map( inb => mult_125_G3_ab_3_2_port, outb => n4115);
U5053 : inv port map( inb => mult_125_G3_ab_3_1_port, outb => n4134);
U5054 : inv port map( inb => n1208, outb => n4136);
U5055 : inv port map( inb => n1237, outb => n4160);
U5056 : inv port map( inb => n1241, outb => n4164);
U5057 : inv port map( inb => n1245, outb => n4168);
U5058 : inv port map( inb => n1249, outb => n4172);
U5059 : inv port map( inb => n1253, outb => n4176);
U5060 : inv port map( inb => mult_125_G2_ab_1_15_port, outb => n5211);
U5061 : inv port map( inb => mult_125_G2_ab_2_14_port, outb => n5212);
U5062 : inv port map( inb => n1284, outb => n4196);
U5063 : inv port map( inb => n1288, outb => n4199);
U5064 : inv port map( inb => n1292, outb => n4202);
U5065 : inv port map( inb => n1296, outb => n4205);
U5066 : inv port map( inb => n1300, outb => n4208);
U5067 : inv port map( inb => mult_125_G2_ab_3_12_port, outb => n4211);
U5068 : inv port map( inb => n1315, outb => n4218);
U5069 : inv port map( inb => n1319, outb => n4221);
U5070 : inv port map( inb => n1323, outb => n4224);
U5071 : inv port map( inb => n1327, outb => n4227);
U5072 : inv port map( inb => mult_125_G2_ab_3_11_port, outb => n1337);
U5073 : inv port map( inb => n1347, outb => n4239);
U5074 : inv port map( inb => n1351, outb => n4242);
U5075 : inv port map( inb => n1355, outb => n4245);
U5076 : inv port map( inb => n1359, outb => n4247);
U5077 : inv port map( inb => mult_125_G2_ab_3_10_port, outb => n4250);
U5078 : inv port map( inb => n1380, outb => n4259);
U5079 : inv port map( inb => n1384, outb => n4262);
U5080 : inv port map( inb => n1388, outb => n4265);
U5081 : inv port map( inb => mult_125_G2_ab_3_9_port, outb => n4269);
U5082 : inv port map( inb => n1411, outb => n4281);
U5083 : inv port map( inb => n1415, outb => n4284);
U5084 : inv port map( inb => n1419, outb => n4286);
U5085 : inv port map( inb => mult_125_G2_ab_3_8_port, outb => n4289);
U5086 : inv port map( inb => n1443, outb => n4303);
U5087 : inv port map( inb => n1447, outb => n4306);
U5088 : inv port map( inb => mult_125_G2_ab_3_7_port, outb => n1457);
U5089 : inv port map( inb => n1475, outb => n4324);
U5090 : inv port map( inb => n1479, outb => n4326);
U5091 : inv port map( inb => mult_125_G2_ab_3_6_port, outb => n4329);
U5092 : inv port map( inb => n1509, outb => n4343);
U5093 : inv port map( inb => mult_125_G2_ab_3_5_port, outb => n1519);
U5094 : inv port map( inb => n1542, outb => n4362);
U5095 : inv port map( inb => mult_125_G2_ab_3_4_port, outb => n4365);
U5096 : inv port map( inb => mult_125_G2_ab_3_3_port, outb => n1584);
U5097 : inv port map( inb => mult_125_G2_ab_3_2_port, outb => n4400);
U5098 : inv port map( inb => mult_125_G2_ab_3_1_port, outb => n4419);
U5099 : inv port map( inb => n1646, outb => n4421);
U5100 : inv port map( inb => n1675, outb => n4445);
U5101 : inv port map( inb => n1679, outb => n4449);
U5102 : inv port map( inb => n1683, outb => n4453);
U5103 : inv port map( inb => n1687, outb => n4457);
U5104 : inv port map( inb => n1691, outb => n4461);
U5105 : inv port map( inb => mult_125_ab_1_15_port, outb => n5374);
U5106 : inv port map( inb => mult_125_ab_2_14_port, outb => n5375);
U5107 : inv port map( inb => n1722, outb => n4481);
U5108 : inv port map( inb => n1726, outb => n4484);
U5109 : inv port map( inb => n1730, outb => n4487);
U5110 : inv port map( inb => n1734, outb => n4490);
U5111 : inv port map( inb => n1738, outb => n4493);
U5112 : inv port map( inb => mult_125_ab_3_12_port, outb => n4496);
U5113 : inv port map( inb => n1753, outb => n4503);
U5114 : inv port map( inb => n1757, outb => n4506);
U5115 : inv port map( inb => n1761, outb => n4509);
U5116 : inv port map( inb => n1765, outb => n4512);
U5117 : inv port map( inb => mult_125_ab_3_11_port, outb => n1775);
U5118 : inv port map( inb => n1785, outb => n4524);
U5119 : inv port map( inb => n1789, outb => n4527);
U5120 : inv port map( inb => n1793, outb => n4530);
U5121 : inv port map( inb => n1797, outb => n4532);
U5122 : inv port map( inb => mult_125_ab_3_10_port, outb => n4535);
U5123 : inv port map( inb => n1818, outb => n4544);
U5124 : inv port map( inb => n1822, outb => n4547);
U5125 : inv port map( inb => n1826, outb => n4550);
U5126 : inv port map( inb => mult_125_ab_3_9_port, outb => n4554);
U5127 : inv port map( inb => n1849, outb => n4566);
U5128 : inv port map( inb => n1853, outb => n4569);
U5129 : inv port map( inb => n1857, outb => n4571);
U5130 : inv port map( inb => mult_125_ab_3_8_port, outb => n4574);
U5131 : inv port map( inb => n1881, outb => n4588);
U5132 : inv port map( inb => n1885, outb => n4591);
U5133 : inv port map( inb => mult_125_ab_3_7_port, outb => n1895);
U5134 : inv port map( inb => n1913, outb => n4609);
U5135 : inv port map( inb => n1917, outb => n4611);
U5136 : inv port map( inb => mult_125_ab_3_6_port, outb => n4614);
U5137 : inv port map( inb => n1947, outb => n4628);
U5138 : inv port map( inb => mult_125_ab_3_5_port, outb => n1957);
U5139 : inv port map( inb => n1980, outb => n4647);
U5140 : inv port map( inb => mult_125_ab_3_4_port, outb => n4650);
U5141 : inv port map( inb => mult_125_ab_3_3_port, outb => n2022);
U5142 : inv port map( inb => mult_125_ab_3_2_port, outb => n4685);
U5143 : inv port map( inb => mult_125_ab_3_1_port, outb => n4704);
U5144 : inv port map( inb => n2084, outb => n4706);
U5145 : inv port map( inb => n2113, outb => n4730);
U5146 : inv port map( inb => n2117, outb => n4734);
U5147 : inv port map( inb => n2121, outb => n4738);
U5148 : inv port map( inb => n2125, outb => n4742);
U5149 : inv port map( inb => n2129, outb => n4746);
U5150 : inv port map( inb => mult_125_G4_ab_5_13_port, outb => n3624);
U5151 : inv port map( inb => n4921, outb => n4924);
U5152 : inv port map( inb => mult_125_G4_ab_7_11_port, outb => n3667);
U5153 : inv port map( inb => mult_125_G4_ab_5_10_port, outb => n496);
U5154 : inv port map( inb => mult_125_G4_ab_7_10_port, outb => n3685);
U5155 : inv port map( inb => mult_125_G4_ab_9_9_port, outb => n3709);
U5156 : inv port map( inb => mult_125_G4_ab_7_8_port, outb => n3726);
U5157 : inv port map( inb => mult_125_G4_ab_9_8_port, outb => n3729);
U5158 : inv port map( inb => mult_125_G4_ab_11_7_port, outb => n3752);
U5159 : inv port map( inb => mult_125_G4_ab_9_6_port, outb => n625);
U5160 : inv port map( inb => mult_125_G4_ab_11_6_port, outb => n3769);
U5161 : inv port map( inb => mult_125_G4_ab_13_5_port, outb => n3791);
U5162 : inv port map( inb => mult_125_G4_ab_11_4_port, outb => n693);
U5163 : inv port map( inb => mult_125_G4_ab_13_4_port, outb => n3807);
U5164 : inv port map( inb => mult_125_G3_ab_5_13_port, outb => n3909);
U5165 : inv port map( inb => n5067, outb => n5070);
U5166 : inv port map( inb => mult_125_G3_ab_7_11_port, outb => n3952);
U5167 : inv port map( inb => mult_125_G3_ab_5_10_port, outb => n934);
U5168 : inv port map( inb => mult_125_G3_ab_7_10_port, outb => n3970);
U5169 : inv port map( inb => mult_125_G3_ab_9_9_port, outb => n3994);
U5170 : inv port map( inb => mult_125_G3_ab_7_8_port, outb => n4011);
U5171 : inv port map( inb => mult_125_G3_ab_9_8_port, outb => n4014);
U5172 : inv port map( inb => mult_125_G3_ab_11_7_port, outb => n4037);
U5173 : inv port map( inb => mult_125_G3_ab_9_6_port, outb => n1063);
U5174 : inv port map( inb => mult_125_G3_ab_11_6_port, outb => n4054);
U5175 : inv port map( inb => mult_125_G3_ab_13_5_port, outb => n4076);
U5176 : inv port map( inb => mult_125_G3_ab_11_4_port, outb => n1131);
U5177 : inv port map( inb => mult_125_G3_ab_13_4_port, outb => n4092);
U5178 : inv port map( inb => mult_125_G2_ab_5_13_port, outb => n4194);
U5179 : inv port map( inb => n5230, outb => n5233);
U5180 : inv port map( inb => mult_125_G2_ab_7_11_port, outb => n4237);
U5181 : inv port map( inb => mult_125_G2_ab_5_10_port, outb => n1372);
U5182 : inv port map( inb => mult_125_G2_ab_7_10_port, outb => n4255);
U5183 : inv port map( inb => mult_125_G2_ab_9_9_port, outb => n4279);
U5184 : inv port map( inb => mult_125_G2_ab_7_8_port, outb => n4296);
U5185 : inv port map( inb => mult_125_G2_ab_9_8_port, outb => n4299);
U5186 : inv port map( inb => mult_125_G2_ab_11_7_port, outb => n4322);
U5187 : inv port map( inb => mult_125_G2_ab_9_6_port, outb => n1501);
U5188 : inv port map( inb => mult_125_G2_ab_11_6_port, outb => n4339);
U5189 : inv port map( inb => mult_125_G2_ab_13_5_port, outb => n4361);
U5190 : inv port map( inb => mult_125_G2_ab_11_4_port, outb => n1569);
U5191 : inv port map( inb => mult_125_G2_ab_13_4_port, outb => n4377);
U5192 : inv port map( inb => mult_125_ab_5_13_port, outb => n4479);
U5193 : inv port map( inb => n5393, outb => n5396);
U5194 : inv port map( inb => mult_125_ab_7_11_port, outb => n4522);
U5195 : inv port map( inb => mult_125_ab_5_10_port, outb => n1810);
U5196 : inv port map( inb => mult_125_ab_7_10_port, outb => n4540);
U5197 : inv port map( inb => mult_125_ab_9_9_port, outb => n4564);
U5198 : inv port map( inb => mult_125_ab_7_8_port, outb => n4581);
U5199 : inv port map( inb => mult_125_ab_9_8_port, outb => n4584);
U5200 : inv port map( inb => mult_125_ab_11_7_port, outb => n4607);
U5201 : inv port map( inb => mult_125_ab_9_6_port, outb => n1939);
U5202 : inv port map( inb => mult_125_ab_11_6_port, outb => n4624);
U5203 : inv port map( inb => mult_125_ab_13_5_port, outb => n4646);
U5204 : inv port map( inb => mult_125_ab_11_4_port, outb => n2007);
U5205 : inv port map( inb => mult_125_ab_13_4_port, outb => n4662);
U5206 : inv port map( inb => n4919, outb => n4922);
U5207 : inv port map( inb => n396, outb => n4923);
U5208 : inv port map( inb => n498, outb => n3684);
U5209 : inv port map( inb => n561, outb => n3728);
U5210 : inv port map( inb => n627, outb => n3768);
U5211 : inv port map( inb => n695, outb => n3806);
U5212 : inv port map( inb => n5065, outb => n5068);
U5213 : inv port map( inb => n834, outb => n5069);
U5214 : inv port map( inb => n936, outb => n3969);
U5215 : inv port map( inb => n999, outb => n4013);
U5216 : inv port map( inb => n1065, outb => n4053);
U5217 : inv port map( inb => n1133, outb => n4091);
U5218 : inv port map( inb => n5228, outb => n5231);
U5219 : inv port map( inb => n1272, outb => n5232);
U5220 : inv port map( inb => n1374, outb => n4254);
U5221 : inv port map( inb => n1437, outb => n4298);
U5222 : inv port map( inb => n1503, outb => n4338);
U5223 : inv port map( inb => n1571, outb => n4376);
U5224 : inv port map( inb => n5391, outb => n5394);
U5225 : inv port map( inb => n1710, outb => n5395);
U5226 : inv port map( inb => n1812, outb => n4539);
U5227 : inv port map( inb => n1875, outb => n4583);
U5228 : inv port map( inb => n1941, outb => n4623);
U5229 : inv port map( inb => n2009, outb => n4661);
U5230 : inv port map( inb => n400, outb => n3620);
U5231 : inv port map( inb => n433, outb => n3643);
U5232 : inv port map( inb => n470, outb => n3666);
U5233 : inv port map( inb => n534, outb => n3708);
U5234 : inv port map( inb => n598, outb => n3751);
U5235 : inv port map( inb => n665, outb => n3790);
U5236 : inv port map( inb => n703, outb => n4939);
U5237 : inv port map( inb => n838, outb => n3905);
U5238 : inv port map( inb => n871, outb => n3928);
U5239 : inv port map( inb => n908, outb => n3951);
U5240 : inv port map( inb => n972, outb => n3993);
U5241 : inv port map( inb => n1036, outb => n4036);
U5242 : inv port map( inb => n1103, outb => n4075);
U5243 : inv port map( inb => n1141, outb => n5085);
U5244 : inv port map( inb => n1276, outb => n4190);
U5245 : inv port map( inb => n1309, outb => n4213);
U5246 : inv port map( inb => n1346, outb => n4236);
U5247 : inv port map( inb => n1410, outb => n4278);
U5248 : inv port map( inb => n1474, outb => n4321);
U5249 : inv port map( inb => n1541, outb => n4360);
U5250 : inv port map( inb => n1579, outb => n5248);
U5251 : inv port map( inb => n1714, outb => n4475);
U5252 : inv port map( inb => n1747, outb => n4498);
U5253 : inv port map( inb => n1784, outb => n4521);
U5254 : inv port map( inb => n1848, outb => n4563);
U5255 : inv port map( inb => n1912, outb => n4606);
U5256 : inv port map( inb => n1979, outb => n4645);
U5257 : inv port map( inb => n2017, outb => n5411);
U5258 : inv port map( inb => mult_125_G4_ab_3_13_port, outb => n402);
U5259 : inv port map( inb => n464, outb => n3661);
U5260 : inv port map( inb => mult_125_G4_ab_4_11_port, outb => n3662);
U5261 : inv port map( inb => mult_125_G4_ab_6_11_port, outb => n3665);
U5262 : inv port map( inb => mult_125_G4_ab_6_9_port, outb => n3704);
U5263 : inv port map( inb => n529, outb => n3706);
U5264 : inv port map( inb => mult_125_G4_ab_8_9_port, outb => n3707);
U5265 : inv port map( inb => mult_125_G4_ab_6_7_port, outb => n3744);
U5266 : inv port map( inb => mult_125_G4_ab_8_7_port, outb => n3747);
U5267 : inv port map( inb => n593, outb => n3749);
U5268 : inv port map( inb => mult_125_G4_ab_10_7_port, outb => n3750);
U5269 : inv port map( inb => mult_125_G4_ab_8_5_port, outb => n3783);
U5270 : inv port map( inb => mult_125_G4_ab_10_5_port, outb => n3786);
U5271 : inv port map( inb => n660, outb => n3788);
U5272 : inv port map( inb => mult_125_G4_ab_12_5_port, outb => n3789);
U5273 : inv port map( inb => n699, outb => n3808);
U5274 : inv port map( inb => mult_125_G4_ab_10_3_port, outb => n3821);
U5275 : inv port map( inb => mult_125_G4_ab_12_3_port, outb => n3824);
U5276 : inv port map( inb => n728, outb => n3826);
U5277 : inv port map( inb => mult_125_G4_ab_6_1_port, outb => n3854);
U5278 : inv port map( inb => mult_125_G4_ab_8_1_port, outb => n3857);
U5279 : inv port map( inb => mult_125_G4_ab_10_1_port, outb => n3860);
U5280 : inv port map( inb => mult_125_G4_ab_12_1_port, outb => n3863);
U5281 : inv port map( inb => mult_125_G3_ab_3_13_port, outb => n840);
U5282 : inv port map( inb => n902, outb => n3946);
U5283 : inv port map( inb => mult_125_G3_ab_4_11_port, outb => n3947);
U5284 : inv port map( inb => mult_125_G3_ab_6_11_port, outb => n3950);
U5285 : inv port map( inb => mult_125_G3_ab_6_9_port, outb => n3989);
U5286 : inv port map( inb => n967, outb => n3991);
U5287 : inv port map( inb => mult_125_G3_ab_8_9_port, outb => n3992);
U5288 : inv port map( inb => mult_125_G3_ab_6_7_port, outb => n4029);
U5289 : inv port map( inb => mult_125_G3_ab_8_7_port, outb => n4032);
U5290 : inv port map( inb => n1031, outb => n4034);
U5291 : inv port map( inb => mult_125_G3_ab_10_7_port, outb => n4035);
U5292 : inv port map( inb => mult_125_G3_ab_8_5_port, outb => n4068);
U5293 : inv port map( inb => mult_125_G3_ab_10_5_port, outb => n4071);
U5294 : inv port map( inb => n1098, outb => n4073);
U5295 : inv port map( inb => mult_125_G3_ab_12_5_port, outb => n4074);
U5296 : inv port map( inb => n1137, outb => n4093);
U5297 : inv port map( inb => mult_125_G3_ab_10_3_port, outb => n4106);
U5298 : inv port map( inb => mult_125_G3_ab_12_3_port, outb => n4109);
U5299 : inv port map( inb => n1166, outb => n4111);
U5300 : inv port map( inb => mult_125_G3_ab_6_1_port, outb => n4139);
U5301 : inv port map( inb => mult_125_G3_ab_8_1_port, outb => n4142);
U5302 : inv port map( inb => mult_125_G3_ab_10_1_port, outb => n4145);
U5303 : inv port map( inb => mult_125_G3_ab_12_1_port, outb => n4148);
U5304 : inv port map( inb => mult_125_G2_ab_3_13_port, outb => n1278);
U5305 : inv port map( inb => n1340, outb => n4231);
U5306 : inv port map( inb => mult_125_G2_ab_4_11_port, outb => n4232);
U5307 : inv port map( inb => mult_125_G2_ab_6_11_port, outb => n4235);
U5308 : inv port map( inb => mult_125_G2_ab_6_9_port, outb => n4274);
U5309 : inv port map( inb => n1405, outb => n4276);
U5310 : inv port map( inb => mult_125_G2_ab_8_9_port, outb => n4277);
U5311 : inv port map( inb => mult_125_G2_ab_6_7_port, outb => n4314);
U5312 : inv port map( inb => mult_125_G2_ab_8_7_port, outb => n4317);
U5313 : inv port map( inb => n1469, outb => n4319);
U5314 : inv port map( inb => mult_125_G2_ab_10_7_port, outb => n4320);
U5315 : inv port map( inb => mult_125_G2_ab_8_5_port, outb => n4353);
U5316 : inv port map( inb => mult_125_G2_ab_10_5_port, outb => n4356);
U5317 : inv port map( inb => n1536, outb => n4358);
U5318 : inv port map( inb => mult_125_G2_ab_12_5_port, outb => n4359);
U5319 : inv port map( inb => n1575, outb => n4378);
U5320 : inv port map( inb => mult_125_G2_ab_10_3_port, outb => n4391);
U5321 : inv port map( inb => mult_125_G2_ab_12_3_port, outb => n4394);
U5322 : inv port map( inb => n1604, outb => n4396);
U5323 : inv port map( inb => mult_125_G2_ab_6_1_port, outb => n4424);
U5324 : inv port map( inb => mult_125_G2_ab_8_1_port, outb => n4427);
U5325 : inv port map( inb => mult_125_G2_ab_10_1_port, outb => n4430);
U5326 : inv port map( inb => mult_125_G2_ab_12_1_port, outb => n4433);
U5327 : inv port map( inb => mult_125_ab_3_13_port, outb => n1716);
U5328 : inv port map( inb => n1778, outb => n4516);
U5329 : inv port map( inb => mult_125_ab_4_11_port, outb => n4517);
U5330 : inv port map( inb => mult_125_ab_6_11_port, outb => n4520);
U5331 : inv port map( inb => mult_125_ab_6_9_port, outb => n4559);
U5332 : inv port map( inb => n1843, outb => n4561);
U5333 : inv port map( inb => mult_125_ab_8_9_port, outb => n4562);
U5334 : inv port map( inb => mult_125_ab_6_7_port, outb => n4599);
U5335 : inv port map( inb => mult_125_ab_8_7_port, outb => n4602);
U5336 : inv port map( inb => n1907, outb => n4604);
U5337 : inv port map( inb => mult_125_ab_10_7_port, outb => n4605);
U5338 : inv port map( inb => mult_125_ab_8_5_port, outb => n4638);
U5339 : inv port map( inb => mult_125_ab_10_5_port, outb => n4641);
U5340 : inv port map( inb => n1974, outb => n4643);
U5341 : inv port map( inb => mult_125_ab_12_5_port, outb => n4644);
U5342 : inv port map( inb => n2013, outb => n4663);
U5343 : inv port map( inb => mult_125_ab_10_3_port, outb => n4676);
U5344 : inv port map( inb => mult_125_ab_12_3_port, outb => n4679);
U5345 : inv port map( inb => n2042, outb => n4681);
U5346 : inv port map( inb => mult_125_ab_6_1_port, outb => n4709);
U5347 : inv port map( inb => mult_125_ab_8_1_port, outb => n4712);
U5348 : inv port map( inb => mult_125_ab_10_1_port, outb => n4715);
U5349 : inv port map( inb => mult_125_ab_12_1_port, outb => n4718);
U5350 : inv port map( inb => n3642, outb => n436);
U5351 : inv port map( inb => n465, outb => n3664);
U5352 : inv port map( inb => mult_125_G4_ab_13_2_port, outb => n3844);
U5353 : inv port map( inb => n3927, outb => n874);
U5354 : inv port map( inb => n903, outb => n3949);
U5355 : inv port map( inb => mult_125_G3_ab_13_2_port, outb => n4129);
U5356 : inv port map( inb => n4212, outb => n1312);
U5357 : inv port map( inb => n1341, outb => n4234);
U5358 : inv port map( inb => mult_125_G2_ab_13_2_port, outb => n4414);
U5359 : inv port map( inb => n4497, outb => n1750);
U5360 : inv port map( inb => n1779, outb => n4519);
U5361 : inv port map( inb => mult_125_ab_13_2_port, outb => n4699);
U5362 : inv port map( inb => n404, outb => n3623);
U5363 : inv port map( inb => n493, outb => n3681);
U5364 : inv port map( inb => n589, outb => n3746);
U5365 : inv port map( inb => n656, outb => n3785);
U5366 : inv port map( inb => n760, outb => n3846);
U5367 : inv port map( inb => mult_125_G4_ab_15_2_port, outb => n4941);
U5368 : inv port map( inb => n842, outb => n3908);
U5369 : inv port map( inb => n931, outb => n3966);
U5370 : inv port map( inb => n1027, outb => n4031);
U5371 : inv port map( inb => n1094, outb => n4070);
U5372 : inv port map( inb => n1198, outb => n4131);
U5373 : inv port map( inb => mult_125_G3_ab_15_2_port, outb => n5087);
U5374 : inv port map( inb => n1280, outb => n4193);
U5375 : inv port map( inb => n1369, outb => n4251);
U5376 : inv port map( inb => n1465, outb => n4316);
U5377 : inv port map( inb => n1532, outb => n4355);
U5378 : inv port map( inb => n1636, outb => n4416);
U5379 : inv port map( inb => mult_125_G2_ab_15_2_port, outb => n5250);
U5380 : inv port map( inb => n1718, outb => n4478);
U5381 : inv port map( inb => n1807, outb => n4536);
U5382 : inv port map( inb => n1903, outb => n4601);
U5383 : inv port map( inb => n1970, outb => n4640);
U5384 : inv port map( inb => n2074, outb => n4701);
U5385 : inv port map( inb => mult_125_ab_15_2_port, outb => n5413);
U5386 : inv port map( inb => mult_125_G4_ab_5_8_port, outb => n3723);
U5387 : inv port map( inb => n557, outb => n3725);
U5388 : inv port map( inb => mult_125_G4_ab_5_6_port, outb => n616);
U5389 : inv port map( inb => mult_125_G4_ab_7_6_port, outb => n3764);
U5390 : inv port map( inb => mult_125_G4_ab_5_4_port, outb => n679);
U5391 : inv port map( inb => mult_125_G4_ab_7_4_port, outb => n684);
U5392 : inv port map( inb => mult_125_G4_ab_9_4_port, outb => n3802);
U5393 : inv port map( inb => mult_125_G4_ab_5_2_port, outb => n3833);
U5394 : inv port map( inb => mult_125_G4_ab_7_2_port, outb => n746);
U5395 : inv port map( inb => mult_125_G4_ab_9_2_port, outb => n3838);
U5396 : inv port map( inb => mult_125_G4_ab_11_2_port, outb => n3841);
U5397 : inv port map( inb => mult_125_G3_ab_5_8_port, outb => n4008);
U5398 : inv port map( inb => n995, outb => n4010);
U5399 : inv port map( inb => mult_125_G3_ab_5_6_port, outb => n1054);
U5400 : inv port map( inb => mult_125_G3_ab_7_6_port, outb => n4049);
U5401 : inv port map( inb => mult_125_G3_ab_5_4_port, outb => n1117);
U5402 : inv port map( inb => mult_125_G3_ab_7_4_port, outb => n1122);
U5403 : inv port map( inb => mult_125_G3_ab_9_4_port, outb => n4087);
U5404 : inv port map( inb => mult_125_G3_ab_5_2_port, outb => n4118);
U5405 : inv port map( inb => mult_125_G3_ab_7_2_port, outb => n1184);
U5406 : inv port map( inb => mult_125_G3_ab_9_2_port, outb => n4123);
U5407 : inv port map( inb => mult_125_G3_ab_11_2_port, outb => n4126);
U5408 : inv port map( inb => mult_125_G2_ab_5_8_port, outb => n4293);
U5409 : inv port map( inb => n1433, outb => n4295);
U5410 : inv port map( inb => mult_125_G2_ab_5_6_port, outb => n1492);
U5411 : inv port map( inb => mult_125_G2_ab_7_6_port, outb => n4334);
U5412 : inv port map( inb => mult_125_G2_ab_5_4_port, outb => n1555);
U5413 : inv port map( inb => mult_125_G2_ab_7_4_port, outb => n1560);
U5414 : inv port map( inb => mult_125_G2_ab_9_4_port, outb => n4372);
U5415 : inv port map( inb => mult_125_G2_ab_5_2_port, outb => n4403);
U5416 : inv port map( inb => mult_125_G2_ab_7_2_port, outb => n1622);
U5417 : inv port map( inb => mult_125_G2_ab_9_2_port, outb => n4408);
U5418 : inv port map( inb => mult_125_G2_ab_11_2_port, outb => n4411);
U5419 : inv port map( inb => mult_125_ab_5_8_port, outb => n4578);
U5420 : inv port map( inb => n1871, outb => n4580);
U5421 : inv port map( inb => mult_125_ab_5_6_port, outb => n1930);
U5422 : inv port map( inb => mult_125_ab_7_6_port, outb => n4619);
U5423 : inv port map( inb => mult_125_ab_5_4_port, outb => n1993);
U5424 : inv port map( inb => mult_125_ab_7_4_port, outb => n1998);
U5425 : inv port map( inb => mult_125_ab_9_4_port, outb => n4657);
U5426 : inv port map( inb => mult_125_ab_5_2_port, outb => n4688);
U5427 : inv port map( inb => mult_125_ab_7_2_port, outb => n2060);
U5428 : inv port map( inb => mult_125_ab_9_2_port, outb => n4693);
U5429 : inv port map( inb => mult_125_ab_11_2_port, outb => n4696);
U5430 : inv port map( inb => n622, outb => n3765);
U5431 : inv port map( inb => n686, outb => n3801);
U5432 : inv port map( inb => n690, outb => n3803);
U5433 : inv port map( inb => n732, outb => n4940);
U5434 : inv port map( inb => n739, outb => n3832);
U5435 : inv port map( inb => n748, outb => n3837);
U5436 : inv port map( inb => n752, outb => n3840);
U5437 : inv port map( inb => n756, outb => n3843);
U5438 : inv port map( inb => n1060, outb => n4050);
U5439 : inv port map( inb => n1124, outb => n4086);
U5440 : inv port map( inb => n1128, outb => n4088);
U5441 : inv port map( inb => n1170, outb => n5086);
U5442 : inv port map( inb => n1177, outb => n4117);
U5443 : inv port map( inb => n1186, outb => n4122);
U5444 : inv port map( inb => n1190, outb => n4125);
U5445 : inv port map( inb => n1194, outb => n4128);
U5446 : inv port map( inb => n1498, outb => n4335);
U5447 : inv port map( inb => n1562, outb => n4371);
U5448 : inv port map( inb => n1566, outb => n4373);
U5449 : inv port map( inb => n1608, outb => n5249);
U5450 : inv port map( inb => n1615, outb => n4402);
U5451 : inv port map( inb => n1624, outb => n4407);
U5452 : inv port map( inb => n1628, outb => n4410);
U5453 : inv port map( inb => n1632, outb => n4413);
U5454 : inv port map( inb => n1936, outb => n4620);
U5455 : inv port map( inb => n2000, outb => n4656);
U5456 : inv port map( inb => n2004, outb => n4658);
U5457 : inv port map( inb => n2046, outb => n5412);
U5458 : inv port map( inb => n2053, outb => n4687);
U5459 : inv port map( inb => n2062, outb => n4692);
U5460 : inv port map( inb => n2066, outb => n4695);
U5461 : inv port map( inb => n2070, outb => n4698);
U5462 : inv port map( inb => n556, outb => n3722);
U5463 : inv port map( inb => n618, outb => n3763);
U5464 : inv port map( inb => n265, outb => mult_125_G4_A2_17_port);
U5465 : inv port map( inb => n994, outb => n4007);
U5466 : inv port map( inb => n1056, outb => n4048);
U5467 : inv port map( inb => n373, outb => mult_125_G3_A2_17_port);
U5468 : inv port map( inb => n1432, outb => n4292);
U5469 : inv port map( inb => n1494, outb => n4333);
U5470 : inv port map( inb => n337, outb => mult_125_G2_A2_17_port);
U5471 : inv port map( inb => n1870, outb => n4577);
U5472 : inv port map( inb => n1932, outb => n4618);
U5473 : inv port map( inb => n301, outb => mult_125_A2_17_port);
U5474 : inv port map( inb => n525, outb => n3703);
U5475 : inv port map( inb => n584, outb => n3740);
U5476 : inv port map( inb => mult_125_G4_ab_4_7_port, outb => n3741);
U5477 : inv port map( inb => n585, outb => n3743);
U5478 : inv port map( inb => mult_125_G4_ab_6_5_port, outb => n650);
U5479 : inv port map( inb => n963, outb => n3988);
U5480 : inv port map( inb => n1022, outb => n4025);
U5481 : inv port map( inb => mult_125_G3_ab_4_7_port, outb => n4026);
U5482 : inv port map( inb => n1023, outb => n4028);
U5483 : inv port map( inb => mult_125_G3_ab_6_5_port, outb => n1088);
U5484 : inv port map( inb => n1401, outb => n4273);
U5485 : inv port map( inb => n1460, outb => n4310);
U5486 : inv port map( inb => mult_125_G2_ab_4_7_port, outb => n4311);
U5487 : inv port map( inb => n1461, outb => n4313);
U5488 : inv port map( inb => mult_125_G2_ab_6_5_port, outb => n1526);
U5489 : inv port map( inb => n1839, outb => n4558);
U5490 : inv port map( inb => n1898, outb => n4595);
U5491 : inv port map( inb => mult_125_ab_4_7_port, outb => n4596);
U5492 : inv port map( inb => n1899, outb => n4598);
U5493 : inv port map( inb => mult_125_ab_6_5_port, outb => n1964);
U5494 : inv port map( inb => n524, outb => n3700);
U5495 : inv port map( inb => mult_125_G4_ab_4_9_port, outb => n3701);
U5496 : inv port map( inb => n652, outb => n3782);
U5497 : inv port map( inb => n724, outb => n3823);
U5498 : inv port map( inb => n962, outb => n3985);
U5499 : inv port map( inb => mult_125_G3_ab_4_9_port, outb => n3986);
U5500 : inv port map( inb => n1090, outb => n4067);
U5501 : inv port map( inb => n1162, outb => n4108);
U5502 : inv port map( inb => n1400, outb => n4270);
U5503 : inv port map( inb => mult_125_G2_ab_4_9_port, outb => n4271);
U5504 : inv port map( inb => n1528, outb => n4352);
U5505 : inv port map( inb => n1600, outb => n4393);
U5506 : inv port map( inb => n1838, outb => n4555);
U5507 : inv port map( inb => mult_125_ab_4_9_port, outb => n4556);
U5508 : inv port map( inb => n1966, outb => n4637);
U5509 : inv port map( inb => n2038, outb => n4678);
U5510 : inv port map( inb => mult_125_G4_ab_4_8_port, outb => n3721);
U5511 : inv port map( inb => n681, outb => n3798);
U5512 : inv port map( inb => n720, outb => n3820);
U5513 : inv port map( inb => n743, outb => n3834);
U5514 : inv port map( inb => mult_125_G3_ab_4_8_port, outb => n4006);
U5515 : inv port map( inb => n1119, outb => n4083);
U5516 : inv port map( inb => n1158, outb => n4105);
U5517 : inv port map( inb => n1181, outb => n4119);
U5518 : inv port map( inb => mult_125_G2_ab_4_8_port, outb => n4291);
U5519 : inv port map( inb => n1557, outb => n4368);
U5520 : inv port map( inb => n1596, outb => n4390);
U5521 : inv port map( inb => n1619, outb => n4404);
U5522 : inv port map( inb => mult_125_ab_4_8_port, outb => n4576);
U5523 : inv port map( inb => n1995, outb => n4653);
U5524 : inv port map( inb => n2034, outb => n4675);
U5525 : inv port map( inb => n2057, outb => n4689);
U5526 : inv port map( inb => n554, outb => n3720);
U5527 : inv port map( inb => n646, outb => n3777);
U5528 : inv port map( inb => mult_125_G4_ab_4_5_port, outb => n3778);
U5529 : inv port map( inb => n711, outb => n3811);
U5530 : inv port map( inb => mult_125_G4_ab_4_3_port, outb => n3812);
U5531 : inv port map( inb => mult_125_G4_ab_6_3_port, outb => n3815);
U5532 : inv port map( inb => mult_125_G4_ab_8_3_port, outb => n3818);
U5533 : inv port map( inb => n992, outb => n4005);
U5534 : inv port map( inb => n1084, outb => n4062);
U5535 : inv port map( inb => mult_125_G3_ab_4_5_port, outb => n4063);
U5536 : inv port map( inb => n1149, outb => n4096);
U5537 : inv port map( inb => mult_125_G3_ab_4_3_port, outb => n4097);
U5538 : inv port map( inb => mult_125_G3_ab_6_3_port, outb => n4100);
U5539 : inv port map( inb => mult_125_G3_ab_8_3_port, outb => n4103);
U5540 : inv port map( inb => n1430, outb => n4290);
U5541 : inv port map( inb => n1522, outb => n4347);
U5542 : inv port map( inb => mult_125_G2_ab_4_5_port, outb => n4348);
U5543 : inv port map( inb => n1587, outb => n4381);
U5544 : inv port map( inb => mult_125_G2_ab_4_3_port, outb => n4382);
U5545 : inv port map( inb => mult_125_G2_ab_6_3_port, outb => n4385);
U5546 : inv port map( inb => mult_125_G2_ab_8_3_port, outb => n4388);
U5547 : inv port map( inb => n1868, outb => n4575);
U5548 : inv port map( inb => n1960, outb => n4632);
U5549 : inv port map( inb => mult_125_ab_4_5_port, outb => n4633);
U5550 : inv port map( inb => n2025, outb => n4666);
U5551 : inv port map( inb => mult_125_ab_4_3_port, outb => n4667);
U5552 : inv port map( inb => mult_125_ab_6_3_port, outb => n4670);
U5553 : inv port map( inb => mult_125_ab_8_3_port, outb => n4673);
U5554 : inv port map( inb => n647, outb => n3779);
U5555 : inv port map( inb => n716, outb => n3817);
U5556 : inv port map( inb => n1085, outb => n4064);
U5557 : inv port map( inb => n1154, outb => n4102);
U5558 : inv port map( inb => n1523, outb => n4349);
U5559 : inv port map( inb => n1592, outb => n4387);
U5560 : inv port map( inb => n1961, outb => n4634);
U5561 : inv port map( inb => n2030, outb => n4672);
U5562 : inv port map( inb => n613, outb => n3760);
U5563 : inv port map( inb => n676, outb => n3796);
U5564 : inv port map( inb => n1051, outb => n4045);
U5565 : inv port map( inb => n1114, outb => n4081);
U5566 : inv port map( inb => n1489, outb => n4330);
U5567 : inv port map( inb => n1552, outb => n4366);
U5568 : inv port map( inb => n1927, outb => n4615);
U5569 : inv port map( inb => n1990, outb => n4651);
U5570 : inv port map( inb => n455, outb => n4927);
U5571 : inv port map( inb => n516, outb => n4930);
U5572 : inv port map( inb => n575, outb => n4933);
U5573 : inv port map( inb => n637, outb => n4936);
U5574 : inv port map( inb => n712, outb => n3814);
U5575 : inv port map( inb => n775, outb => n3856);
U5576 : inv port map( inb => n779, outb => n3859);
U5577 : inv port map( inb => n783, outb => n3862);
U5578 : inv port map( inb => n787, outb => n3865);
U5579 : inv port map( inb => n791, outb => n4942);
U5580 : inv port map( inb => n893, outb => n5073);
U5581 : inv port map( inb => n954, outb => n5076);
U5582 : inv port map( inb => n1013, outb => n5079);
U5583 : inv port map( inb => n1075, outb => n5082);
U5584 : inv port map( inb => n1150, outb => n4099);
U5585 : inv port map( inb => n1213, outb => n4141);
U5586 : inv port map( inb => n1217, outb => n4144);
U5587 : inv port map( inb => n1221, outb => n4147);
U5588 : inv port map( inb => n1225, outb => n4150);
U5589 : inv port map( inb => n1229, outb => n5088);
U5590 : inv port map( inb => n1331, outb => n5236);
U5591 : inv port map( inb => n1392, outb => n5239);
U5592 : inv port map( inb => n1451, outb => n5242);
U5593 : inv port map( inb => n1513, outb => n5245);
U5594 : inv port map( inb => n1588, outb => n4384);
U5595 : inv port map( inb => n1651, outb => n4426);
U5596 : inv port map( inb => n1655, outb => n4429);
U5597 : inv port map( inb => n1659, outb => n4432);
U5598 : inv port map( inb => n1663, outb => n4435);
U5599 : inv port map( inb => n1667, outb => n5251);
U5600 : inv port map( inb => n1769, outb => n5399);
U5601 : inv port map( inb => n1830, outb => n5402);
U5602 : inv port map( inb => n1889, outb => n5405);
U5603 : inv port map( inb => n1951, outb => n5408);
U5604 : inv port map( inb => n2026, outb => n4669);
U5605 : inv port map( inb => n2089, outb => n4711);
U5606 : inv port map( inb => n2093, outb => n4714);
U5607 : inv port map( inb => n2097, outb => n4717);
U5608 : inv port map( inb => n2101, outb => n4720);
U5609 : inv port map( inb => n2105, outb => n5414);
U5610 : inv port map( inb => n3625, outb => n411);
U5611 : inv port map( inb => n3628, outb => n415);
U5612 : inv port map( inb => n3631, outb => n419);
U5613 : inv port map( inb => n3634, outb => n423);
U5614 : inv port map( inb => mult_125_G4_ab_2_12_port, outb => n429);
U5615 : inv port map( inb => n3639, outb => n432);
U5616 : inv port map( inb => n3644, outb => n438);
U5617 : inv port map( inb => n3647, outb => n442);
U5618 : inv port map( inb => n3650, outb => n446);
U5619 : inv port map( inb => n3653, outb => n450);
U5620 : inv port map( inb => n3656, outb => n454);
U5621 : inv port map( inb => mult_125_G4_ab_2_11_port, outb => n458);
U5622 : inv port map( inb => n3668, outb => n474);
U5623 : inv port map( inb => n3671, outb => n478);
U5624 : inv port map( inb => n3674, outb => n482);
U5625 : inv port map( inb => mult_125_G4_ab_15_11_port, outb => n486);
U5626 : inv port map( inb => mult_125_G4_ab_2_10_port, outb => n489);
U5627 : inv port map( inb => n3678, outb => n492);
U5628 : inv port map( inb => n3688, outb => n507);
U5629 : inv port map( inb => n3691, outb => n511);
U5630 : inv port map( inb => n3694, outb => n515);
U5631 : inv port map( inb => mult_125_G4_ab_2_9_port, outb => n519);
U5632 : inv port map( inb => n3697, outb => n522);
U5633 : inv port map( inb => n3705, outb => n532);
U5634 : inv port map( inb => n3710, outb => n538);
U5635 : inv port map( inb => n3713, outb => n542);
U5636 : inv port map( inb => mult_125_G4_ab_15_9_port, outb => n546);
U5637 : inv port map( inb => mult_125_G4_ab_2_8_port, outb => n549);
U5638 : inv port map( inb => n3717, outb => n552);
U5639 : inv port map( inb => n3724, outb => n560);
U5640 : inv port map( inb => n3732, outb => n570);
U5641 : inv port map( inb => n3735, outb => n574);
U5642 : inv port map( inb => mult_125_G4_ab_2_7_port, outb => n578);
U5643 : inv port map( inb => n3742, outb => n588);
U5644 : inv port map( inb => n3745, outb => n592);
U5645 : inv port map( inb => n3748, outb => n596);
U5646 : inv port map( inb => n3753, outb => n602);
U5647 : inv port map( inb => mult_125_G4_ab_15_7_port, outb => n606);
U5648 : inv port map( inb => mult_125_G4_ab_2_6_port, outb => n609);
U5649 : inv port map( inb => n3757, outb => n612);
U5650 : inv port map( inb => n3762, outb => n621);
U5651 : inv port map( inb => n3772, outb => n636);
U5652 : inv port map( inb => mult_125_G4_ab_2_5_port, outb => n640);
U5653 : inv port map( inb => n3784, outb => n659);
U5654 : inv port map( inb => n3787, outb => n663);
U5655 : inv port map( inb => mult_125_G4_ab_15_5_port, outb => n669);
U5656 : inv port map( inb => mult_125_G4_ab_2_4_port, outb => n672);
U5657 : inv port map( inb => n3793, outb => n675);
U5658 : inv port map( inb => mult_125_G4_ab_15_4_port, outb => n702);
U5659 : inv port map( inb => mult_125_G4_ab_2_3_port, outb => n705);
U5660 : inv port map( inb => n3822, outb => n727);
U5661 : inv port map( inb => mult_125_G4_ab_2_2_port, outb => n735);
U5662 : inv port map( inb => n3828, outb => n738);
U5663 : inv port map( inb => n3845, outb => n763);
U5664 : inv port map( inb => mult_125_G4_ab_2_1_port, outb => n765);
U5665 : inv port map( inb => n3847, outb => n768);
U5666 : inv port map( inb => n771, outb => n3853);
U5667 : inv port map( inb => n3855, outb => n778);
U5668 : inv port map( inb => n3864, outb => n790);
U5669 : inv port map( inb => n3874, outb => n802);
U5670 : inv port map( inb => n3878, outb => n806);
U5671 : inv port map( inb => n3882, outb => n810);
U5672 : inv port map( inb => n3886, outb => n814);
U5673 : inv port map( inb => n3890, outb => n818);
U5674 : inv port map( inb => n821, outb => n240);
U5675 : inv port map( inb => n3910, outb => n849);
U5676 : inv port map( inb => n3913, outb => n853);
U5677 : inv port map( inb => n3916, outb => n857);
U5678 : inv port map( inb => n3919, outb => n861);
U5679 : inv port map( inb => mult_125_G3_ab_2_12_port, outb => n867);
U5680 : inv port map( inb => n3924, outb => n870);
U5681 : inv port map( inb => n3929, outb => n876);
U5682 : inv port map( inb => n3932, outb => n880);
U5683 : inv port map( inb => n3935, outb => n884);
U5684 : inv port map( inb => n3938, outb => n888);
U5685 : inv port map( inb => n3941, outb => n892);
U5686 : inv port map( inb => mult_125_G3_ab_2_11_port, outb => n896);
U5687 : inv port map( inb => n3953, outb => n912);
U5688 : inv port map( inb => n3956, outb => n916);
U5689 : inv port map( inb => n3959, outb => n920);
U5690 : inv port map( inb => mult_125_G3_ab_15_11_port, outb => n924);
U5691 : inv port map( inb => mult_125_G3_ab_2_10_port, outb => n927);
U5692 : inv port map( inb => n3963, outb => n930);
U5693 : inv port map( inb => n3973, outb => n945);
U5694 : inv port map( inb => n3976, outb => n949);
U5695 : inv port map( inb => n3979, outb => n953);
U5696 : inv port map( inb => mult_125_G3_ab_2_9_port, outb => n957);
U5697 : inv port map( inb => n3982, outb => n960);
U5698 : inv port map( inb => n3990, outb => n970);
U5699 : inv port map( inb => n3995, outb => n976);
U5700 : inv port map( inb => n3998, outb => n980);
U5701 : inv port map( inb => mult_125_G3_ab_15_9_port, outb => n984);
U5702 : inv port map( inb => mult_125_G3_ab_2_8_port, outb => n987);
U5703 : inv port map( inb => n4002, outb => n990);
U5704 : inv port map( inb => n4009, outb => n998);
U5705 : inv port map( inb => n4017, outb => n1008);
U5706 : inv port map( inb => n4020, outb => n1012);
U5707 : inv port map( inb => mult_125_G3_ab_2_7_port, outb => n1016);
U5708 : inv port map( inb => n4027, outb => n1026);
U5709 : inv port map( inb => n4030, outb => n1030);
U5710 : inv port map( inb => n4033, outb => n1034);
U5711 : inv port map( inb => n4038, outb => n1040);
U5712 : inv port map( inb => mult_125_G3_ab_15_7_port, outb => n1044);
U5713 : inv port map( inb => mult_125_G3_ab_2_6_port, outb => n1047);
U5714 : inv port map( inb => n4042, outb => n1050);
U5715 : inv port map( inb => n4047, outb => n1059);
U5716 : inv port map( inb => n4057, outb => n1074);
U5717 : inv port map( inb => mult_125_G3_ab_2_5_port, outb => n1078);
U5718 : inv port map( inb => n4069, outb => n1097);
U5719 : inv port map( inb => n4072, outb => n1101);
U5720 : inv port map( inb => mult_125_G3_ab_15_5_port, outb => n1107);
U5721 : inv port map( inb => mult_125_G3_ab_2_4_port, outb => n1110);
U5722 : inv port map( inb => n4078, outb => n1113);
U5723 : inv port map( inb => mult_125_G3_ab_15_4_port, outb => n1140);
U5724 : inv port map( inb => mult_125_G3_ab_2_3_port, outb => n1143);
U5725 : inv port map( inb => n4107, outb => n1165);
U5726 : inv port map( inb => mult_125_G3_ab_2_2_port, outb => n1173);
U5727 : inv port map( inb => n4113, outb => n1176);
U5728 : inv port map( inb => n4130, outb => n1201);
U5729 : inv port map( inb => mult_125_G3_ab_2_1_port, outb => n1203);
U5730 : inv port map( inb => n4132, outb => n1206);
U5731 : inv port map( inb => n1209, outb => n4138);
U5732 : inv port map( inb => n4140, outb => n1216);
U5733 : inv port map( inb => n4149, outb => n1228);
U5734 : inv port map( inb => n4159, outb => n1240);
U5735 : inv port map( inb => n4163, outb => n1244);
U5736 : inv port map( inb => n4167, outb => n1248);
U5737 : inv port map( inb => n4171, outb => n1252);
U5738 : inv port map( inb => n4175, outb => n1256);
U5739 : inv port map( inb => n1259, outb => n348);
U5740 : inv port map( inb => n4195, outb => n1287);
U5741 : inv port map( inb => n4198, outb => n1291);
U5742 : inv port map( inb => n4201, outb => n1295);
U5743 : inv port map( inb => n4204, outb => n1299);
U5744 : inv port map( inb => mult_125_G2_ab_2_12_port, outb => n1305);
U5745 : inv port map( inb => n4209, outb => n1308);
U5746 : inv port map( inb => n4214, outb => n1314);
U5747 : inv port map( inb => n4217, outb => n1318);
U5748 : inv port map( inb => n4220, outb => n1322);
U5749 : inv port map( inb => n4223, outb => n1326);
U5750 : inv port map( inb => n4226, outb => n1330);
U5751 : inv port map( inb => mult_125_G2_ab_2_11_port, outb => n1334);
U5752 : inv port map( inb => n4238, outb => n1350);
U5753 : inv port map( inb => n4241, outb => n1354);
U5754 : inv port map( inb => n4244, outb => n1358);
U5755 : inv port map( inb => mult_125_G2_ab_15_11_port, outb => n1362);
U5756 : inv port map( inb => mult_125_G2_ab_2_10_port, outb => n1365);
U5757 : inv port map( inb => n4248, outb => n1368);
U5758 : inv port map( inb => n4258, outb => n1383);
U5759 : inv port map( inb => n4261, outb => n1387);
U5760 : inv port map( inb => n4264, outb => n1391);
U5761 : inv port map( inb => mult_125_G2_ab_2_9_port, outb => n1395);
U5762 : inv port map( inb => n4267, outb => n1398);
U5763 : inv port map( inb => n4275, outb => n1408);
U5764 : inv port map( inb => n4280, outb => n1414);
U5765 : inv port map( inb => n4283, outb => n1418);
U5766 : inv port map( inb => mult_125_G2_ab_15_9_port, outb => n1422);
U5767 : inv port map( inb => mult_125_G2_ab_2_8_port, outb => n1425);
U5768 : inv port map( inb => n4287, outb => n1428);
U5769 : inv port map( inb => n4294, outb => n1436);
U5770 : inv port map( inb => n4302, outb => n1446);
U5771 : inv port map( inb => n4305, outb => n1450);
U5772 : inv port map( inb => mult_125_G2_ab_2_7_port, outb => n1454);
U5773 : inv port map( inb => n4312, outb => n1464);
U5774 : inv port map( inb => n4315, outb => n1468);
U5775 : inv port map( inb => n4318, outb => n1472);
U5776 : inv port map( inb => n4323, outb => n1478);
U5777 : inv port map( inb => mult_125_G2_ab_15_7_port, outb => n1482);
U5778 : inv port map( inb => mult_125_G2_ab_2_6_port, outb => n1485);
U5779 : inv port map( inb => n4327, outb => n1488);
U5780 : inv port map( inb => n4332, outb => n1497);
U5781 : inv port map( inb => n4342, outb => n1512);
U5782 : inv port map( inb => mult_125_G2_ab_2_5_port, outb => n1516);
U5783 : inv port map( inb => n4354, outb => n1535);
U5784 : inv port map( inb => n4357, outb => n1539);
U5785 : inv port map( inb => mult_125_G2_ab_15_5_port, outb => n1545);
U5786 : inv port map( inb => mult_125_G2_ab_2_4_port, outb => n1548);
U5787 : inv port map( inb => n4363, outb => n1551);
U5788 : inv port map( inb => mult_125_G2_ab_15_4_port, outb => n1578);
U5789 : inv port map( inb => mult_125_G2_ab_2_3_port, outb => n1581);
U5790 : inv port map( inb => n4392, outb => n1603);
U5791 : inv port map( inb => mult_125_G2_ab_2_2_port, outb => n1611);
U5792 : inv port map( inb => n4398, outb => n1614);
U5793 : inv port map( inb => n4415, outb => n1639);
U5794 : inv port map( inb => mult_125_G2_ab_2_1_port, outb => n1641);
U5795 : inv port map( inb => n4417, outb => n1644);
U5796 : inv port map( inb => n1647, outb => n4423);
U5797 : inv port map( inb => n4425, outb => n1654);
U5798 : inv port map( inb => n4434, outb => n1666);
U5799 : inv port map( inb => n4444, outb => n1678);
U5800 : inv port map( inb => n4448, outb => n1682);
U5801 : inv port map( inb => n4452, outb => n1686);
U5802 : inv port map( inb => n4456, outb => n1690);
U5803 : inv port map( inb => n4460, outb => n1694);
U5804 : inv port map( inb => n1697, outb => n312);
U5805 : inv port map( inb => n4480, outb => n1725);
U5806 : inv port map( inb => n4483, outb => n1729);
U5807 : inv port map( inb => n4486, outb => n1733);
U5808 : inv port map( inb => n4489, outb => n1737);
U5809 : inv port map( inb => mult_125_ab_2_12_port, outb => n1743);
U5810 : inv port map( inb => n4494, outb => n1746);
U5811 : inv port map( inb => n4499, outb => n1752);
U5812 : inv port map( inb => n4502, outb => n1756);
U5813 : inv port map( inb => n4505, outb => n1760);
U5814 : inv port map( inb => n4508, outb => n1764);
U5815 : inv port map( inb => n4511, outb => n1768);
U5816 : inv port map( inb => mult_125_ab_2_11_port, outb => n1772);
U5817 : inv port map( inb => n4523, outb => n1788);
U5818 : inv port map( inb => n4526, outb => n1792);
U5819 : inv port map( inb => n4529, outb => n1796);
U5820 : inv port map( inb => mult_125_ab_15_11_port, outb => n1800);
U5821 : inv port map( inb => mult_125_ab_2_10_port, outb => n1803);
U5822 : inv port map( inb => n4533, outb => n1806);
U5823 : inv port map( inb => n4543, outb => n1821);
U5824 : inv port map( inb => n4546, outb => n1825);
U5825 : inv port map( inb => n4549, outb => n1829);
U5826 : inv port map( inb => mult_125_ab_2_9_port, outb => n1833);
U5827 : inv port map( inb => n4552, outb => n1836);
U5828 : inv port map( inb => n4560, outb => n1846);
U5829 : inv port map( inb => n4565, outb => n1852);
U5830 : inv port map( inb => n4568, outb => n1856);
U5831 : inv port map( inb => mult_125_ab_15_9_port, outb => n1860);
U5832 : inv port map( inb => mult_125_ab_2_8_port, outb => n1863);
U5833 : inv port map( inb => n4572, outb => n1866);
U5834 : inv port map( inb => n4579, outb => n1874);
U5835 : inv port map( inb => n4587, outb => n1884);
U5836 : inv port map( inb => n4590, outb => n1888);
U5837 : inv port map( inb => mult_125_ab_2_7_port, outb => n1892);
U5838 : inv port map( inb => n4597, outb => n1902);
U5839 : inv port map( inb => n4600, outb => n1906);
U5840 : inv port map( inb => n4603, outb => n1910);
U5841 : inv port map( inb => n4608, outb => n1916);
U5842 : inv port map( inb => mult_125_ab_15_7_port, outb => n1920);
U5843 : inv port map( inb => mult_125_ab_2_6_port, outb => n1923);
U5844 : inv port map( inb => n4612, outb => n1926);
U5845 : inv port map( inb => n4617, outb => n1935);
U5846 : inv port map( inb => n4627, outb => n1950);
U5847 : inv port map( inb => mult_125_ab_2_5_port, outb => n1954);
U5848 : inv port map( inb => n4639, outb => n1973);
U5849 : inv port map( inb => n4642, outb => n1977);
U5850 : inv port map( inb => mult_125_ab_15_5_port, outb => n1983);
U5851 : inv port map( inb => mult_125_ab_2_4_port, outb => n1986);
U5852 : inv port map( inb => n4648, outb => n1989);
U5853 : inv port map( inb => mult_125_ab_15_4_port, outb => n2016);
U5854 : inv port map( inb => mult_125_ab_2_3_port, outb => n2019);
U5855 : inv port map( inb => n4677, outb => n2041);
U5856 : inv port map( inb => mult_125_ab_2_2_port, outb => n2049);
U5857 : inv port map( inb => n4683, outb => n2052);
U5858 : inv port map( inb => n4700, outb => n2077);
U5859 : inv port map( inb => mult_125_ab_2_1_port, outb => n2079);
U5860 : inv port map( inb => n4702, outb => n2082);
U5861 : inv port map( inb => n2085, outb => n4708);
U5862 : inv port map( inb => n4710, outb => n2092);
U5863 : inv port map( inb => n4719, outb => n2104);
U5864 : inv port map( inb => n4729, outb => n2116);
U5865 : inv port map( inb => n4733, outb => n2120);
U5866 : inv port map( inb => n4737, outb => n2124);
U5867 : inv port map( inb => n4741, outb => n2128);
U5868 : inv port map( inb => n4745, outb => n2132);
U5869 : inv port map( inb => n2135, outb => n276);
U5870 : inv port map( inb => multiplier_sigs_1_31_port, outb => n5270);
U5871 : inv port map( inb => adder_mem_array_2_31_port, outb => n5269);
U5872 : inv port map( inb => multiplier_sigs_0_31_port, outb => n5433);
U5873 : inv port map( inb => adder_mem_array_1_31_port, outb => n5432);
U5874 : inv port map( inb => multiplier_sigs_2_31_port, outb => n5107);
U5875 : inv port map( inb => adder_mem_array_3_31_port, outb => n5106);
U5876 : inv port map( inb => n2238, outb => n242);
U5877 : inv port map( inb => mult_125_G4_ab_2_13_port, outb => n399);
U5878 : inv port map( inb => n401, outb => n3621);
U5879 : inv port map( inb => n3622, outb => n407);
U5880 : inv port map( inb => n426, outb => n4926);
U5881 : inv port map( inb => n435, outb => n3645);
U5882 : inv port map( inb => n460, outb => n3660);
U5883 : inv port map( inb => n3663, outb => n468);
U5884 : inv port map( inb => n485, outb => n4929);
U5885 : inv port map( inb => n4928, outb => n247);
U5886 : inv port map( inb => mult_125_G4_ab_15_12_port, outb => n5037);
U5887 : inv port map( inb => n495, outb => n3682);
U5888 : inv port map( inb => n3683, outb => n501);
U5889 : inv port map( inb => n3702, outb => n528);
U5890 : inv port map( inb => n545, outb => n4932);
U5891 : inv port map( inb => n4931, outb => n251);
U5892 : inv port map( inb => mult_125_G4_ab_15_10_port, outb => n5038);
U5893 : inv port map( inb => n3727, outb => n564);
U5894 : inv port map( inb => n580, outb => n3739);
U5895 : inv port map( inb => n605, outb => n4935);
U5896 : inv port map( inb => n4934, outb => n255);
U5897 : inv port map( inb => mult_125_G4_ab_15_8_port, outb => n5039);
U5898 : inv port map( inb => n615, outb => n3761);
U5899 : inv port map( inb => n624, outb => n3766);
U5900 : inv port map( inb => n3767, outb => n630);
U5901 : inv port map( inb => n642, outb => n3776);
U5902 : inv port map( inb => n649, outb => n3780);
U5903 : inv port map( inb => n3781, outb => n655);
U5904 : inv port map( inb => n668, outb => n4938);
U5905 : inv port map( inb => n4937, outb => n259);
U5906 : inv port map( inb => mult_125_G4_ab_15_6_port, outb => n5040);
U5907 : inv port map( inb => n678, outb => n3797);
U5908 : inv port map( inb => n683, outb => n3799);
U5909 : inv port map( inb => n3800, outb => n689);
U5910 : inv port map( inb => n692, outb => n3804);
U5911 : inv port map( inb => n3805, outb => n698);
U5912 : inv port map( inb => n707, outb => n3810);
U5913 : inv port map( inb => n3813, outb => n715);
U5914 : inv port map( inb => n3816, outb => n719);
U5915 : inv port map( inb => n3819, outb => n723);
U5916 : inv port map( inb => n3825, outb => n731);
U5917 : inv port map( inb => n3831, outb => n742);
U5918 : inv port map( inb => n745, outb => n3835);
U5919 : inv port map( inb => n3836, outb => n751);
U5920 : inv port map( inb => n3839, outb => n755);
U5921 : inv port map( inb => n3842, outb => n759);
U5922 : inv port map( inb => n3852, outb => n774);
U5923 : inv port map( inb => n3858, outb => n782);
U5924 : inv port map( inb => n3861, outb => n786);
U5925 : inv port map( inb => n793, outb => n3869);
U5926 : inv port map( inb => n795, outb => n3871);
U5927 : inv port map( inb => n3870, outb => n798);
U5928 : inv port map( inb => n5041, outb => n5030);
U5929 : inv port map( inb => mult_125_G4_ab_7_1_port, outb => n5042);
U5930 : inv port map( inb => mult_125_G4_ab_9_1_port, outb => n5043);
U5931 : inv port map( inb => mult_125_G4_ab_11_1_port, outb => n5044);
U5932 : inv port map( inb => mult_125_G4_ab_13_1_port, outb => n5045);
U5933 : inv port map( inb => mult_125_G4_ab_15_1_port, outb => n5046);
U5934 : inv port map( inb => n2535, outb => n350);
U5935 : inv port map( inb => mult_125_G3_ab_2_13_port, outb => n837);
U5936 : inv port map( inb => n839, outb => n3906);
U5937 : inv port map( inb => n3907, outb => n845);
U5938 : inv port map( inb => n864, outb => n5072);
U5939 : inv port map( inb => n873, outb => n3930);
U5940 : inv port map( inb => n898, outb => n3945);
U5941 : inv port map( inb => n3948, outb => n906);
U5942 : inv port map( inb => n923, outb => n5075);
U5943 : inv port map( inb => n5074, outb => n355);
U5944 : inv port map( inb => mult_125_G3_ab_15_12_port, outb => n5200);
U5945 : inv port map( inb => n933, outb => n3967);
U5946 : inv port map( inb => n3968, outb => n939);
U5947 : inv port map( inb => n3987, outb => n966);
U5948 : inv port map( inb => n983, outb => n5078);
U5949 : inv port map( inb => n5077, outb => n359);
U5950 : inv port map( inb => mult_125_G3_ab_15_10_port, outb => n5201);
U5951 : inv port map( inb => n4012, outb => n1002);
U5952 : inv port map( inb => n1018, outb => n4024);
U5953 : inv port map( inb => n1043, outb => n5081);
U5954 : inv port map( inb => n5080, outb => n363);
U5955 : inv port map( inb => mult_125_G3_ab_15_8_port, outb => n5202);
U5956 : inv port map( inb => n1053, outb => n4046);
U5957 : inv port map( inb => n1062, outb => n4051);
U5958 : inv port map( inb => n4052, outb => n1068);
U5959 : inv port map( inb => n1080, outb => n4061);
U5960 : inv port map( inb => n1087, outb => n4065);
U5961 : inv port map( inb => n4066, outb => n1093);
U5962 : inv port map( inb => n1106, outb => n5084);
U5963 : inv port map( inb => n5083, outb => n367);
U5964 : inv port map( inb => mult_125_G3_ab_15_6_port, outb => n5203);
U5965 : inv port map( inb => n1116, outb => n4082);
U5966 : inv port map( inb => n1121, outb => n4084);
U5967 : inv port map( inb => n4085, outb => n1127);
U5968 : inv port map( inb => n1130, outb => n4089);
U5969 : inv port map( inb => n4090, outb => n1136);
U5970 : inv port map( inb => n1145, outb => n4095);
U5971 : inv port map( inb => n4098, outb => n1153);
U5972 : inv port map( inb => n4101, outb => n1157);
U5973 : inv port map( inb => n4104, outb => n1161);
U5974 : inv port map( inb => n4110, outb => n1169);
U5975 : inv port map( inb => n4116, outb => n1180);
U5976 : inv port map( inb => n1183, outb => n4120);
U5977 : inv port map( inb => n4121, outb => n1189);
U5978 : inv port map( inb => n4124, outb => n1193);
U5979 : inv port map( inb => n4127, outb => n1197);
U5980 : inv port map( inb => n4137, outb => n1212);
U5981 : inv port map( inb => n4143, outb => n1220);
U5982 : inv port map( inb => n4146, outb => n1224);
U5983 : inv port map( inb => n1231, outb => n4154);
U5984 : inv port map( inb => n1233, outb => n4156);
U5985 : inv port map( inb => n4155, outb => n1236);
U5986 : inv port map( inb => n5204, outb => n5193);
U5987 : inv port map( inb => mult_125_G3_ab_7_1_port, outb => n5205);
U5988 : inv port map( inb => mult_125_G3_ab_9_1_port, outb => n5206);
U5989 : inv port map( inb => mult_125_G3_ab_11_1_port, outb => n5207);
U5990 : inv port map( inb => mult_125_G3_ab_13_1_port, outb => n5208);
U5991 : inv port map( inb => mult_125_G3_ab_15_1_port, outb => n5209);
U5992 : inv port map( inb => n2832, outb => n314);
U5993 : inv port map( inb => mult_125_G2_ab_2_13_port, outb => n1275);
U5994 : inv port map( inb => n1277, outb => n4191);
U5995 : inv port map( inb => n4192, outb => n1283);
U5996 : inv port map( inb => n1302, outb => n5235);
U5997 : inv port map( inb => n1311, outb => n4215);
U5998 : inv port map( inb => n1336, outb => n4230);
U5999 : inv port map( inb => n4233, outb => n1344);
U6000 : inv port map( inb => n1361, outb => n5238);
U6001 : inv port map( inb => n5237, outb => n319);
U6002 : inv port map( inb => mult_125_G2_ab_15_12_port, outb => n5363);
U6003 : inv port map( inb => n1371, outb => n4252);
U6004 : inv port map( inb => n4253, outb => n1377);
U6005 : inv port map( inb => n4272, outb => n1404);
U6006 : inv port map( inb => n1421, outb => n5241);
U6007 : inv port map( inb => n5240, outb => n323);
U6008 : inv port map( inb => mult_125_G2_ab_15_10_port, outb => n5364);
U6009 : inv port map( inb => n4297, outb => n1440);
U6010 : inv port map( inb => n1456, outb => n4309);
U6011 : inv port map( inb => n1481, outb => n5244);
U6012 : inv port map( inb => n5243, outb => n327);
U6013 : inv port map( inb => mult_125_G2_ab_15_8_port, outb => n5365);
U6014 : inv port map( inb => n1491, outb => n4331);
U6015 : inv port map( inb => n1500, outb => n4336);
U6016 : inv port map( inb => n4337, outb => n1506);
U6017 : inv port map( inb => n1518, outb => n4346);
U6018 : inv port map( inb => n1525, outb => n4350);
U6019 : inv port map( inb => n4351, outb => n1531);
U6020 : inv port map( inb => n1544, outb => n5247);
U6021 : inv port map( inb => n5246, outb => n331);
U6022 : inv port map( inb => mult_125_G2_ab_15_6_port, outb => n5366);
U6023 : inv port map( inb => n1554, outb => n4367);
U6024 : inv port map( inb => n1559, outb => n4369);
U6025 : inv port map( inb => n4370, outb => n1565);
U6026 : inv port map( inb => n1568, outb => n4374);
U6027 : inv port map( inb => n4375, outb => n1574);
U6028 : inv port map( inb => n1583, outb => n4380);
U6029 : inv port map( inb => n4383, outb => n1591);
U6030 : inv port map( inb => n4386, outb => n1595);
U6031 : inv port map( inb => n4389, outb => n1599);
U6032 : inv port map( inb => n4395, outb => n1607);
U6033 : inv port map( inb => n4401, outb => n1618);
U6034 : inv port map( inb => n1621, outb => n4405);
U6035 : inv port map( inb => n4406, outb => n1627);
U6036 : inv port map( inb => n4409, outb => n1631);
U6037 : inv port map( inb => n4412, outb => n1635);
U6038 : inv port map( inb => n4422, outb => n1650);
U6039 : inv port map( inb => n4428, outb => n1658);
U6040 : inv port map( inb => n4431, outb => n1662);
U6041 : inv port map( inb => n1669, outb => n4439);
U6042 : inv port map( inb => n1671, outb => n4441);
U6043 : inv port map( inb => n4440, outb => n1674);
U6044 : inv port map( inb => n5367, outb => n5356);
U6045 : inv port map( inb => mult_125_G2_ab_7_1_port, outb => n5368);
U6046 : inv port map( inb => mult_125_G2_ab_9_1_port, outb => n5369);
U6047 : inv port map( inb => mult_125_G2_ab_11_1_port, outb => n5370);
U6048 : inv port map( inb => mult_125_G2_ab_13_1_port, outb => n5371);
U6049 : inv port map( inb => mult_125_G2_ab_15_1_port, outb => n5372);
U6050 : inv port map( inb => n3129, outb => n278);
U6051 : inv port map( inb => mult_125_ab_2_13_port, outb => n1713);
U6052 : inv port map( inb => n1715, outb => n4476);
U6053 : inv port map( inb => n4477, outb => n1721);
U6054 : inv port map( inb => n1740, outb => n5398);
U6055 : inv port map( inb => n1749, outb => n4500);
U6056 : inv port map( inb => n1774, outb => n4515);
U6057 : inv port map( inb => n4518, outb => n1782);
U6058 : inv port map( inb => n1799, outb => n5401);
U6059 : inv port map( inb => n5400, outb => n283);
U6060 : inv port map( inb => mult_125_ab_15_12_port, outb => n5526);
U6061 : inv port map( inb => n1809, outb => n4537);
U6062 : inv port map( inb => n4538, outb => n1815);
U6063 : inv port map( inb => n4557, outb => n1842);
U6064 : inv port map( inb => n1859, outb => n5404);
U6065 : inv port map( inb => n5403, outb => n287);
U6066 : inv port map( inb => mult_125_ab_15_10_port, outb => n5527);
U6067 : inv port map( inb => n4582, outb => n1878);
U6068 : inv port map( inb => n1894, outb => n4594);
U6069 : inv port map( inb => n1919, outb => n5407);
U6070 : inv port map( inb => n5406, outb => n291);
U6071 : inv port map( inb => mult_125_ab_15_8_port, outb => n5528);
U6072 : inv port map( inb => n1929, outb => n4616);
U6073 : inv port map( inb => n1938, outb => n4621);
U6074 : inv port map( inb => n4622, outb => n1944);
U6075 : inv port map( inb => n1956, outb => n4631);
U6076 : inv port map( inb => n1963, outb => n4635);
U6077 : inv port map( inb => n4636, outb => n1969);
U6078 : inv port map( inb => n1982, outb => n5410);
U6079 : inv port map( inb => n5409, outb => n295);
U6080 : inv port map( inb => mult_125_ab_15_6_port, outb => n5529);
U6081 : inv port map( inb => n1992, outb => n4652);
U6082 : inv port map( inb => n1997, outb => n4654);
U6083 : inv port map( inb => n4655, outb => n2003);
U6084 : inv port map( inb => n2006, outb => n4659);
U6085 : inv port map( inb => n4660, outb => n2012);
U6086 : inv port map( inb => n2021, outb => n4665);
U6087 : inv port map( inb => n4668, outb => n2029);
U6088 : inv port map( inb => n4671, outb => n2033);
U6089 : inv port map( inb => n4674, outb => n2037);
U6090 : inv port map( inb => n4680, outb => n2045);
U6091 : inv port map( inb => n4686, outb => n2056);
U6092 : inv port map( inb => n2059, outb => n4690);
U6093 : inv port map( inb => n4691, outb => n2065);
U6094 : inv port map( inb => n4694, outb => n2069);
U6095 : inv port map( inb => n4697, outb => n2073);
U6096 : inv port map( inb => n4707, outb => n2088);
U6097 : inv port map( inb => n4713, outb => n2096);
U6098 : inv port map( inb => n4716, outb => n2100);
U6099 : inv port map( inb => n2107, outb => n4724);
U6100 : inv port map( inb => n2109, outb => n4726);
U6101 : inv port map( inb => n4725, outb => n2112);
U6102 : inv port map( inb => n5530, outb => n5519);
U6103 : inv port map( inb => mult_125_ab_7_1_port, outb => n5531);
U6104 : inv port map( inb => mult_125_ab_9_1_port, outb => n5532);
U6105 : inv port map( inb => mult_125_ab_11_1_port, outb => n5533);
U6106 : inv port map( inb => mult_125_ab_13_1_port, outb => n5534);
U6107 : inv port map( inb => mult_125_ab_15_1_port, outb => n5535);
U6108 : inv port map( inb => n2136, outb => n5091);
U6109 : inv port map( inb => n2163, outb => n5417);
U6110 : inv port map( inb => n2166, outb => n5254);
U6111 : inv port map( inb => n5536, outb => mult_125_G4_ZB);
U6112 : inv port map( inb => n5537, outb => mult_125_G4_ZA);
U6113 : inv port map( inb => n5538, outb => mult_125_G4_QB);
U6114 : inv port map( inb => n5539, outb => mult_125_G4_QA);
U6115 : inv port map( inb => mult_125_G4_A1_0_port, outb => n5540);
U6116 : inv port map( inb => n5541, outb => multiplier_sigs_3_2_port);
U6117 : inv port map( inb => mult_125_G4_A1_1_port, outb => n5542);
U6118 : inv port map( inb => n5543, outb => multiplier_sigs_3_3_port);
U6119 : inv port map( inb => mult_125_G4_A1_2_port, outb => n5544);
U6120 : inv port map( inb => n5545, outb => multiplier_sigs_3_4_port);
U6121 : inv port map( inb => mult_125_G4_A1_3_port, outb => n5546);
U6122 : inv port map( inb => n5547, outb => multiplier_sigs_3_5_port);
U6123 : inv port map( inb => mult_125_G4_A1_4_port, outb => n5548);
U6124 : inv port map( inb => n5549, outb => multiplier_sigs_3_6_port);
U6125 : inv port map( inb => mult_125_G4_A1_5_port, outb => n5550);
U6126 : inv port map( inb => n5551, outb => multiplier_sigs_3_7_port);
U6127 : inv port map( inb => mult_125_G4_A1_6_port, outb => n5552);
U6128 : inv port map( inb => n5553, outb => multiplier_sigs_3_8_port);
U6129 : inv port map( inb => mult_125_G4_A1_7_port, outb => n5554);
U6130 : inv port map( inb => n5555, outb => multiplier_sigs_3_9_port);
U6131 : inv port map( inb => mult_125_G4_A1_8_port, outb => n5556);
U6132 : inv port map( inb => n5557, outb => multiplier_sigs_3_10_port);
U6133 : inv port map( inb => mult_125_G4_A1_9_port, outb => n5558);
U6134 : inv port map( inb => n5559, outb => multiplier_sigs_3_11_port);
U6135 : inv port map( inb => mult_125_G4_A1_10_port, outb => n5560);
U6136 : inv port map( inb => n5561, outb => multiplier_sigs_3_12_port);
U6137 : inv port map( inb => mult_125_G4_A1_11_port, outb => n5562);
U6138 : inv port map( inb => n5563, outb => multiplier_sigs_3_13_port);
U6139 : inv port map( inb => mult_125_G4_A1_12_port, outb => n5564);
U6140 : inv port map( inb => n5565, outb => multiplier_sigs_3_14_port);
U6141 : inv port map( inb => mult_125_G4_A1_13_port, outb => n5566);
U6142 : inv port map( inb => n5567, outb => multiplier_sigs_3_15_port);
U6143 : inv port map( inb => mult_125_G4_A1_14_port, outb => n5568);
U6144 : inv port map( inb => mult_125_G4_A2_14_port, outb => n5569);
U6145 : inv port map( inb => n5570, outb => multiplier_sigs_3_16_port);
U6146 : inv port map( inb => mult_125_G4_A1_15_port, outb => n5571);
U6147 : inv port map( inb => mult_125_G4_A2_15_port, outb => n5572);
U6148 : inv port map( inb => n5573, outb =>
mult_125_G4_FS_1_PG_int_0_3_3_port);
U6149 : inv port map( inb => mult_125_G4_FS_1_TEMP_G_0_3_2_port, outb =>
n5574);
U6150 : inv port map( inb => mult_125_G4_FS_1_P_0_3_3_port, outb => n5575);
U6151 : inv port map( inb => mult_125_G4_A1_16_port, outb => n5576);
U6152 : inv port map( inb => mult_125_G4_A2_16_port, outb => n5577);
U6153 : inv port map( inb => n5578, outb =>
mult_125_G4_FS_1_PG_int_0_4_0_port);
U6154 : inv port map( inb => mult_125_G4_A1_17_port, outb => n5579);
U6155 : inv port map( inb => mult_125_G4_A2_17_port, outb => n5580);
U6156 : inv port map( inb => n5581, outb =>
mult_125_G4_FS_1_PG_int_0_4_1_port);
U6157 : inv port map( inb => n5582, outb =>
mult_125_G4_FS_1_TEMP_P_0_4_1_port);
U6158 : inv port map( inb => mult_125_G4_FS_1_TEMP_P_0_4_0_port, outb =>
n5583);
U6159 : inv port map( inb => mult_125_G4_A1_18_port, outb => n5584);
U6160 : inv port map( inb => mult_125_G4_A2_18_port, outb => n5585);
U6161 : inv port map( inb => n5586, outb =>
mult_125_G4_FS_1_PG_int_0_4_2_port);
U6162 : inv port map( inb => n5587, outb =>
mult_125_G4_FS_1_TEMP_P_0_4_2_port);
U6163 : inv port map( inb => mult_125_G4_FS_1_TEMP_G_0_4_1_port, outb =>
n5588);
U6164 : inv port map( inb => mult_125_G4_FS_1_C_1_4_1_port, outb => n5589);
U6165 : inv port map( inb => mult_125_G4_FS_1_P_0_4_1_port, outb => n5590);
U6166 : inv port map( inb => mult_125_G4_A1_19_port, outb => n5591);
U6167 : inv port map( inb => mult_125_G4_A2_19_port, outb => n5592);
U6168 : inv port map( inb => n5593, outb =>
mult_125_G4_FS_1_PG_int_0_4_3_port);
U6169 : inv port map( inb => mult_125_G4_FS_1_TEMP_G_0_4_2_port, outb =>
n5594);
U6170 : inv port map( inb => mult_125_G4_FS_1_P_0_4_3_port, outb => n5595);
U6171 : inv port map( inb => mult_125_G4_FS_1_C_1_4_2_port, outb => n5596);
U6172 : inv port map( inb => mult_125_G4_FS_1_P_0_4_2_port, outb => n5597);
U6173 : inv port map( inb => mult_125_G4_A1_20_port, outb => n5598);
U6174 : inv port map( inb => mult_125_G4_A2_20_port, outb => n5599);
U6175 : inv port map( inb => n5600, outb =>
mult_125_G4_FS_1_PG_int_0_5_0_port);
U6176 : inv port map( inb => mult_125_G4_A1_21_port, outb => n5601);
U6177 : inv port map( inb => mult_125_G4_A2_21_port, outb => n5602);
U6178 : inv port map( inb => n5603, outb =>
mult_125_G4_FS_1_PG_int_0_5_1_port);
U6179 : inv port map( inb => n5604, outb =>
mult_125_G4_FS_1_TEMP_P_0_5_1_port);
U6180 : inv port map( inb => mult_125_G4_FS_1_TEMP_P_0_5_0_port, outb =>
n5605);
U6181 : inv port map( inb => mult_125_G4_A1_22_port, outb => n5606);
U6182 : inv port map( inb => mult_125_G4_A2_22_port, outb => n5607);
U6183 : inv port map( inb => n5608, outb =>
mult_125_G4_FS_1_PG_int_0_5_2_port);
U6184 : inv port map( inb => n5609, outb =>
mult_125_G4_FS_1_TEMP_P_0_5_2_port);
U6185 : inv port map( inb => mult_125_G4_FS_1_TEMP_G_0_5_1_port, outb =>
n5610);
U6186 : inv port map( inb => mult_125_G4_FS_1_C_1_5_1_port, outb => n5611);
U6187 : inv port map( inb => mult_125_G4_FS_1_P_0_5_1_port, outb => n5612);
U6188 : inv port map( inb => mult_125_G4_A1_23_port, outb => n5613);
U6189 : inv port map( inb => mult_125_G4_A2_23_port, outb => n5614);
U6190 : inv port map( inb => n5615, outb =>
mult_125_G4_FS_1_PG_int_0_5_3_port);
U6191 : inv port map( inb => mult_125_G4_FS_1_TEMP_G_0_5_2_port, outb =>
n5616);
U6192 : inv port map( inb => mult_125_G4_FS_1_P_0_5_3_port, outb => n5617);
U6193 : inv port map( inb => mult_125_G4_FS_1_C_1_5_2_port, outb => n5618);
U6194 : inv port map( inb => mult_125_G4_FS_1_P_0_5_2_port, outb => n5619);
U6195 : inv port map( inb => mult_125_G4_A1_24_port, outb => n5620);
U6196 : inv port map( inb => mult_125_G4_A2_24_port, outb => n5621);
U6197 : inv port map( inb => n5622, outb =>
mult_125_G4_FS_1_PG_int_0_6_0_port);
U6198 : inv port map( inb => mult_125_G4_A1_25_port, outb => n5623);
U6199 : inv port map( inb => mult_125_G4_A2_25_port, outb => n5624);
U6200 : inv port map( inb => n5625, outb =>
mult_125_G4_FS_1_PG_int_0_6_1_port);
U6201 : inv port map( inb => n5626, outb =>
mult_125_G4_FS_1_TEMP_P_0_6_1_port);
U6202 : inv port map( inb => mult_125_G4_FS_1_TEMP_P_0_6_0_port, outb =>
n5627);
U6203 : inv port map( inb => mult_125_G4_A1_26_port, outb => n5628);
U6204 : inv port map( inb => mult_125_G4_A2_26_port, outb => n5629);
U6205 : inv port map( inb => n5630, outb =>
mult_125_G4_FS_1_PG_int_0_6_2_port);
U6206 : inv port map( inb => n5631, outb =>
mult_125_G4_FS_1_TEMP_P_0_6_2_port);
U6207 : inv port map( inb => mult_125_G4_FS_1_TEMP_G_0_6_1_port, outb =>
n5632);
U6208 : inv port map( inb => mult_125_G4_FS_1_C_1_6_1_port, outb => n5633);
U6209 : inv port map( inb => mult_125_G4_FS_1_P_0_6_1_port, outb => n5634);
U6210 : inv port map( inb => mult_125_G4_A1_27_port, outb => n5635);
U6211 : inv port map( inb => mult_125_G4_A2_27_port, outb => n5636);
U6212 : inv port map( inb => n5637, outb =>
mult_125_G4_FS_1_PG_int_0_6_3_port);
U6213 : inv port map( inb => mult_125_G4_FS_1_TEMP_G_0_6_2_port, outb =>
n5638);
U6214 : inv port map( inb => mult_125_G4_FS_1_P_0_6_3_port, outb => n5639);
U6215 : inv port map( inb => mult_125_G4_FS_1_C_1_6_2_port, outb => n5640);
U6216 : inv port map( inb => mult_125_G4_FS_1_P_0_6_2_port, outb => n5641);
U6217 : inv port map( inb => mult_125_G4_A1_28_port, outb => n5642);
U6218 : inv port map( inb => mult_125_G4_A2_28_port, outb => n5643);
U6219 : inv port map( inb => n5644, outb =>
mult_125_G4_FS_1_PG_int_0_7_0_port);
U6220 : inv port map( inb => mult_125_G4_A1_29_port, outb => n5645);
U6221 : inv port map( inb => mult_125_G4_A2_29_port, outb => n5646);
U6222 : inv port map( inb => n5647, outb =>
mult_125_G4_FS_1_PG_int_0_7_1_port);
U6223 : inv port map( inb => mult_125_G4_FS_1_C_1_7_0_port, outb => n5648);
U6224 : inv port map( inb => mult_125_G4_FS_1_TEMP_P_0_7_0_port, outb =>
n5649);
U6225 : inv port map( inb => mult_125_G4_FS_1_G_1_0_3_port, outb => n5650);
U6226 : inv port map( inb => mult_125_G4_FS_1_C_1_4_0_port, outb => n5651);
U6227 : inv port map( inb => mult_125_G4_FS_1_G_1_1_0_port, outb => n5653);
U6228 : inv port map( inb => mult_125_G4_FS_1_C_1_5_0_port, outb => n5654);
U6229 : inv port map( inb => mult_125_G4_FS_1_G_1_1_1_port, outb => n5656);
U6230 : inv port map( inb => mult_125_G4_FS_1_C_1_6_0_port, outb => n5657);
U6231 : inv port map( inb => mult_125_G4_FS_1_G_1_1_2_port, outb => n5659);
U6232 : inv port map( inb => mult_125_G4_FS_1_G_2_0_0_port, outb => n5660);
U6233 : inv port map( inb => n5661, outb => mult_125_ZB);
U6234 : inv port map( inb => n5662, outb => mult_125_ZA);
U6235 : inv port map( inb => n5663, outb => mult_125_QB);
U6236 : inv port map( inb => n5664, outb => mult_125_QA);
U6237 : inv port map( inb => mult_125_A1_0_port, outb => n5665);
U6238 : inv port map( inb => n5666, outb => multiplier_sigs_0_2_port);
U6239 : inv port map( inb => mult_125_A1_1_port, outb => n5667);
U6240 : inv port map( inb => n5668, outb => multiplier_sigs_0_3_port);
U6241 : inv port map( inb => mult_125_A1_2_port, outb => n5669);
U6242 : inv port map( inb => n5670, outb => multiplier_sigs_0_4_port);
U6243 : inv port map( inb => mult_125_A1_3_port, outb => n5671);
U6244 : inv port map( inb => n5672, outb => multiplier_sigs_0_5_port);
U6245 : inv port map( inb => mult_125_A1_4_port, outb => n5673);
U6246 : inv port map( inb => n5674, outb => multiplier_sigs_0_6_port);
U6247 : inv port map( inb => mult_125_A1_5_port, outb => n5675);
U6248 : inv port map( inb => n5676, outb => multiplier_sigs_0_7_port);
U6249 : inv port map( inb => mult_125_A1_6_port, outb => n5677);
U6250 : inv port map( inb => n5678, outb => multiplier_sigs_0_8_port);
U6251 : inv port map( inb => mult_125_A1_7_port, outb => n5679);
U6252 : inv port map( inb => n5680, outb => multiplier_sigs_0_9_port);
U6253 : inv port map( inb => mult_125_A1_8_port, outb => n5681);
U6254 : inv port map( inb => n5682, outb => multiplier_sigs_0_10_port);
U6255 : inv port map( inb => mult_125_A1_9_port, outb => n5683);
U6256 : inv port map( inb => n5684, outb => multiplier_sigs_0_11_port);
U6257 : inv port map( inb => mult_125_A1_10_port, outb => n5685);
U6258 : inv port map( inb => n5686, outb => multiplier_sigs_0_12_port);
U6259 : inv port map( inb => mult_125_A1_11_port, outb => n5687);
U6260 : inv port map( inb => n5688, outb => multiplier_sigs_0_13_port);
U6261 : inv port map( inb => mult_125_A1_12_port, outb => n5689);
U6262 : inv port map( inb => n5690, outb => multiplier_sigs_0_14_port);
U6263 : inv port map( inb => mult_125_A1_13_port, outb => n5691);
U6264 : inv port map( inb => n5692, outb => multiplier_sigs_0_15_port);
U6265 : inv port map( inb => mult_125_A1_14_port, outb => n5693);
U6266 : inv port map( inb => mult_125_A2_14_port, outb => n5694);
U6267 : inv port map( inb => n5695, outb => multiplier_sigs_0_16_port);
U6268 : inv port map( inb => mult_125_A1_15_port, outb => n5696);
U6269 : inv port map( inb => mult_125_A2_15_port, outb => n5697);
U6270 : inv port map( inb => n5698, outb => mult_125_FS_1_PG_int_0_3_3_port)
;
U6271 : inv port map( inb => mult_125_FS_1_TEMP_G_0_3_2_port, outb => n5699)
;
U6272 : inv port map( inb => mult_125_FS_1_P_0_3_3_port, outb => n5700);
U6273 : inv port map( inb => mult_125_A1_16_port, outb => n5701);
U6274 : inv port map( inb => mult_125_A2_16_port, outb => n5702);
U6275 : inv port map( inb => n5703, outb => mult_125_FS_1_PG_int_0_4_0_port)
;
U6276 : inv port map( inb => mult_125_A1_17_port, outb => n5704);
U6277 : inv port map( inb => mult_125_A2_17_port, outb => n5705);
U6278 : inv port map( inb => n5706, outb => mult_125_FS_1_PG_int_0_4_1_port)
;
U6279 : inv port map( inb => n5707, outb => mult_125_FS_1_TEMP_P_0_4_1_port)
;
U6280 : inv port map( inb => mult_125_FS_1_TEMP_P_0_4_0_port, outb => n5708)
;
U6281 : inv port map( inb => mult_125_A1_18_port, outb => n5709);
U6282 : inv port map( inb => mult_125_A2_18_port, outb => n5710);
U6283 : inv port map( inb => n5711, outb => mult_125_FS_1_PG_int_0_4_2_port)
;
U6284 : inv port map( inb => n5712, outb => mult_125_FS_1_TEMP_P_0_4_2_port)
;
U6285 : inv port map( inb => mult_125_FS_1_TEMP_G_0_4_1_port, outb => n5713)
;
U6286 : inv port map( inb => mult_125_FS_1_C_1_4_1_port, outb => n5714);
U6287 : inv port map( inb => mult_125_FS_1_P_0_4_1_port, outb => n5715);
U6288 : inv port map( inb => mult_125_A1_19_port, outb => n5716);
U6289 : inv port map( inb => mult_125_A2_19_port, outb => n5717);
U6290 : inv port map( inb => n5718, outb => mult_125_FS_1_PG_int_0_4_3_port)
;
U6291 : inv port map( inb => mult_125_FS_1_TEMP_G_0_4_2_port, outb => n5719)
;
U6292 : inv port map( inb => mult_125_FS_1_P_0_4_3_port, outb => n5720);
U6293 : inv port map( inb => mult_125_FS_1_C_1_4_2_port, outb => n5721);
U6294 : inv port map( inb => mult_125_FS_1_P_0_4_2_port, outb => n5722);
U6295 : inv port map( inb => mult_125_A1_20_port, outb => n5723);
U6296 : inv port map( inb => mult_125_A2_20_port, outb => n5724);
U6297 : inv port map( inb => n5725, outb => mult_125_FS_1_PG_int_0_5_0_port)
;
U6298 : inv port map( inb => mult_125_A1_21_port, outb => n5726);
U6299 : inv port map( inb => mult_125_A2_21_port, outb => n5727);
U6300 : inv port map( inb => n5728, outb => mult_125_FS_1_PG_int_0_5_1_port)
;
U6301 : inv port map( inb => n5729, outb => mult_125_FS_1_TEMP_P_0_5_1_port)
;
U6302 : inv port map( inb => mult_125_FS_1_TEMP_P_0_5_0_port, outb => n5730)
;
U6303 : inv port map( inb => mult_125_A1_22_port, outb => n5731);
U6304 : inv port map( inb => mult_125_A2_22_port, outb => n5732);
U6305 : inv port map( inb => n5733, outb => mult_125_FS_1_PG_int_0_5_2_port)
;
U6306 : inv port map( inb => n5734, outb => mult_125_FS_1_TEMP_P_0_5_2_port)
;
U6307 : inv port map( inb => mult_125_FS_1_TEMP_G_0_5_1_port, outb => n5735)
;
U6308 : inv port map( inb => mult_125_FS_1_C_1_5_1_port, outb => n5736);
U6309 : inv port map( inb => mult_125_FS_1_P_0_5_1_port, outb => n5737);
U6310 : inv port map( inb => mult_125_A1_23_port, outb => n5738);
U6311 : inv port map( inb => mult_125_A2_23_port, outb => n5739);
U6312 : inv port map( inb => n5740, outb => mult_125_FS_1_PG_int_0_5_3_port)
;
U6313 : inv port map( inb => mult_125_FS_1_TEMP_G_0_5_2_port, outb => n5741)
;
U6314 : inv port map( inb => mult_125_FS_1_P_0_5_3_port, outb => n5742);
U6315 : inv port map( inb => mult_125_FS_1_C_1_5_2_port, outb => n5743);
U6316 : inv port map( inb => mult_125_FS_1_P_0_5_2_port, outb => n5744);
U6317 : inv port map( inb => mult_125_A1_24_port, outb => n5745);
U6318 : inv port map( inb => mult_125_A2_24_port, outb => n5746);
U6319 : inv port map( inb => n5747, outb => mult_125_FS_1_PG_int_0_6_0_port)
;
U6320 : inv port map( inb => mult_125_A1_25_port, outb => n5748);
U6321 : inv port map( inb => mult_125_A2_25_port, outb => n5749);
U6322 : inv port map( inb => n5750, outb => mult_125_FS_1_PG_int_0_6_1_port)
;
U6323 : inv port map( inb => n5751, outb => mult_125_FS_1_TEMP_P_0_6_1_port)
;
U6324 : inv port map( inb => mult_125_FS_1_TEMP_P_0_6_0_port, outb => n5752)
;
U6325 : inv port map( inb => mult_125_A1_26_port, outb => n5753);
U6326 : inv port map( inb => mult_125_A2_26_port, outb => n5754);
U6327 : inv port map( inb => n5755, outb => mult_125_FS_1_PG_int_0_6_2_port)
;
U6328 : inv port map( inb => n5756, outb => mult_125_FS_1_TEMP_P_0_6_2_port)
;
U6329 : inv port map( inb => mult_125_FS_1_TEMP_G_0_6_1_port, outb => n5757)
;
U6330 : inv port map( inb => mult_125_FS_1_C_1_6_1_port, outb => n5758);
U6331 : inv port map( inb => mult_125_FS_1_P_0_6_1_port, outb => n5759);
U6332 : inv port map( inb => mult_125_A1_27_port, outb => n5760);
U6333 : inv port map( inb => mult_125_A2_27_port, outb => n5761);
U6334 : inv port map( inb => n5762, outb => mult_125_FS_1_PG_int_0_6_3_port)
;
U6335 : inv port map( inb => mult_125_FS_1_TEMP_G_0_6_2_port, outb => n5763)
;
U6336 : inv port map( inb => mult_125_FS_1_P_0_6_3_port, outb => n5764);
U6337 : inv port map( inb => mult_125_FS_1_C_1_6_2_port, outb => n5765);
U6338 : inv port map( inb => mult_125_FS_1_P_0_6_2_port, outb => n5766);
U6339 : inv port map( inb => mult_125_A1_28_port, outb => n5767);
U6340 : inv port map( inb => mult_125_A2_28_port, outb => n5768);
U6341 : inv port map( inb => n5769, outb => mult_125_FS_1_PG_int_0_7_0_port)
;
U6342 : inv port map( inb => mult_125_A1_29_port, outb => n5770);
U6343 : inv port map( inb => mult_125_A2_29_port, outb => n5771);
U6344 : inv port map( inb => n5772, outb => mult_125_FS_1_PG_int_0_7_1_port)
;
U6345 : inv port map( inb => mult_125_FS_1_C_1_7_0_port, outb => n5773);
U6346 : inv port map( inb => mult_125_FS_1_TEMP_P_0_7_0_port, outb => n5774)
;
U6347 : inv port map( inb => mult_125_FS_1_G_1_0_3_port, outb => n5775);
U6348 : inv port map( inb => mult_125_FS_1_C_1_4_0_port, outb => n5776);
U6349 : inv port map( inb => mult_125_FS_1_G_1_1_0_port, outb => n5778);
U6350 : inv port map( inb => mult_125_FS_1_C_1_5_0_port, outb => n5779);
U6351 : inv port map( inb => mult_125_FS_1_G_1_1_1_port, outb => n5781);
U6352 : inv port map( inb => mult_125_FS_1_C_1_6_0_port, outb => n5782);
U6353 : inv port map( inb => mult_125_FS_1_G_1_1_2_port, outb => n5784);
U6354 : inv port map( inb => mult_125_FS_1_G_2_0_0_port, outb => n5785);
U6355 : inv port map( inb => n5786, outb => mult_125_G2_ZB);
U6356 : inv port map( inb => n5787, outb => mult_125_G2_ZA);
U6357 : inv port map( inb => n5788, outb => mult_125_G2_QB);
U6358 : inv port map( inb => n5789, outb => mult_125_G2_QA);
U6359 : inv port map( inb => mult_125_G2_A1_0_port, outb => n5790);
U6360 : inv port map( inb => n5791, outb => multiplier_sigs_1_2_port);
U6361 : inv port map( inb => mult_125_G2_A1_1_port, outb => n5792);
U6362 : inv port map( inb => n5793, outb => multiplier_sigs_1_3_port);
U6363 : inv port map( inb => mult_125_G2_A1_2_port, outb => n5794);
U6364 : inv port map( inb => n5795, outb => multiplier_sigs_1_4_port);
U6365 : inv port map( inb => mult_125_G2_A1_3_port, outb => n5796);
U6366 : inv port map( inb => n5797, outb => multiplier_sigs_1_5_port);
U6367 : inv port map( inb => mult_125_G2_A1_4_port, outb => n5798);
U6368 : inv port map( inb => n5799, outb => multiplier_sigs_1_6_port);
U6369 : inv port map( inb => mult_125_G2_A1_5_port, outb => n5800);
U6370 : inv port map( inb => n5801, outb => multiplier_sigs_1_7_port);
U6371 : inv port map( inb => mult_125_G2_A1_6_port, outb => n5802);
U6372 : inv port map( inb => n5803, outb => multiplier_sigs_1_8_port);
U6373 : inv port map( inb => mult_125_G2_A1_7_port, outb => n5804);
U6374 : inv port map( inb => n5805, outb => multiplier_sigs_1_9_port);
U6375 : inv port map( inb => mult_125_G2_A1_8_port, outb => n5806);
U6376 : inv port map( inb => n5807, outb => multiplier_sigs_1_10_port);
U6377 : inv port map( inb => mult_125_G2_A1_9_port, outb => n5808);
U6378 : inv port map( inb => n5809, outb => multiplier_sigs_1_11_port);
U6379 : inv port map( inb => mult_125_G2_A1_10_port, outb => n5810);
U6380 : inv port map( inb => n5811, outb => multiplier_sigs_1_12_port);
U6381 : inv port map( inb => mult_125_G2_A1_11_port, outb => n5812);
U6382 : inv port map( inb => n5813, outb => multiplier_sigs_1_13_port);
U6383 : inv port map( inb => mult_125_G2_A1_12_port, outb => n5814);
U6384 : inv port map( inb => n5815, outb => multiplier_sigs_1_14_port);
U6385 : inv port map( inb => mult_125_G2_A1_13_port, outb => n5816);
U6386 : inv port map( inb => n5817, outb => multiplier_sigs_1_15_port);
U6387 : inv port map( inb => mult_125_G2_A1_14_port, outb => n5818);
U6388 : inv port map( inb => mult_125_G2_A2_14_port, outb => n5819);
U6389 : inv port map( inb => n5820, outb => multiplier_sigs_1_16_port);
U6390 : inv port map( inb => mult_125_G2_A1_15_port, outb => n5821);
U6391 : inv port map( inb => mult_125_G2_A2_15_port, outb => n5822);
U6392 : inv port map( inb => n5823, outb =>
mult_125_G2_FS_1_PG_int_0_3_3_port);
U6393 : inv port map( inb => mult_125_G2_FS_1_TEMP_G_0_3_2_port, outb =>
n5824);
U6394 : inv port map( inb => mult_125_G2_FS_1_P_0_3_3_port, outb => n5825);
U6395 : inv port map( inb => mult_125_G2_A1_16_port, outb => n5826);
U6396 : inv port map( inb => mult_125_G2_A2_16_port, outb => n5827);
U6397 : inv port map( inb => n5828, outb =>
mult_125_G2_FS_1_PG_int_0_4_0_port);
U6398 : inv port map( inb => mult_125_G2_A1_17_port, outb => n5829);
U6399 : inv port map( inb => mult_125_G2_A2_17_port, outb => n5830);
U6400 : inv port map( inb => n5831, outb =>
mult_125_G2_FS_1_PG_int_0_4_1_port);
U6401 : inv port map( inb => n5832, outb =>
mult_125_G2_FS_1_TEMP_P_0_4_1_port);
U6402 : inv port map( inb => mult_125_G2_FS_1_TEMP_P_0_4_0_port, outb =>
n5833);
U6403 : inv port map( inb => mult_125_G2_A1_18_port, outb => n5834);
U6404 : inv port map( inb => mult_125_G2_A2_18_port, outb => n5835);
U6405 : inv port map( inb => n5836, outb =>
mult_125_G2_FS_1_PG_int_0_4_2_port);
U6406 : inv port map( inb => n5837, outb =>
mult_125_G2_FS_1_TEMP_P_0_4_2_port);
U6407 : inv port map( inb => mult_125_G2_FS_1_TEMP_G_0_4_1_port, outb =>
n5838);
U6408 : inv port map( inb => mult_125_G2_FS_1_C_1_4_1_port, outb => n5839);
U6409 : inv port map( inb => mult_125_G2_FS_1_P_0_4_1_port, outb => n5840);
U6410 : inv port map( inb => mult_125_G2_A1_19_port, outb => n5841);
U6411 : inv port map( inb => mult_125_G2_A2_19_port, outb => n5842);
U6412 : inv port map( inb => n5843, outb =>
mult_125_G2_FS_1_PG_int_0_4_3_port);
U6413 : inv port map( inb => mult_125_G2_FS_1_TEMP_G_0_4_2_port, outb =>
n5844);
U6414 : inv port map( inb => mult_125_G2_FS_1_P_0_4_3_port, outb => n5845);
U6415 : inv port map( inb => mult_125_G2_FS_1_C_1_4_2_port, outb => n5846);
U6416 : inv port map( inb => mult_125_G2_FS_1_P_0_4_2_port, outb => n5847);
U6417 : inv port map( inb => mult_125_G2_A1_20_port, outb => n5848);
U6418 : inv port map( inb => mult_125_G2_A2_20_port, outb => n5849);
U6419 : inv port map( inb => n5850, outb =>
mult_125_G2_FS_1_PG_int_0_5_0_port);
U6420 : inv port map( inb => mult_125_G2_A1_21_port, outb => n5851);
U6421 : inv port map( inb => mult_125_G2_A2_21_port, outb => n5852);
U6422 : inv port map( inb => n5853, outb =>
mult_125_G2_FS_1_PG_int_0_5_1_port);
U6423 : inv port map( inb => n5854, outb =>
mult_125_G2_FS_1_TEMP_P_0_5_1_port);
U6424 : inv port map( inb => mult_125_G2_FS_1_TEMP_P_0_5_0_port, outb =>
n5855);
U6425 : inv port map( inb => mult_125_G2_A1_22_port, outb => n5856);
U6426 : inv port map( inb => mult_125_G2_A2_22_port, outb => n5857);
U6427 : inv port map( inb => n5858, outb =>
mult_125_G2_FS_1_PG_int_0_5_2_port);
U6428 : inv port map( inb => n5859, outb =>
mult_125_G2_FS_1_TEMP_P_0_5_2_port);
U6429 : inv port map( inb => mult_125_G2_FS_1_TEMP_G_0_5_1_port, outb =>
n5860);
U6430 : inv port map( inb => mult_125_G2_FS_1_C_1_5_1_port, outb => n5861);
U6431 : inv port map( inb => mult_125_G2_FS_1_P_0_5_1_port, outb => n5862);
U6432 : inv port map( inb => mult_125_G2_A1_23_port, outb => n5863);
U6433 : inv port map( inb => mult_125_G2_A2_23_port, outb => n5864);
U6434 : inv port map( inb => n5865, outb =>
mult_125_G2_FS_1_PG_int_0_5_3_port);
U6435 : inv port map( inb => mult_125_G2_FS_1_TEMP_G_0_5_2_port, outb =>
n5866);
U6436 : inv port map( inb => mult_125_G2_FS_1_P_0_5_3_port, outb => n5867);
U6437 : inv port map( inb => mult_125_G2_FS_1_C_1_5_2_port, outb => n5868);
U6438 : inv port map( inb => mult_125_G2_FS_1_P_0_5_2_port, outb => n5869);
U6439 : inv port map( inb => mult_125_G2_A1_24_port, outb => n5870);
U6440 : inv port map( inb => mult_125_G2_A2_24_port, outb => n5871);
U6441 : inv port map( inb => n5872, outb =>
mult_125_G2_FS_1_PG_int_0_6_0_port);
U6442 : inv port map( inb => mult_125_G2_A1_25_port, outb => n5873);
U6443 : inv port map( inb => mult_125_G2_A2_25_port, outb => n5874);
U6444 : inv port map( inb => n5875, outb =>
mult_125_G2_FS_1_PG_int_0_6_1_port);
U6445 : inv port map( inb => n5876, outb =>
mult_125_G2_FS_1_TEMP_P_0_6_1_port);
U6446 : inv port map( inb => mult_125_G2_FS_1_TEMP_P_0_6_0_port, outb =>
n5877);
U6447 : inv port map( inb => mult_125_G2_A1_26_port, outb => n5878);
U6448 : inv port map( inb => mult_125_G2_A2_26_port, outb => n5879);
U6449 : inv port map( inb => n5880, outb =>
mult_125_G2_FS_1_PG_int_0_6_2_port);
U6450 : inv port map( inb => n5881, outb =>
mult_125_G2_FS_1_TEMP_P_0_6_2_port);
U6451 : inv port map( inb => mult_125_G2_FS_1_TEMP_G_0_6_1_port, outb =>
n5882);
U6452 : inv port map( inb => mult_125_G2_FS_1_C_1_6_1_port, outb => n5883);
U6453 : inv port map( inb => mult_125_G2_FS_1_P_0_6_1_port, outb => n5884);
U6454 : inv port map( inb => mult_125_G2_A1_27_port, outb => n5885);
U6455 : inv port map( inb => mult_125_G2_A2_27_port, outb => n5886);
U6456 : inv port map( inb => n5887, outb =>
mult_125_G2_FS_1_PG_int_0_6_3_port);
U6457 : inv port map( inb => mult_125_G2_FS_1_TEMP_G_0_6_2_port, outb =>
n5888);
U6458 : inv port map( inb => mult_125_G2_FS_1_P_0_6_3_port, outb => n5889);
U6459 : inv port map( inb => mult_125_G2_FS_1_C_1_6_2_port, outb => n5890);
U6460 : inv port map( inb => mult_125_G2_FS_1_P_0_6_2_port, outb => n5891);
U6461 : inv port map( inb => mult_125_G2_A1_28_port, outb => n5892);
U6462 : inv port map( inb => mult_125_G2_A2_28_port, outb => n5893);
U6463 : inv port map( inb => n5894, outb =>
mult_125_G2_FS_1_PG_int_0_7_0_port);
U6464 : inv port map( inb => mult_125_G2_A1_29_port, outb => n5895);
U6465 : inv port map( inb => mult_125_G2_A2_29_port, outb => n5896);
U6466 : inv port map( inb => n5897, outb =>
mult_125_G2_FS_1_PG_int_0_7_1_port);
U6467 : inv port map( inb => mult_125_G2_FS_1_C_1_7_0_port, outb => n5898);
U6468 : inv port map( inb => mult_125_G2_FS_1_TEMP_P_0_7_0_port, outb =>
n5899);
U6469 : inv port map( inb => mult_125_G2_FS_1_G_1_0_3_port, outb => n5900);
U6470 : inv port map( inb => mult_125_G2_FS_1_C_1_4_0_port, outb => n5901);
U6471 : inv port map( inb => mult_125_G2_FS_1_G_1_1_0_port, outb => n5903);
U6472 : inv port map( inb => mult_125_G2_FS_1_C_1_5_0_port, outb => n5904);
U6473 : inv port map( inb => mult_125_G2_FS_1_G_1_1_1_port, outb => n5906);
U6474 : inv port map( inb => mult_125_G2_FS_1_C_1_6_0_port, outb => n5907);
U6475 : inv port map( inb => mult_125_G2_FS_1_G_1_1_2_port, outb => n5909);
U6476 : inv port map( inb => mult_125_G2_FS_1_G_2_0_0_port, outb => n5910);
U6477 : inv port map( inb => n5911, outb => mult_125_G3_ZB);
U6478 : inv port map( inb => n5912, outb => mult_125_G3_ZA);
U6479 : inv port map( inb => n5913, outb => mult_125_G3_QB);
U6480 : inv port map( inb => n5914, outb => mult_125_G3_QA);
U6481 : inv port map( inb => mult_125_G3_A1_0_port, outb => n5915);
U6482 : inv port map( inb => n5916, outb => multiplier_sigs_2_2_port);
U6483 : inv port map( inb => mult_125_G3_A1_1_port, outb => n5917);
U6484 : inv port map( inb => n5918, outb => multiplier_sigs_2_3_port);
U6485 : inv port map( inb => mult_125_G3_A1_2_port, outb => n5919);
U6486 : inv port map( inb => n5920, outb => multiplier_sigs_2_4_port);
U6487 : inv port map( inb => mult_125_G3_A1_3_port, outb => n5921);
U6488 : inv port map( inb => n5922, outb => multiplier_sigs_2_5_port);
U6489 : inv port map( inb => mult_125_G3_A1_4_port, outb => n5923);
U6490 : inv port map( inb => n5924, outb => multiplier_sigs_2_6_port);
U6491 : inv port map( inb => mult_125_G3_A1_5_port, outb => n5925);
U6492 : inv port map( inb => n5926, outb => multiplier_sigs_2_7_port);
U6493 : inv port map( inb => mult_125_G3_A1_6_port, outb => n5927);
U6494 : inv port map( inb => n5928, outb => multiplier_sigs_2_8_port);
U6495 : inv port map( inb => mult_125_G3_A1_7_port, outb => n5929);
U6496 : inv port map( inb => n5930, outb => multiplier_sigs_2_9_port);
U6497 : inv port map( inb => mult_125_G3_A1_8_port, outb => n5931);
U6498 : inv port map( inb => n5932, outb => multiplier_sigs_2_10_port);
U6499 : inv port map( inb => mult_125_G3_A1_9_port, outb => n5933);
U6500 : inv port map( inb => n5934, outb => multiplier_sigs_2_11_port);
U6501 : inv port map( inb => mult_125_G3_A1_10_port, outb => n5935);
U6502 : inv port map( inb => n5936, outb => multiplier_sigs_2_12_port);
U6503 : inv port map( inb => mult_125_G3_A1_11_port, outb => n5937);
U6504 : inv port map( inb => n5938, outb => multiplier_sigs_2_13_port);
U6505 : inv port map( inb => mult_125_G3_A1_12_port, outb => n5939);
U6506 : inv port map( inb => n5940, outb => multiplier_sigs_2_14_port);
U6507 : inv port map( inb => mult_125_G3_A1_13_port, outb => n5941);
U6508 : inv port map( inb => n5942, outb => multiplier_sigs_2_15_port);
U6509 : inv port map( inb => mult_125_G3_A1_14_port, outb => n5943);
U6510 : inv port map( inb => mult_125_G3_A2_14_port, outb => n5944);
U6511 : inv port map( inb => n5945, outb => multiplier_sigs_2_16_port);
U6512 : inv port map( inb => mult_125_G3_A1_15_port, outb => n5946);
U6513 : inv port map( inb => mult_125_G3_A2_15_port, outb => n5947);
U6514 : inv port map( inb => n5948, outb =>
mult_125_G3_FS_1_PG_int_0_3_3_port);
U6515 : inv port map( inb => mult_125_G3_FS_1_TEMP_G_0_3_2_port, outb =>
n5949);
U6516 : inv port map( inb => mult_125_G3_FS_1_P_0_3_3_port, outb => n5950);
U6517 : inv port map( inb => mult_125_G3_A1_16_port, outb => n5951);
U6518 : inv port map( inb => mult_125_G3_A2_16_port, outb => n5952);
U6519 : inv port map( inb => n5953, outb =>
mult_125_G3_FS_1_PG_int_0_4_0_port);
U6520 : inv port map( inb => mult_125_G3_A1_17_port, outb => n5954);
U6521 : inv port map( inb => mult_125_G3_A2_17_port, outb => n5955);
U6522 : inv port map( inb => n5956, outb =>
mult_125_G3_FS_1_PG_int_0_4_1_port);
U6523 : inv port map( inb => n5957, outb =>
mult_125_G3_FS_1_TEMP_P_0_4_1_port);
U6524 : inv port map( inb => mult_125_G3_FS_1_TEMP_P_0_4_0_port, outb =>
n5958);
U6525 : inv port map( inb => mult_125_G3_A1_18_port, outb => n5959);
U6526 : inv port map( inb => mult_125_G3_A2_18_port, outb => n5960);
U6527 : inv port map( inb => n5961, outb =>
mult_125_G3_FS_1_PG_int_0_4_2_port);
U6528 : inv port map( inb => n5962, outb =>
mult_125_G3_FS_1_TEMP_P_0_4_2_port);
U6529 : inv port map( inb => mult_125_G3_FS_1_TEMP_G_0_4_1_port, outb =>
n5963);
U6530 : inv port map( inb => mult_125_G3_FS_1_C_1_4_1_port, outb => n5964);
U6531 : inv port map( inb => mult_125_G3_FS_1_P_0_4_1_port, outb => n5965);
U6532 : inv port map( inb => mult_125_G3_A1_19_port, outb => n5966);
U6533 : inv port map( inb => mult_125_G3_A2_19_port, outb => n5967);
U6534 : inv port map( inb => n5968, outb =>
mult_125_G3_FS_1_PG_int_0_4_3_port);
U6535 : inv port map( inb => mult_125_G3_FS_1_TEMP_G_0_4_2_port, outb =>
n5969);
U6536 : inv port map( inb => mult_125_G3_FS_1_P_0_4_3_port, outb => n5970);
U6537 : inv port map( inb => mult_125_G3_FS_1_C_1_4_2_port, outb => n5971);
U6538 : inv port map( inb => mult_125_G3_FS_1_P_0_4_2_port, outb => n5972);
U6539 : inv port map( inb => mult_125_G3_A1_20_port, outb => n5973);
U6540 : inv port map( inb => mult_125_G3_A2_20_port, outb => n5974);
U6541 : inv port map( inb => n5975, outb =>
mult_125_G3_FS_1_PG_int_0_5_0_port);
U6542 : inv port map( inb => mult_125_G3_A1_21_port, outb => n5976);
U6543 : inv port map( inb => mult_125_G3_A2_21_port, outb => n5977);
U6544 : inv port map( inb => n5978, outb =>
mult_125_G3_FS_1_PG_int_0_5_1_port);
U6545 : inv port map( inb => n5979, outb =>
mult_125_G3_FS_1_TEMP_P_0_5_1_port);
U6546 : inv port map( inb => mult_125_G3_FS_1_TEMP_P_0_5_0_port, outb =>
n5980);
U6547 : inv port map( inb => mult_125_G3_A1_22_port, outb => n5981);
U6548 : inv port map( inb => mult_125_G3_A2_22_port, outb => n5982);
U6549 : inv port map( inb => n5983, outb =>
mult_125_G3_FS_1_PG_int_0_5_2_port);
U6550 : inv port map( inb => n5984, outb =>
mult_125_G3_FS_1_TEMP_P_0_5_2_port);
U6551 : inv port map( inb => mult_125_G3_FS_1_TEMP_G_0_5_1_port, outb =>
n5985);
U6552 : inv port map( inb => mult_125_G3_FS_1_C_1_5_1_port, outb => n5986);
U6553 : inv port map( inb => mult_125_G3_FS_1_P_0_5_1_port, outb => n5987);
U6554 : inv port map( inb => mult_125_G3_A1_23_port, outb => n5988);
U6555 : inv port map( inb => mult_125_G3_A2_23_port, outb => n5989);
U6556 : inv port map( inb => n5990, outb =>
mult_125_G3_FS_1_PG_int_0_5_3_port);
U6557 : inv port map( inb => mult_125_G3_FS_1_TEMP_G_0_5_2_port, outb =>
n5991);
U6558 : inv port map( inb => mult_125_G3_FS_1_P_0_5_3_port, outb => n5992);
U6559 : inv port map( inb => mult_125_G3_FS_1_C_1_5_2_port, outb => n5993);
U6560 : inv port map( inb => mult_125_G3_FS_1_P_0_5_2_port, outb => n5994);
U6561 : inv port map( inb => mult_125_G3_A1_24_port, outb => n5995);
U6562 : inv port map( inb => mult_125_G3_A2_24_port, outb => n5996);
U6563 : inv port map( inb => n5997, outb =>
mult_125_G3_FS_1_PG_int_0_6_0_port);
U6564 : inv port map( inb => mult_125_G3_A1_25_port, outb => n5998);
U6565 : inv port map( inb => mult_125_G3_A2_25_port, outb => n5999);
U6566 : inv port map( inb => n6000, outb =>
mult_125_G3_FS_1_PG_int_0_6_1_port);
U6567 : inv port map( inb => n6001, outb =>
mult_125_G3_FS_1_TEMP_P_0_6_1_port);
U6568 : inv port map( inb => mult_125_G3_FS_1_TEMP_P_0_6_0_port, outb =>
n6002);
U6569 : inv port map( inb => mult_125_G3_A1_26_port, outb => n6003);
U6570 : inv port map( inb => mult_125_G3_A2_26_port, outb => n6004);
U6571 : inv port map( inb => n6005, outb =>
mult_125_G3_FS_1_PG_int_0_6_2_port);
U6572 : inv port map( inb => n6006, outb =>
mult_125_G3_FS_1_TEMP_P_0_6_2_port);
U6573 : inv port map( inb => mult_125_G3_FS_1_TEMP_G_0_6_1_port, outb =>
n6007);
U6574 : inv port map( inb => mult_125_G3_FS_1_C_1_6_1_port, outb => n6008);
U6575 : inv port map( inb => mult_125_G3_FS_1_P_0_6_1_port, outb => n6009);
U6576 : inv port map( inb => mult_125_G3_A1_27_port, outb => n6010);
U6577 : inv port map( inb => mult_125_G3_A2_27_port, outb => n6011);
U6578 : inv port map( inb => n6012, outb =>
mult_125_G3_FS_1_PG_int_0_6_3_port);
U6579 : inv port map( inb => mult_125_G3_FS_1_TEMP_G_0_6_2_port, outb =>
n6013);
U6580 : inv port map( inb => mult_125_G3_FS_1_P_0_6_3_port, outb => n6014);
U6581 : inv port map( inb => mult_125_G3_FS_1_C_1_6_2_port, outb => n6015);
U6582 : inv port map( inb => mult_125_G3_FS_1_P_0_6_2_port, outb => n6016);
U6583 : inv port map( inb => mult_125_G3_A1_28_port, outb => n6017);
U6584 : inv port map( inb => mult_125_G3_A2_28_port, outb => n6018);
U6585 : inv port map( inb => n6019, outb =>
mult_125_G3_FS_1_PG_int_0_7_0_port);
U6586 : inv port map( inb => mult_125_G3_A1_29_port, outb => n6020);
U6587 : inv port map( inb => mult_125_G3_A2_29_port, outb => n6021);
U6588 : inv port map( inb => n6022, outb =>
mult_125_G3_FS_1_PG_int_0_7_1_port);
U6589 : inv port map( inb => mult_125_G3_FS_1_C_1_7_0_port, outb => n6023);
U6590 : inv port map( inb => mult_125_G3_FS_1_TEMP_P_0_7_0_port, outb =>
n6024);
U6591 : inv port map( inb => mult_125_G3_FS_1_G_1_0_3_port, outb => n6025);
U6592 : inv port map( inb => mult_125_G3_FS_1_C_1_4_0_port, outb => n6026);
U6593 : inv port map( inb => mult_125_G3_FS_1_G_1_1_0_port, outb => n6028);
U6594 : inv port map( inb => mult_125_G3_FS_1_C_1_5_0_port, outb => n6029);
U6595 : inv port map( inb => mult_125_G3_FS_1_G_1_1_1_port, outb => n6031);
U6596 : inv port map( inb => mult_125_G3_FS_1_C_1_6_0_port, outb => n6032);
U6597 : inv port map( inb => mult_125_G3_FS_1_G_1_1_2_port, outb => n6034);
U6598 : inv port map( inb => mult_125_G3_FS_1_G_2_0_0_port, outb => n6035);
end SYN_fir_rtl_arch;
| mit | 140abff46e3f92d36ed64b87d3596e9d | 0.497121 | 2.65351 | false | false | false | false |
natsutan/NPU | fpga_implement/npu8/npu8.srcs/sources_1/ip/mult16_16/sim/mult16_16.vhd | 1 | 4,807 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:mult_gen:12.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY mult_gen_v12_0_12;
USE mult_gen_v12_0_12.mult_gen_v12_0_12;
ENTITY mult16_16 IS
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END mult16_16;
ARCHITECTURE mult16_16_arch OF mult16_16 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF mult16_16_arch: ARCHITECTURE IS "yes";
COMPONENT mult_gen_v12_0_12 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_MODEL_TYPE : INTEGER;
C_OPTIMIZE_GOAL : INTEGER;
C_XDEVICEFAMILY : STRING;
C_HAS_CE : INTEGER;
C_HAS_SCLR : INTEGER;
C_LATENCY : INTEGER;
C_A_WIDTH : INTEGER;
C_A_TYPE : INTEGER;
C_B_WIDTH : INTEGER;
C_B_TYPE : INTEGER;
C_OUT_HIGH : INTEGER;
C_OUT_LOW : INTEGER;
C_MULT_TYPE : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_CCM_IMP : INTEGER;
C_B_VALUE : STRING;
C_HAS_ZERO_DETECT : INTEGER;
C_ROUND_OUTPUT : INTEGER;
C_ROUND_PT : INTEGER
);
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
CE : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
P : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT mult_gen_v12_0_12;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA";
BEGIN
U0 : mult_gen_v12_0_12
GENERIC MAP (
C_VERBOSITY => 0,
C_MODEL_TYPE => 0,
C_OPTIMIZE_GOAL => 1,
C_XDEVICEFAMILY => "kintexu",
C_HAS_CE => 0,
C_HAS_SCLR => 0,
C_LATENCY => 4,
C_A_WIDTH => 16,
C_A_TYPE => 1,
C_B_WIDTH => 16,
C_B_TYPE => 1,
C_OUT_HIGH => 31,
C_OUT_LOW => 24,
C_MULT_TYPE => 0,
C_CE_OVERRIDES_SCLR => 0,
C_CCM_IMP => 0,
C_B_VALUE => "10000001",
C_HAS_ZERO_DETECT => 0,
C_ROUND_OUTPUT => 0,
C_ROUND_PT => 0
)
PORT MAP (
CLK => CLK,
A => A,
B => B,
CE => '1',
SCLR => '0',
P => P
);
END mult16_16_arch;
| bsd-3-clause | 8d1417758d60e44ce19a23db2a587ed0 | 0.666112 | 3.581967 | false | false | false | false |
natsutan/NPU | fpga_implement/npu8/npu8.srcs/sources_1/ip/mul_16_32/sim/mul_16_32.vhd | 1 | 4,808 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:mult_gen:12.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY mult_gen_v12_0_12;
USE mult_gen_v12_0_12.mult_gen_v12_0_12;
ENTITY mul_16_32 IS
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(47 DOWNTO 0)
);
END mul_16_32;
ARCHITECTURE mul_16_32_arch OF mul_16_32 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF mul_16_32_arch: ARCHITECTURE IS "yes";
COMPONENT mult_gen_v12_0_12 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_MODEL_TYPE : INTEGER;
C_OPTIMIZE_GOAL : INTEGER;
C_XDEVICEFAMILY : STRING;
C_HAS_CE : INTEGER;
C_HAS_SCLR : INTEGER;
C_LATENCY : INTEGER;
C_A_WIDTH : INTEGER;
C_A_TYPE : INTEGER;
C_B_WIDTH : INTEGER;
C_B_TYPE : INTEGER;
C_OUT_HIGH : INTEGER;
C_OUT_LOW : INTEGER;
C_MULT_TYPE : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_CCM_IMP : INTEGER;
C_B_VALUE : STRING;
C_HAS_ZERO_DETECT : INTEGER;
C_ROUND_OUTPUT : INTEGER;
C_ROUND_PT : INTEGER
);
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
CE : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
P : OUT STD_LOGIC_VECTOR(47 DOWNTO 0)
);
END COMPONENT mult_gen_v12_0_12;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA";
BEGIN
U0 : mult_gen_v12_0_12
GENERIC MAP (
C_VERBOSITY => 0,
C_MODEL_TYPE => 0,
C_OPTIMIZE_GOAL => 1,
C_XDEVICEFAMILY => "kintexu",
C_HAS_CE => 0,
C_HAS_SCLR => 0,
C_LATENCY => 4,
C_A_WIDTH => 16,
C_A_TYPE => 1,
C_B_WIDTH => 32,
C_B_TYPE => 1,
C_OUT_HIGH => 47,
C_OUT_LOW => 0,
C_MULT_TYPE => 0,
C_CE_OVERRIDES_SCLR => 0,
C_CCM_IMP => 0,
C_B_VALUE => "10000001",
C_HAS_ZERO_DETECT => 0,
C_ROUND_OUTPUT => 0,
C_ROUND_PT => 0
)
PORT MAP (
CLK => CLK,
A => A,
B => B,
CE => '1',
SCLR => '0',
P => P
);
END mul_16_32_arch;
| bsd-3-clause | 84e88ba3460fb78dd5a3482c4d5b2281 | 0.664933 | 3.566766 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/snickerdoodle_try/snickerdoodle_try.cache/ip/2017.4.1/ec58799eea0a851e/design_1_processing_system7_0_0_sim_netlist.vhdl | 1 | 199,119 | -- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.4.1 (win64) Build 2117270 Tue Jan 30 15:32:00 MST 2018
-- Date : Thu Apr 5 01:27:51 2018
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_processing_system7_0_0_sim_netlist.vhdl
-- Design : design_1_processing_system7_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg400-3
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is
port (
CAN0_PHY_TX : out STD_LOGIC;
CAN0_PHY_RX : in STD_LOGIC;
CAN1_PHY_TX : out STD_LOGIC;
CAN1_PHY_RX : in STD_LOGIC;
ENET0_GMII_TX_EN : out STD_LOGIC;
ENET0_GMII_TX_ER : out STD_LOGIC;
ENET0_MDIO_MDC : out STD_LOGIC;
ENET0_MDIO_O : out STD_LOGIC;
ENET0_MDIO_T : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET0_SOF_RX : out STD_LOGIC;
ENET0_SOF_TX : out STD_LOGIC;
ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET0_GMII_COL : in STD_LOGIC;
ENET0_GMII_CRS : in STD_LOGIC;
ENET0_GMII_RX_CLK : in STD_LOGIC;
ENET0_GMII_RX_DV : in STD_LOGIC;
ENET0_GMII_RX_ER : in STD_LOGIC;
ENET0_GMII_TX_CLK : in STD_LOGIC;
ENET0_MDIO_I : in STD_LOGIC;
ENET0_EXT_INTIN : in STD_LOGIC;
ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_TX_EN : out STD_LOGIC;
ENET1_GMII_TX_ER : out STD_LOGIC;
ENET1_MDIO_MDC : out STD_LOGIC;
ENET1_MDIO_O : out STD_LOGIC;
ENET1_MDIO_T : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET1_SOF_RX : out STD_LOGIC;
ENET1_SOF_TX : out STD_LOGIC;
ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_COL : in STD_LOGIC;
ENET1_GMII_CRS : in STD_LOGIC;
ENET1_GMII_RX_CLK : in STD_LOGIC;
ENET1_GMII_RX_DV : in STD_LOGIC;
ENET1_GMII_RX_ER : in STD_LOGIC;
ENET1_GMII_TX_CLK : in STD_LOGIC;
ENET1_MDIO_I : in STD_LOGIC;
ENET1_EXT_INTIN : in STD_LOGIC;
ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
I2C0_SDA_I : in STD_LOGIC;
I2C0_SDA_O : out STD_LOGIC;
I2C0_SDA_T : out STD_LOGIC;
I2C0_SCL_I : in STD_LOGIC;
I2C0_SCL_O : out STD_LOGIC;
I2C0_SCL_T : out STD_LOGIC;
I2C1_SDA_I : in STD_LOGIC;
I2C1_SDA_O : out STD_LOGIC;
I2C1_SDA_T : out STD_LOGIC;
I2C1_SCL_I : in STD_LOGIC;
I2C1_SCL_O : out STD_LOGIC;
I2C1_SCL_T : out STD_LOGIC;
PJTAG_TCK : in STD_LOGIC;
PJTAG_TMS : in STD_LOGIC;
PJTAG_TDI : in STD_LOGIC;
PJTAG_TDO : out STD_LOGIC;
SDIO0_CLK : out STD_LOGIC;
SDIO0_CLK_FB : in STD_LOGIC;
SDIO0_CMD_O : out STD_LOGIC;
SDIO0_CMD_I : in STD_LOGIC;
SDIO0_CMD_T : out STD_LOGIC;
SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_LED : out STD_LOGIC;
SDIO0_CDN : in STD_LOGIC;
SDIO0_WP : in STD_LOGIC;
SDIO0_BUSPOW : out STD_LOGIC;
SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SDIO1_CLK : out STD_LOGIC;
SDIO1_CLK_FB : in STD_LOGIC;
SDIO1_CMD_O : out STD_LOGIC;
SDIO1_CMD_I : in STD_LOGIC;
SDIO1_CMD_T : out STD_LOGIC;
SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_LED : out STD_LOGIC;
SDIO1_CDN : in STD_LOGIC;
SDIO1_WP : in STD_LOGIC;
SDIO1_BUSPOW : out STD_LOGIC;
SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SPI0_SCLK_I : in STD_LOGIC;
SPI0_SCLK_O : out STD_LOGIC;
SPI0_SCLK_T : out STD_LOGIC;
SPI0_MOSI_I : in STD_LOGIC;
SPI0_MOSI_O : out STD_LOGIC;
SPI0_MOSI_T : out STD_LOGIC;
SPI0_MISO_I : in STD_LOGIC;
SPI0_MISO_O : out STD_LOGIC;
SPI0_MISO_T : out STD_LOGIC;
SPI0_SS_I : in STD_LOGIC;
SPI0_SS_O : out STD_LOGIC;
SPI0_SS1_O : out STD_LOGIC;
SPI0_SS2_O : out STD_LOGIC;
SPI0_SS_T : out STD_LOGIC;
SPI1_SCLK_I : in STD_LOGIC;
SPI1_SCLK_O : out STD_LOGIC;
SPI1_SCLK_T : out STD_LOGIC;
SPI1_MOSI_I : in STD_LOGIC;
SPI1_MOSI_O : out STD_LOGIC;
SPI1_MOSI_T : out STD_LOGIC;
SPI1_MISO_I : in STD_LOGIC;
SPI1_MISO_O : out STD_LOGIC;
SPI1_MISO_T : out STD_LOGIC;
SPI1_SS_I : in STD_LOGIC;
SPI1_SS_O : out STD_LOGIC;
SPI1_SS1_O : out STD_LOGIC;
SPI1_SS2_O : out STD_LOGIC;
SPI1_SS_T : out STD_LOGIC;
UART0_DTRN : out STD_LOGIC;
UART0_RTSN : out STD_LOGIC;
UART0_TX : out STD_LOGIC;
UART0_CTSN : in STD_LOGIC;
UART0_DCDN : in STD_LOGIC;
UART0_DSRN : in STD_LOGIC;
UART0_RIN : in STD_LOGIC;
UART0_RX : in STD_LOGIC;
UART1_DTRN : out STD_LOGIC;
UART1_RTSN : out STD_LOGIC;
UART1_TX : out STD_LOGIC;
UART1_CTSN : in STD_LOGIC;
UART1_DCDN : in STD_LOGIC;
UART1_DSRN : in STD_LOGIC;
UART1_RIN : in STD_LOGIC;
UART1_RX : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
TTC0_CLK0_IN : in STD_LOGIC;
TTC0_CLK1_IN : in STD_LOGIC;
TTC0_CLK2_IN : in STD_LOGIC;
TTC1_WAVE0_OUT : out STD_LOGIC;
TTC1_WAVE1_OUT : out STD_LOGIC;
TTC1_WAVE2_OUT : out STD_LOGIC;
TTC1_CLK0_IN : in STD_LOGIC;
TTC1_CLK1_IN : in STD_LOGIC;
TTC1_CLK2_IN : in STD_LOGIC;
WDT_CLK_IN : in STD_LOGIC;
WDT_RST_OUT : out STD_LOGIC;
TRACE_CLK : in STD_LOGIC;
TRACE_CTL : out STD_LOGIC;
TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 );
TRACE_CLK_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB1_VBUS_PWRSELECT : out STD_LOGIC;
USB1_VBUS_PWRFAULT : in STD_LOGIC;
SRAM_INTIN : in STD_LOGIC;
M_AXI_GP0_ARESETN : out STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARESETN : out STD_LOGIC;
M_AXI_GP1_ARVALID : out STD_LOGIC;
M_AXI_GP1_AWVALID : out STD_LOGIC;
M_AXI_GP1_BREADY : out STD_LOGIC;
M_AXI_GP1_RREADY : out STD_LOGIC;
M_AXI_GP1_WLAST : out STD_LOGIC;
M_AXI_GP1_WVALID : out STD_LOGIC;
M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ACLK : in STD_LOGIC;
M_AXI_GP1_ARREADY : in STD_LOGIC;
M_AXI_GP1_AWREADY : in STD_LOGIC;
M_AXI_GP1_BVALID : in STD_LOGIC;
M_AXI_GP1_RLAST : in STD_LOGIC;
M_AXI_GP1_RVALID : in STD_LOGIC;
M_AXI_GP1_WREADY : in STD_LOGIC;
M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARESETN : out STD_LOGIC;
S_AXI_GP0_ARREADY : out STD_LOGIC;
S_AXI_GP0_AWREADY : out STD_LOGIC;
S_AXI_GP0_BVALID : out STD_LOGIC;
S_AXI_GP0_RLAST : out STD_LOGIC;
S_AXI_GP0_RVALID : out STD_LOGIC;
S_AXI_GP0_WREADY : out STD_LOGIC;
S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_ACLK : in STD_LOGIC;
S_AXI_GP0_ARVALID : in STD_LOGIC;
S_AXI_GP0_AWVALID : in STD_LOGIC;
S_AXI_GP0_BREADY : in STD_LOGIC;
S_AXI_GP0_RREADY : in STD_LOGIC;
S_AXI_GP0_WLAST : in STD_LOGIC;
S_AXI_GP0_WVALID : in STD_LOGIC;
S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ARESETN : out STD_LOGIC;
S_AXI_GP1_ARREADY : out STD_LOGIC;
S_AXI_GP1_AWREADY : out STD_LOGIC;
S_AXI_GP1_BVALID : out STD_LOGIC;
S_AXI_GP1_RLAST : out STD_LOGIC;
S_AXI_GP1_RVALID : out STD_LOGIC;
S_AXI_GP1_WREADY : out STD_LOGIC;
S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ACLK : in STD_LOGIC;
S_AXI_GP1_ARVALID : in STD_LOGIC;
S_AXI_GP1_AWVALID : in STD_LOGIC;
S_AXI_GP1_BREADY : in STD_LOGIC;
S_AXI_GP1_RREADY : in STD_LOGIC;
S_AXI_GP1_WLAST : in STD_LOGIC;
S_AXI_GP1_WVALID : in STD_LOGIC;
S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_ACP_ARESETN : out STD_LOGIC;
S_AXI_ACP_ARREADY : out STD_LOGIC;
S_AXI_ACP_AWREADY : out STD_LOGIC;
S_AXI_ACP_BVALID : out STD_LOGIC;
S_AXI_ACP_RLAST : out STD_LOGIC;
S_AXI_ACP_RVALID : out STD_LOGIC;
S_AXI_ACP_WREADY : out STD_LOGIC;
S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_ACLK : in STD_LOGIC;
S_AXI_ACP_ARVALID : in STD_LOGIC;
S_AXI_ACP_AWVALID : in STD_LOGIC;
S_AXI_ACP_BREADY : in STD_LOGIC;
S_AXI_ACP_RREADY : in STD_LOGIC;
S_AXI_ACP_WLAST : in STD_LOGIC;
S_AXI_ACP_WVALID : in STD_LOGIC;
S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_ARESETN : out STD_LOGIC;
S_AXI_HP0_ARREADY : out STD_LOGIC;
S_AXI_HP0_AWREADY : out STD_LOGIC;
S_AXI_HP0_BVALID : out STD_LOGIC;
S_AXI_HP0_RLAST : out STD_LOGIC;
S_AXI_HP0_RVALID : out STD_LOGIC;
S_AXI_HP0_WREADY : out STD_LOGIC;
S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_ACLK : in STD_LOGIC;
S_AXI_HP0_ARVALID : in STD_LOGIC;
S_AXI_HP0_AWVALID : in STD_LOGIC;
S_AXI_HP0_BREADY : in STD_LOGIC;
S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_RREADY : in STD_LOGIC;
S_AXI_HP0_WLAST : in STD_LOGIC;
S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_WVALID : in STD_LOGIC;
S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_ARESETN : out STD_LOGIC;
S_AXI_HP1_ARREADY : out STD_LOGIC;
S_AXI_HP1_AWREADY : out STD_LOGIC;
S_AXI_HP1_BVALID : out STD_LOGIC;
S_AXI_HP1_RLAST : out STD_LOGIC;
S_AXI_HP1_RVALID : out STD_LOGIC;
S_AXI_HP1_WREADY : out STD_LOGIC;
S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_ACLK : in STD_LOGIC;
S_AXI_HP1_ARVALID : in STD_LOGIC;
S_AXI_HP1_AWVALID : in STD_LOGIC;
S_AXI_HP1_BREADY : in STD_LOGIC;
S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_RREADY : in STD_LOGIC;
S_AXI_HP1_WLAST : in STD_LOGIC;
S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_WVALID : in STD_LOGIC;
S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_ARESETN : out STD_LOGIC;
S_AXI_HP2_ARREADY : out STD_LOGIC;
S_AXI_HP2_AWREADY : out STD_LOGIC;
S_AXI_HP2_BVALID : out STD_LOGIC;
S_AXI_HP2_RLAST : out STD_LOGIC;
S_AXI_HP2_RVALID : out STD_LOGIC;
S_AXI_HP2_WREADY : out STD_LOGIC;
S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_ACLK : in STD_LOGIC;
S_AXI_HP2_ARVALID : in STD_LOGIC;
S_AXI_HP2_AWVALID : in STD_LOGIC;
S_AXI_HP2_BREADY : in STD_LOGIC;
S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_RREADY : in STD_LOGIC;
S_AXI_HP2_WLAST : in STD_LOGIC;
S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_WVALID : in STD_LOGIC;
S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_ARESETN : out STD_LOGIC;
S_AXI_HP3_ARREADY : out STD_LOGIC;
S_AXI_HP3_AWREADY : out STD_LOGIC;
S_AXI_HP3_BVALID : out STD_LOGIC;
S_AXI_HP3_RLAST : out STD_LOGIC;
S_AXI_HP3_RVALID : out STD_LOGIC;
S_AXI_HP3_WREADY : out STD_LOGIC;
S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_ACLK : in STD_LOGIC;
S_AXI_HP3_ARVALID : in STD_LOGIC;
S_AXI_HP3_AWVALID : in STD_LOGIC;
S_AXI_HP3_BREADY : in STD_LOGIC;
S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_RREADY : in STD_LOGIC;
S_AXI_HP3_WLAST : in STD_LOGIC;
S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_WVALID : in STD_LOGIC;
S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
IRQ_P2F_DMAC_ABORT : out STD_LOGIC;
IRQ_P2F_DMAC0 : out STD_LOGIC;
IRQ_P2F_DMAC1 : out STD_LOGIC;
IRQ_P2F_DMAC2 : out STD_LOGIC;
IRQ_P2F_DMAC3 : out STD_LOGIC;
IRQ_P2F_DMAC4 : out STD_LOGIC;
IRQ_P2F_DMAC5 : out STD_LOGIC;
IRQ_P2F_DMAC6 : out STD_LOGIC;
IRQ_P2F_DMAC7 : out STD_LOGIC;
IRQ_P2F_SMC : out STD_LOGIC;
IRQ_P2F_QSPI : out STD_LOGIC;
IRQ_P2F_CTI : out STD_LOGIC;
IRQ_P2F_GPIO : out STD_LOGIC;
IRQ_P2F_USB0 : out STD_LOGIC;
IRQ_P2F_ENET0 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE0 : out STD_LOGIC;
IRQ_P2F_SDIO0 : out STD_LOGIC;
IRQ_P2F_I2C0 : out STD_LOGIC;
IRQ_P2F_SPI0 : out STD_LOGIC;
IRQ_P2F_UART0 : out STD_LOGIC;
IRQ_P2F_CAN0 : out STD_LOGIC;
IRQ_P2F_USB1 : out STD_LOGIC;
IRQ_P2F_ENET1 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE1 : out STD_LOGIC;
IRQ_P2F_SDIO1 : out STD_LOGIC;
IRQ_P2F_I2C1 : out STD_LOGIC;
IRQ_P2F_SPI1 : out STD_LOGIC;
IRQ_P2F_UART1 : out STD_LOGIC;
IRQ_P2F_CAN1 : out STD_LOGIC;
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
Core0_nFIQ : in STD_LOGIC;
Core0_nIRQ : in STD_LOGIC;
Core1_nFIQ : in STD_LOGIC;
Core1_nIRQ : in STD_LOGIC;
DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA0_DAVALID : out STD_LOGIC;
DMA0_DRREADY : out STD_LOGIC;
DMA0_RSTN : out STD_LOGIC;
DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DAVALID : out STD_LOGIC;
DMA1_DRREADY : out STD_LOGIC;
DMA1_RSTN : out STD_LOGIC;
DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DAVALID : out STD_LOGIC;
DMA2_DRREADY : out STD_LOGIC;
DMA2_RSTN : out STD_LOGIC;
DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DAVALID : out STD_LOGIC;
DMA3_DRREADY : out STD_LOGIC;
DMA3_RSTN : out STD_LOGIC;
DMA0_ACLK : in STD_LOGIC;
DMA0_DAREADY : in STD_LOGIC;
DMA0_DRLAST : in STD_LOGIC;
DMA0_DRVALID : in STD_LOGIC;
DMA1_ACLK : in STD_LOGIC;
DMA1_DAREADY : in STD_LOGIC;
DMA1_DRLAST : in STD_LOGIC;
DMA1_DRVALID : in STD_LOGIC;
DMA2_ACLK : in STD_LOGIC;
DMA2_DAREADY : in STD_LOGIC;
DMA2_DRLAST : in STD_LOGIC;
DMA2_DRVALID : in STD_LOGIC;
DMA3_ACLK : in STD_LOGIC;
DMA3_DAREADY : in STD_LOGIC;
DMA3_DRLAST : in STD_LOGIC;
DMA3_DRVALID : in STD_LOGIC;
DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
FCLK_CLK3 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLKTRIG3_N : in STD_LOGIC;
FCLK_CLKTRIG2_N : in STD_LOGIC;
FCLK_CLKTRIG1_N : in STD_LOGIC;
FCLK_CLKTRIG0_N : in STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMD_TRACEIN_VALID : in STD_LOGIC;
FTMD_TRACEIN_CLK : in STD_LOGIC;
FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 );
FTMT_F2P_TRIG_0 : in STD_LOGIC;
FTMT_F2P_TRIGACK_0 : out STD_LOGIC;
FTMT_F2P_TRIG_1 : in STD_LOGIC;
FTMT_F2P_TRIGACK_1 : out STD_LOGIC;
FTMT_F2P_TRIG_2 : in STD_LOGIC;
FTMT_F2P_TRIGACK_2 : out STD_LOGIC;
FTMT_F2P_TRIG_3 : in STD_LOGIC;
FTMT_F2P_TRIGACK_3 : out STD_LOGIC;
FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMT_P2F_TRIGACK_0 : in STD_LOGIC;
FTMT_P2F_TRIG_0 : out STD_LOGIC;
FTMT_P2F_TRIGACK_1 : in STD_LOGIC;
FTMT_P2F_TRIG_1 : out STD_LOGIC;
FTMT_P2F_TRIGACK_2 : in STD_LOGIC;
FTMT_P2F_TRIG_2 : out STD_LOGIC;
FTMT_P2F_TRIGACK_3 : in STD_LOGIC;
FTMT_P2F_TRIG_3 : out STD_LOGIC;
FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 );
FPGA_IDLE_N : in STD_LOGIC;
EVENT_EVENTO : out STD_LOGIC;
EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_EVENTI : in STD_LOGIC;
DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 );
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "design_1_processing_system7_0_0.hwdef";
attribute POWER : string;
attribute POWER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={867} load={0.5} /><MEMORY name={code} memType={LPDDR2} dataWidth={32} clockFreq={400} readRate={0.5} writeRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={49.999947} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p1} clockFreq={99.999893} usageRate={0.5} /><PLL domain={Processor} vco={1733.332} /><PLL domain={Memory} vco={1599.998} /><PLL domain={IO} vco={1999.998} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal ENET0_MDIO_T_n : STD_LOGIC;
signal ENET1_MDIO_T_n : STD_LOGIC;
signal I2C0_SCL_T_n : STD_LOGIC;
signal I2C0_SDA_T_n : STD_LOGIC;
signal I2C1_SCL_T_n : STD_LOGIC;
signal I2C1_SDA_T_n : STD_LOGIC;
signal \^m_axi_gp0_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp0_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal SDIO0_CMD_T_n : STD_LOGIC;
signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SDIO1_CMD_T_n : STD_LOGIC;
signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SPI0_MISO_T_n : STD_LOGIC;
signal SPI0_MOSI_T_n : STD_LOGIC;
signal SPI0_SCLK_T_n : STD_LOGIC;
signal SPI0_SS_T_n : STD_LOGIC;
signal SPI1_MISO_T_n : STD_LOGIC;
signal SPI1_MOSI_T_n : STD_LOGIC;
signal SPI1_SCLK_T_n : STD_LOGIC;
signal SPI1_SS_T_n : STD_LOGIC;
signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true";
signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true";
signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true";
signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true";
signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true";
signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true";
signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true";
signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true";
signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true";
signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true";
signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true";
signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true";
signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true";
signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true";
signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true";
signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true";
signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 );
signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 );
signal buffered_DDR_CAS_n : STD_LOGIC;
signal buffered_DDR_CKE : STD_LOGIC;
signal buffered_DDR_CS_n : STD_LOGIC;
signal buffered_DDR_Clk : STD_LOGIC;
signal buffered_DDR_Clk_n : STD_LOGIC;
signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DRSTB : STD_LOGIC;
signal buffered_DDR_ODT : STD_LOGIC;
signal buffered_DDR_RAS_n : STD_LOGIC;
signal buffered_DDR_VRN : STD_LOGIC;
signal buffered_DDR_VRP : STD_LOGIC;
signal buffered_DDR_WEB : STD_LOGIC;
signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 );
signal buffered_PS_CLK : STD_LOGIC;
signal buffered_PS_PORB : STD_LOGIC;
signal buffered_PS_SRSTB : STD_LOGIC;
signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS7_i : label is "PRIMITIVE";
attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
begin
ENET0_GMII_TXD(7) <= \<const0>\;
ENET0_GMII_TXD(6) <= \<const0>\;
ENET0_GMII_TXD(5) <= \<const0>\;
ENET0_GMII_TXD(4) <= \<const0>\;
ENET0_GMII_TXD(3) <= \<const0>\;
ENET0_GMII_TXD(2) <= \<const0>\;
ENET0_GMII_TXD(1) <= \<const0>\;
ENET0_GMII_TXD(0) <= \<const0>\;
ENET0_GMII_TX_EN <= \<const0>\;
ENET0_GMII_TX_ER <= \<const0>\;
ENET1_GMII_TXD(7) <= \<const0>\;
ENET1_GMII_TXD(6) <= \<const0>\;
ENET1_GMII_TXD(5) <= \<const0>\;
ENET1_GMII_TXD(4) <= \<const0>\;
ENET1_GMII_TXD(3) <= \<const0>\;
ENET1_GMII_TXD(2) <= \<const0>\;
ENET1_GMII_TXD(1) <= \<const0>\;
ENET1_GMII_TXD(0) <= \<const0>\;
ENET1_GMII_TX_EN <= \<const0>\;
ENET1_GMII_TX_ER <= \<const0>\;
M_AXI_GP0_ARCACHE(3 downto 2) <= \^m_axi_gp0_arcache\(3 downto 2);
M_AXI_GP0_ARCACHE(1) <= \<const1>\;
M_AXI_GP0_ARCACHE(0) <= \^m_axi_gp0_arcache\(0);
M_AXI_GP0_ARSIZE(2) <= \<const0>\;
M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0);
M_AXI_GP0_AWCACHE(3 downto 2) <= \^m_axi_gp0_awcache\(3 downto 2);
M_AXI_GP0_AWCACHE(1) <= \<const1>\;
M_AXI_GP0_AWCACHE(0) <= \^m_axi_gp0_awcache\(0);
M_AXI_GP0_AWSIZE(2) <= \<const0>\;
M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0);
M_AXI_GP1_ARCACHE(3 downto 2) <= \^m_axi_gp1_arcache\(3 downto 2);
M_AXI_GP1_ARCACHE(1) <= \<const1>\;
M_AXI_GP1_ARCACHE(0) <= \^m_axi_gp1_arcache\(0);
M_AXI_GP1_ARSIZE(2) <= \<const0>\;
M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0);
M_AXI_GP1_AWCACHE(3 downto 2) <= \^m_axi_gp1_awcache\(3 downto 2);
M_AXI_GP1_AWCACHE(1) <= \<const1>\;
M_AXI_GP1_AWCACHE(0) <= \^m_axi_gp1_awcache\(0);
M_AXI_GP1_AWSIZE(2) <= \<const0>\;
M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0);
PJTAG_TDO <= \<const0>\;
TRACE_CLK_OUT <= \<const0>\;
TRACE_CTL <= \TRACE_CTL_PIPE[0]\;
TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0);
DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CAS_n,
PAD => DDR_CAS_n
);
DDR_CKE_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CKE,
PAD => DDR_CKE
);
DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CS_n,
PAD => DDR_CS_n
);
DDR_Clk_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk,
PAD => DDR_Clk
);
DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk_n,
PAD => DDR_Clk_n
);
DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DRSTB,
PAD => DDR_DRSTB
);
DDR_ODT_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_ODT,
PAD => DDR_ODT
);
DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_RAS_n,
PAD => DDR_RAS_n
);
DDR_VRN_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRN,
PAD => DDR_VRN
);
DDR_VRP_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRP,
PAD => DDR_VRP
);
DDR_WEB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_WEB,
PAD => DDR_WEB
);
ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET0_MDIO_T_n,
O => ENET0_MDIO_T
);
ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET1_MDIO_T_n,
O => ENET1_MDIO_T
);
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(0),
O => GPIO_T(0)
);
\GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(10),
O => GPIO_T(10)
);
\GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(11),
O => GPIO_T(11)
);
\GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(12),
O => GPIO_T(12)
);
\GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(13),
O => GPIO_T(13)
);
\GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(14),
O => GPIO_T(14)
);
\GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(15),
O => GPIO_T(15)
);
\GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(16),
O => GPIO_T(16)
);
\GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(17),
O => GPIO_T(17)
);
\GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(18),
O => GPIO_T(18)
);
\GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(19),
O => GPIO_T(19)
);
\GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(1),
O => GPIO_T(1)
);
\GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(20),
O => GPIO_T(20)
);
\GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(21),
O => GPIO_T(21)
);
\GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(22),
O => GPIO_T(22)
);
\GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(23),
O => GPIO_T(23)
);
\GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(24),
O => GPIO_T(24)
);
\GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(25),
O => GPIO_T(25)
);
\GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(26),
O => GPIO_T(26)
);
\GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(27),
O => GPIO_T(27)
);
\GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(28),
O => GPIO_T(28)
);
\GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(29),
O => GPIO_T(29)
);
\GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(2),
O => GPIO_T(2)
);
\GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(30),
O => GPIO_T(30)
);
\GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(31),
O => GPIO_T(31)
);
\GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(32),
O => GPIO_T(32)
);
\GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(33),
O => GPIO_T(33)
);
\GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(34),
O => GPIO_T(34)
);
\GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(35),
O => GPIO_T(35)
);
\GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(36),
O => GPIO_T(36)
);
\GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(37),
O => GPIO_T(37)
);
\GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(38),
O => GPIO_T(38)
);
\GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(39),
O => GPIO_T(39)
);
\GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(3),
O => GPIO_T(3)
);
\GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(40),
O => GPIO_T(40)
);
\GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(41),
O => GPIO_T(41)
);
\GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(42),
O => GPIO_T(42)
);
\GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(43),
O => GPIO_T(43)
);
\GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(44),
O => GPIO_T(44)
);
\GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(45),
O => GPIO_T(45)
);
\GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(46),
O => GPIO_T(46)
);
\GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(47),
O => GPIO_T(47)
);
\GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(48),
O => GPIO_T(48)
);
\GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(49),
O => GPIO_T(49)
);
\GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(4),
O => GPIO_T(4)
);
\GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(50),
O => GPIO_T(50)
);
\GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(51),
O => GPIO_T(51)
);
\GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(52),
O => GPIO_T(52)
);
\GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(53),
O => GPIO_T(53)
);
\GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(54),
O => GPIO_T(54)
);
\GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(55),
O => GPIO_T(55)
);
\GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(56),
O => GPIO_T(56)
);
\GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(57),
O => GPIO_T(57)
);
\GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(58),
O => GPIO_T(58)
);
\GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(59),
O => GPIO_T(59)
);
\GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(5),
O => GPIO_T(5)
);
\GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(60),
O => GPIO_T(60)
);
\GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(61),
O => GPIO_T(61)
);
\GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(62),
O => GPIO_T(62)
);
\GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(63),
O => GPIO_T(63)
);
\GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(6),
O => GPIO_T(6)
);
\GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(7),
O => GPIO_T(7)
);
\GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(8),
O => GPIO_T(8)
);
\GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(9),
O => GPIO_T(9)
);
I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SCL_T_n,
O => I2C0_SCL_T
);
I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SDA_T_n,
O => I2C0_SDA_T
);
I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SCL_T_n,
O => I2C1_SCL_T
);
I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SDA_T_n,
O => I2C1_SDA_T
);
PS7_i: unisim.vcomponents.PS7
port map (
DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0),
DDRARB(3 downto 0) => DDR_ARB(3 downto 0),
DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0),
DDRCASB => buffered_DDR_CAS_n,
DDRCKE => buffered_DDR_CKE,
DDRCKN => buffered_DDR_Clk_n,
DDRCKP => buffered_DDR_Clk,
DDRCSB => buffered_DDR_CS_n,
DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0),
DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0),
DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0),
DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0),
DDRDRSTB => buffered_DDR_DRSTB,
DDRODT => buffered_DDR_ODT,
DDRRASB => buffered_DDR_RAS_n,
DDRVRN => buffered_DDR_VRN,
DDRVRP => buffered_DDR_VRP,
DDRWEB => buffered_DDR_WEB,
DMA0ACLK => DMA0_ACLK,
DMA0DAREADY => DMA0_DAREADY,
DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0),
DMA0DAVALID => DMA0_DAVALID,
DMA0DRLAST => DMA0_DRLAST,
DMA0DRREADY => DMA0_DRREADY,
DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0),
DMA0DRVALID => DMA0_DRVALID,
DMA0RSTN => DMA0_RSTN,
DMA1ACLK => DMA1_ACLK,
DMA1DAREADY => DMA1_DAREADY,
DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0),
DMA1DAVALID => DMA1_DAVALID,
DMA1DRLAST => DMA1_DRLAST,
DMA1DRREADY => DMA1_DRREADY,
DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0),
DMA1DRVALID => DMA1_DRVALID,
DMA1RSTN => DMA1_RSTN,
DMA2ACLK => DMA2_ACLK,
DMA2DAREADY => DMA2_DAREADY,
DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0),
DMA2DAVALID => DMA2_DAVALID,
DMA2DRLAST => DMA2_DRLAST,
DMA2DRREADY => DMA2_DRREADY,
DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0),
DMA2DRVALID => DMA2_DRVALID,
DMA2RSTN => DMA2_RSTN,
DMA3ACLK => DMA3_ACLK,
DMA3DAREADY => DMA3_DAREADY,
DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0),
DMA3DAVALID => DMA3_DAVALID,
DMA3DRLAST => DMA3_DRLAST,
DMA3DRREADY => DMA3_DRREADY,
DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0),
DMA3DRVALID => DMA3_DRVALID,
DMA3RSTN => DMA3_RSTN,
EMIOCAN0PHYRX => CAN0_PHY_RX,
EMIOCAN0PHYTX => CAN0_PHY_TX,
EMIOCAN1PHYRX => CAN1_PHY_RX,
EMIOCAN1PHYTX => CAN1_PHY_TX,
EMIOENET0EXTINTIN => ENET0_EXT_INTIN,
EMIOENET0GMIICOL => '0',
EMIOENET0GMIICRS => '0',
EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK,
EMIOENET0GMIIRXD(7 downto 0) => B"00000000",
EMIOENET0GMIIRXDV => '0',
EMIOENET0GMIIRXER => '0',
EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK,
EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED,
EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED,
EMIOENET0MDIOI => ENET0_MDIO_I,
EMIOENET0MDIOMDC => ENET0_MDIO_MDC,
EMIOENET0MDIOO => ENET0_MDIO_O,
EMIOENET0MDIOTN => ENET0_MDIO_T_n,
EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX,
EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX,
EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX,
EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX,
EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX,
EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX,
EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX,
EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX,
EMIOENET0SOFRX => ENET0_SOF_RX,
EMIOENET0SOFTX => ENET0_SOF_TX,
EMIOENET1EXTINTIN => ENET1_EXT_INTIN,
EMIOENET1GMIICOL => '0',
EMIOENET1GMIICRS => '0',
EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK,
EMIOENET1GMIIRXD(7 downto 0) => B"00000000",
EMIOENET1GMIIRXDV => '0',
EMIOENET1GMIIRXER => '0',
EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK,
EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED,
EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED,
EMIOENET1MDIOI => ENET1_MDIO_I,
EMIOENET1MDIOMDC => ENET1_MDIO_MDC,
EMIOENET1MDIOO => ENET1_MDIO_O,
EMIOENET1MDIOTN => ENET1_MDIO_T_n,
EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX,
EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX,
EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX,
EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX,
EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX,
EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX,
EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX,
EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX,
EMIOENET1SOFRX => ENET1_SOF_RX,
EMIOENET1SOFTX => ENET1_SOF_TX,
EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0),
EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0),
EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0),
EMIOI2C0SCLI => I2C0_SCL_I,
EMIOI2C0SCLO => I2C0_SCL_O,
EMIOI2C0SCLTN => I2C0_SCL_T_n,
EMIOI2C0SDAI => I2C0_SDA_I,
EMIOI2C0SDAO => I2C0_SDA_O,
EMIOI2C0SDATN => I2C0_SDA_T_n,
EMIOI2C1SCLI => I2C1_SCL_I,
EMIOI2C1SCLO => I2C1_SCL_O,
EMIOI2C1SCLTN => I2C1_SCL_T_n,
EMIOI2C1SDAI => I2C1_SDA_I,
EMIOI2C1SDAO => I2C1_SDA_O,
EMIOI2C1SDATN => I2C1_SDA_T_n,
EMIOPJTAGTCK => PJTAG_TCK,
EMIOPJTAGTDI => PJTAG_TDI,
EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED,
EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED,
EMIOPJTAGTMS => PJTAG_TMS,
EMIOSDIO0BUSPOW => SDIO0_BUSPOW,
EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0),
EMIOSDIO0CDN => SDIO0_CDN,
EMIOSDIO0CLK => SDIO0_CLK,
EMIOSDIO0CLKFB => SDIO0_CLK_FB,
EMIOSDIO0CMDI => SDIO0_CMD_I,
EMIOSDIO0CMDO => SDIO0_CMD_O,
EMIOSDIO0CMDTN => SDIO0_CMD_T_n,
EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0),
EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0),
EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0),
EMIOSDIO0LED => SDIO0_LED,
EMIOSDIO0WP => SDIO0_WP,
EMIOSDIO1BUSPOW => SDIO1_BUSPOW,
EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0),
EMIOSDIO1CDN => SDIO1_CDN,
EMIOSDIO1CLK => SDIO1_CLK,
EMIOSDIO1CLKFB => SDIO1_CLK_FB,
EMIOSDIO1CMDI => SDIO1_CMD_I,
EMIOSDIO1CMDO => SDIO1_CMD_O,
EMIOSDIO1CMDTN => SDIO1_CMD_T_n,
EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0),
EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0),
EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0),
EMIOSDIO1LED => SDIO1_LED,
EMIOSDIO1WP => SDIO1_WP,
EMIOSPI0MI => SPI0_MISO_I,
EMIOSPI0MO => SPI0_MOSI_O,
EMIOSPI0MOTN => SPI0_MOSI_T_n,
EMIOSPI0SCLKI => SPI0_SCLK_I,
EMIOSPI0SCLKO => SPI0_SCLK_O,
EMIOSPI0SCLKTN => SPI0_SCLK_T_n,
EMIOSPI0SI => SPI0_MOSI_I,
EMIOSPI0SO => SPI0_MISO_O,
EMIOSPI0SSIN => SPI0_SS_I,
EMIOSPI0SSNTN => SPI0_SS_T_n,
EMIOSPI0SSON(2) => SPI0_SS2_O,
EMIOSPI0SSON(1) => SPI0_SS1_O,
EMIOSPI0SSON(0) => SPI0_SS_O,
EMIOSPI0STN => SPI0_MISO_T_n,
EMIOSPI1MI => SPI1_MISO_I,
EMIOSPI1MO => SPI1_MOSI_O,
EMIOSPI1MOTN => SPI1_MOSI_T_n,
EMIOSPI1SCLKI => SPI1_SCLK_I,
EMIOSPI1SCLKO => SPI1_SCLK_O,
EMIOSPI1SCLKTN => SPI1_SCLK_T_n,
EMIOSPI1SI => SPI1_MOSI_I,
EMIOSPI1SO => SPI1_MISO_O,
EMIOSPI1SSIN => SPI1_SS_I,
EMIOSPI1SSNTN => SPI1_SS_T_n,
EMIOSPI1SSON(2) => SPI1_SS2_O,
EMIOSPI1SSON(1) => SPI1_SS1_O,
EMIOSPI1SSON(0) => SPI1_SS_O,
EMIOSPI1STN => SPI1_MISO_T_n,
EMIOSRAMINTIN => SRAM_INTIN,
EMIOTRACECLK => TRACE_CLK,
EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED,
EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0),
EMIOTTC0CLKI(2) => TTC0_CLK2_IN,
EMIOTTC0CLKI(1) => TTC0_CLK1_IN,
EMIOTTC0CLKI(0) => TTC0_CLK0_IN,
EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT,
EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT,
EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT,
EMIOTTC1CLKI(2) => TTC1_CLK2_IN,
EMIOTTC1CLKI(1) => TTC1_CLK1_IN,
EMIOTTC1CLKI(0) => TTC1_CLK0_IN,
EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT,
EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT,
EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT,
EMIOUART0CTSN => UART0_CTSN,
EMIOUART0DCDN => UART0_DCDN,
EMIOUART0DSRN => UART0_DSRN,
EMIOUART0DTRN => UART0_DTRN,
EMIOUART0RIN => UART0_RIN,
EMIOUART0RTSN => UART0_RTSN,
EMIOUART0RX => UART0_RX,
EMIOUART0TX => UART0_TX,
EMIOUART1CTSN => UART1_CTSN,
EMIOUART1DCDN => UART1_DCDN,
EMIOUART1DSRN => UART1_DSRN,
EMIOUART1DTRN => UART1_DTRN,
EMIOUART1RIN => UART1_RIN,
EMIOUART1RTSN => UART1_RTSN,
EMIOUART1RX => UART1_RX,
EMIOUART1TX => UART1_TX,
EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0),
EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT,
EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT,
EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0),
EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT,
EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT,
EMIOWDTCLKI => WDT_CLK_IN,
EMIOWDTRSTO => WDT_RST_OUT,
EVENTEVENTI => EVENT_EVENTI,
EVENTEVENTO => EVENT_EVENTO,
EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0),
EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0),
FCLKCLK(3) => FCLK_CLK3,
FCLKCLK(2) => FCLK_CLK2,
FCLKCLK(1) => FCLK_CLK1,
FCLKCLK(0) => FCLK_CLK0,
FCLKCLKTRIGN(3 downto 0) => B"0000",
FCLKRESETN(3) => FCLK_RESET3_N,
FCLKRESETN(2) => FCLK_RESET2_N,
FCLKRESETN(1) => FCLK_RESET1_N,
FCLKRESETN(0) => FCLK_RESET0_N,
FPGAIDLEN => FPGA_IDLE_N,
FTMDTRACEINATID(3 downto 0) => B"0000",
FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK,
FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000",
FTMDTRACEINVALID => '0',
FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0),
FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3,
FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2,
FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1,
FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0,
FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3,
FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2,
FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1,
FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0,
FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0),
FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3,
FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2,
FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1,
FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0,
FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3,
FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2,
FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1,
FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0,
IRQF2P(19) => Core1_nFIQ,
IRQF2P(18) => Core0_nFIQ,
IRQF2P(17) => Core1_nIRQ,
IRQF2P(16) => Core0_nIRQ,
IRQF2P(15 downto 1) => B"000000000000000",
IRQF2P(0) => IRQ_F2P(0),
IRQP2F(28) => IRQ_P2F_DMAC_ABORT,
IRQP2F(27) => IRQ_P2F_DMAC7,
IRQP2F(26) => IRQ_P2F_DMAC6,
IRQP2F(25) => IRQ_P2F_DMAC5,
IRQP2F(24) => IRQ_P2F_DMAC4,
IRQP2F(23) => IRQ_P2F_DMAC3,
IRQP2F(22) => IRQ_P2F_DMAC2,
IRQP2F(21) => IRQ_P2F_DMAC1,
IRQP2F(20) => IRQ_P2F_DMAC0,
IRQP2F(19) => IRQ_P2F_SMC,
IRQP2F(18) => IRQ_P2F_QSPI,
IRQP2F(17) => IRQ_P2F_CTI,
IRQP2F(16) => IRQ_P2F_GPIO,
IRQP2F(15) => IRQ_P2F_USB0,
IRQP2F(14) => IRQ_P2F_ENET0,
IRQP2F(13) => IRQ_P2F_ENET_WAKE0,
IRQP2F(12) => IRQ_P2F_SDIO0,
IRQP2F(11) => IRQ_P2F_I2C0,
IRQP2F(10) => IRQ_P2F_SPI0,
IRQP2F(9) => IRQ_P2F_UART0,
IRQP2F(8) => IRQ_P2F_CAN0,
IRQP2F(7) => IRQ_P2F_USB1,
IRQP2F(6) => IRQ_P2F_ENET1,
IRQP2F(5) => IRQ_P2F_ENET_WAKE1,
IRQP2F(4) => IRQ_P2F_SDIO1,
IRQP2F(3) => IRQ_P2F_I2C1,
IRQP2F(2) => IRQ_P2F_SPI1,
IRQP2F(1) => IRQ_P2F_UART1,
IRQP2F(0) => IRQ_P2F_CAN1,
MAXIGP0ACLK => M_AXI_GP0_ACLK,
MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
MAXIGP0ARCACHE(3 downto 2) => \^m_axi_gp0_arcache\(3 downto 2),
MAXIGP0ARCACHE(1) => NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED(1),
MAXIGP0ARCACHE(0) => \^m_axi_gp0_arcache\(0),
MAXIGP0ARESETN => M_AXI_GP0_ARESETN,
MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
MAXIGP0ARREADY => M_AXI_GP0_ARREADY,
MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0),
MAXIGP0ARVALID => M_AXI_GP0_ARVALID,
MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
MAXIGP0AWCACHE(3 downto 2) => \^m_axi_gp0_awcache\(3 downto 2),
MAXIGP0AWCACHE(1) => NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED(1),
MAXIGP0AWCACHE(0) => \^m_axi_gp0_awcache\(0),
MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
MAXIGP0AWREADY => M_AXI_GP0_AWREADY,
MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0),
MAXIGP0AWVALID => M_AXI_GP0_AWVALID,
MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
MAXIGP0BREADY => M_AXI_GP0_BREADY,
MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
MAXIGP0BVALID => M_AXI_GP0_BVALID,
MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
MAXIGP0RLAST => M_AXI_GP0_RLAST,
MAXIGP0RREADY => M_AXI_GP0_RREADY,
MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
MAXIGP0RVALID => M_AXI_GP0_RVALID,
MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
MAXIGP0WLAST => M_AXI_GP0_WLAST,
MAXIGP0WREADY => M_AXI_GP0_WREADY,
MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
MAXIGP0WVALID => M_AXI_GP0_WVALID,
MAXIGP1ACLK => M_AXI_GP1_ACLK,
MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0),
MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0),
MAXIGP1ARCACHE(3 downto 2) => \^m_axi_gp1_arcache\(3 downto 2),
MAXIGP1ARCACHE(1) => NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED(1),
MAXIGP1ARCACHE(0) => \^m_axi_gp1_arcache\(0),
MAXIGP1ARESETN => M_AXI_GP1_ARESETN,
MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0),
MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0),
MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0),
MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0),
MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0),
MAXIGP1ARREADY => M_AXI_GP1_ARREADY,
MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0),
MAXIGP1ARVALID => M_AXI_GP1_ARVALID,
MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0),
MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0),
MAXIGP1AWCACHE(3 downto 2) => \^m_axi_gp1_awcache\(3 downto 2),
MAXIGP1AWCACHE(1) => NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED(1),
MAXIGP1AWCACHE(0) => \^m_axi_gp1_awcache\(0),
MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0),
MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0),
MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0),
MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0),
MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0),
MAXIGP1AWREADY => M_AXI_GP1_AWREADY,
MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0),
MAXIGP1AWVALID => M_AXI_GP1_AWVALID,
MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0),
MAXIGP1BREADY => M_AXI_GP1_BREADY,
MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0),
MAXIGP1BVALID => M_AXI_GP1_BVALID,
MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0),
MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0),
MAXIGP1RLAST => M_AXI_GP1_RLAST,
MAXIGP1RREADY => M_AXI_GP1_RREADY,
MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0),
MAXIGP1RVALID => M_AXI_GP1_RVALID,
MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0),
MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0),
MAXIGP1WLAST => M_AXI_GP1_WLAST,
MAXIGP1WREADY => M_AXI_GP1_WREADY,
MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0),
MAXIGP1WVALID => M_AXI_GP1_WVALID,
MIO(53 downto 0) => buffered_MIO(53 downto 0),
PSCLK => buffered_PS_CLK,
PSPORB => buffered_PS_PORB,
PSSRSTB => buffered_PS_SRSTB,
SAXIACPACLK => S_AXI_ACP_ACLK,
SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0),
SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0),
SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0),
SAXIACPARESETN => S_AXI_ACP_ARESETN,
SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0),
SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0),
SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0),
SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0),
SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0),
SAXIACPARREADY => S_AXI_ACP_ARREADY,
SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0),
SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0),
SAXIACPARVALID => S_AXI_ACP_ARVALID,
SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0),
SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0),
SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0),
SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0),
SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0),
SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0),
SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0),
SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0),
SAXIACPAWREADY => S_AXI_ACP_AWREADY,
SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0),
SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0),
SAXIACPAWVALID => S_AXI_ACP_AWVALID,
SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0),
SAXIACPBREADY => S_AXI_ACP_BREADY,
SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0),
SAXIACPBVALID => S_AXI_ACP_BVALID,
SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0),
SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0),
SAXIACPRLAST => S_AXI_ACP_RLAST,
SAXIACPRREADY => S_AXI_ACP_RREADY,
SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0),
SAXIACPRVALID => S_AXI_ACP_RVALID,
SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0),
SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0),
SAXIACPWLAST => S_AXI_ACP_WLAST,
SAXIACPWREADY => S_AXI_ACP_WREADY,
SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0),
SAXIACPWVALID => S_AXI_ACP_WVALID,
SAXIGP0ACLK => S_AXI_GP0_ACLK,
SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0),
SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0),
SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0),
SAXIGP0ARESETN => S_AXI_GP0_ARESETN,
SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0),
SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0),
SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0),
SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0),
SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0),
SAXIGP0ARREADY => S_AXI_GP0_ARREADY,
SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0),
SAXIGP0ARVALID => S_AXI_GP0_ARVALID,
SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0),
SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0),
SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0),
SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0),
SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0),
SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0),
SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0),
SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0),
SAXIGP0AWREADY => S_AXI_GP0_AWREADY,
SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0),
SAXIGP0AWVALID => S_AXI_GP0_AWVALID,
SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0),
SAXIGP0BREADY => S_AXI_GP0_BREADY,
SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0),
SAXIGP0BVALID => S_AXI_GP0_BVALID,
SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0),
SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0),
SAXIGP0RLAST => S_AXI_GP0_RLAST,
SAXIGP0RREADY => S_AXI_GP0_RREADY,
SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0),
SAXIGP0RVALID => S_AXI_GP0_RVALID,
SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0),
SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0),
SAXIGP0WLAST => S_AXI_GP0_WLAST,
SAXIGP0WREADY => S_AXI_GP0_WREADY,
SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0),
SAXIGP0WVALID => S_AXI_GP0_WVALID,
SAXIGP1ACLK => S_AXI_GP1_ACLK,
SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0),
SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0),
SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0),
SAXIGP1ARESETN => S_AXI_GP1_ARESETN,
SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0),
SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0),
SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0),
SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0),
SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0),
SAXIGP1ARREADY => S_AXI_GP1_ARREADY,
SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0),
SAXIGP1ARVALID => S_AXI_GP1_ARVALID,
SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0),
SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0),
SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0),
SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0),
SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0),
SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0),
SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0),
SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0),
SAXIGP1AWREADY => S_AXI_GP1_AWREADY,
SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0),
SAXIGP1AWVALID => S_AXI_GP1_AWVALID,
SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0),
SAXIGP1BREADY => S_AXI_GP1_BREADY,
SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0),
SAXIGP1BVALID => S_AXI_GP1_BVALID,
SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0),
SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0),
SAXIGP1RLAST => S_AXI_GP1_RLAST,
SAXIGP1RREADY => S_AXI_GP1_RREADY,
SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0),
SAXIGP1RVALID => S_AXI_GP1_RVALID,
SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0),
SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0),
SAXIGP1WLAST => S_AXI_GP1_WLAST,
SAXIGP1WREADY => S_AXI_GP1_WREADY,
SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0),
SAXIGP1WVALID => S_AXI_GP1_WVALID,
SAXIHP0ACLK => S_AXI_HP0_ACLK,
SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0),
SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0),
SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0),
SAXIHP0ARESETN => S_AXI_HP0_ARESETN,
SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0),
SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0),
SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0),
SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0),
SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0),
SAXIHP0ARREADY => S_AXI_HP0_ARREADY,
SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0),
SAXIHP0ARVALID => S_AXI_HP0_ARVALID,
SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0),
SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0),
SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0),
SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0),
SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0),
SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0),
SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0),
SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0),
SAXIHP0AWREADY => S_AXI_HP0_AWREADY,
SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0),
SAXIHP0AWVALID => S_AXI_HP0_AWVALID,
SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0),
SAXIHP0BREADY => S_AXI_HP0_BREADY,
SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0),
SAXIHP0BVALID => S_AXI_HP0_BVALID,
SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0),
SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0),
SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0),
SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN,
SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0),
SAXIHP0RLAST => S_AXI_HP0_RLAST,
SAXIHP0RREADY => S_AXI_HP0_RREADY,
SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0),
SAXIHP0RVALID => S_AXI_HP0_RVALID,
SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0),
SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0),
SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0),
SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0),
SAXIHP0WLAST => S_AXI_HP0_WLAST,
SAXIHP0WREADY => S_AXI_HP0_WREADY,
SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN,
SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0),
SAXIHP0WVALID => S_AXI_HP0_WVALID,
SAXIHP1ACLK => S_AXI_HP1_ACLK,
SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0),
SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0),
SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0),
SAXIHP1ARESETN => S_AXI_HP1_ARESETN,
SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0),
SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0),
SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0),
SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0),
SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0),
SAXIHP1ARREADY => S_AXI_HP1_ARREADY,
SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0),
SAXIHP1ARVALID => S_AXI_HP1_ARVALID,
SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0),
SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0),
SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0),
SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0),
SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0),
SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0),
SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0),
SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0),
SAXIHP1AWREADY => S_AXI_HP1_AWREADY,
SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0),
SAXIHP1AWVALID => S_AXI_HP1_AWVALID,
SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0),
SAXIHP1BREADY => S_AXI_HP1_BREADY,
SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0),
SAXIHP1BVALID => S_AXI_HP1_BVALID,
SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0),
SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0),
SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0),
SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN,
SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0),
SAXIHP1RLAST => S_AXI_HP1_RLAST,
SAXIHP1RREADY => S_AXI_HP1_RREADY,
SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0),
SAXIHP1RVALID => S_AXI_HP1_RVALID,
SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0),
SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0),
SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0),
SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0),
SAXIHP1WLAST => S_AXI_HP1_WLAST,
SAXIHP1WREADY => S_AXI_HP1_WREADY,
SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN,
SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0),
SAXIHP1WVALID => S_AXI_HP1_WVALID,
SAXIHP2ACLK => S_AXI_HP2_ACLK,
SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0),
SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0),
SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0),
SAXIHP2ARESETN => S_AXI_HP2_ARESETN,
SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0),
SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0),
SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0),
SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0),
SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0),
SAXIHP2ARREADY => S_AXI_HP2_ARREADY,
SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0),
SAXIHP2ARVALID => S_AXI_HP2_ARVALID,
SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0),
SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0),
SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0),
SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0),
SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0),
SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0),
SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0),
SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0),
SAXIHP2AWREADY => S_AXI_HP2_AWREADY,
SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0),
SAXIHP2AWVALID => S_AXI_HP2_AWVALID,
SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0),
SAXIHP2BREADY => S_AXI_HP2_BREADY,
SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0),
SAXIHP2BVALID => S_AXI_HP2_BVALID,
SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0),
SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0),
SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0),
SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN,
SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0),
SAXIHP2RLAST => S_AXI_HP2_RLAST,
SAXIHP2RREADY => S_AXI_HP2_RREADY,
SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0),
SAXIHP2RVALID => S_AXI_HP2_RVALID,
SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0),
SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0),
SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0),
SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0),
SAXIHP2WLAST => S_AXI_HP2_WLAST,
SAXIHP2WREADY => S_AXI_HP2_WREADY,
SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN,
SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0),
SAXIHP2WVALID => S_AXI_HP2_WVALID,
SAXIHP3ACLK => S_AXI_HP3_ACLK,
SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0),
SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0),
SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0),
SAXIHP3ARESETN => S_AXI_HP3_ARESETN,
SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0),
SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0),
SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0),
SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0),
SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0),
SAXIHP3ARREADY => S_AXI_HP3_ARREADY,
SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0),
SAXIHP3ARVALID => S_AXI_HP3_ARVALID,
SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0),
SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0),
SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0),
SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0),
SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0),
SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0),
SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0),
SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0),
SAXIHP3AWREADY => S_AXI_HP3_AWREADY,
SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0),
SAXIHP3AWVALID => S_AXI_HP3_AWVALID,
SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0),
SAXIHP3BREADY => S_AXI_HP3_BREADY,
SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0),
SAXIHP3BVALID => S_AXI_HP3_BVALID,
SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0),
SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0),
SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0),
SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN,
SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0),
SAXIHP3RLAST => S_AXI_HP3_RLAST,
SAXIHP3RREADY => S_AXI_HP3_RREADY,
SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0),
SAXIHP3RVALID => S_AXI_HP3_RVALID,
SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0),
SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0),
SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0),
SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0),
SAXIHP3WLAST => S_AXI_HP3_WLAST,
SAXIHP3WREADY => S_AXI_HP3_WREADY,
SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN,
SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0),
SAXIHP3WVALID => S_AXI_HP3_WVALID
);
PS_CLK_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_CLK,
PAD => PS_CLK
);
PS_PORB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_PORB,
PAD => PS_PORB
);
PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_SRSTB,
PAD => PS_SRSTB
);
SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_CMD_T_n,
O => SDIO0_CMD_T
);
\SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(0),
O => SDIO0_DATA_T(0)
);
\SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(1),
O => SDIO0_DATA_T(1)
);
\SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(2),
O => SDIO0_DATA_T(2)
);
\SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(3),
O => SDIO0_DATA_T(3)
);
SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_CMD_T_n,
O => SDIO1_CMD_T
);
\SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(0),
O => SDIO1_DATA_T(0)
);
\SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(1),
O => SDIO1_DATA_T(1)
);
\SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(2),
O => SDIO1_DATA_T(2)
);
\SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(3),
O => SDIO1_DATA_T(3)
);
SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MISO_T_n,
O => SPI0_MISO_T
);
SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MOSI_T_n,
O => SPI0_MOSI_T
);
SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SCLK_T_n,
O => SPI0_SCLK_T
);
SPI0_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SS_T_n,
O => SPI0_SS_T
);
SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MISO_T_n,
O => SPI1_MISO_T
);
SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MOSI_T_n,
O => SPI1_MOSI_T
);
SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SCLK_T_n,
O => SPI1_SCLK_T
);
SPI1_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SS_T_n,
O => SPI1_SS_T
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(0),
PAD => MIO(0)
);
\genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(10),
PAD => MIO(10)
);
\genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(11),
PAD => MIO(11)
);
\genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(12),
PAD => MIO(12)
);
\genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(13),
PAD => MIO(13)
);
\genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(14),
PAD => MIO(14)
);
\genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(15),
PAD => MIO(15)
);
\genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(16),
PAD => MIO(16)
);
\genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(17),
PAD => MIO(17)
);
\genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(18),
PAD => MIO(18)
);
\genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(19),
PAD => MIO(19)
);
\genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(1),
PAD => MIO(1)
);
\genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(20),
PAD => MIO(20)
);
\genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(21),
PAD => MIO(21)
);
\genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(22),
PAD => MIO(22)
);
\genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(23),
PAD => MIO(23)
);
\genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(24),
PAD => MIO(24)
);
\genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(25),
PAD => MIO(25)
);
\genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(26),
PAD => MIO(26)
);
\genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(27),
PAD => MIO(27)
);
\genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(28),
PAD => MIO(28)
);
\genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(29),
PAD => MIO(29)
);
\genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(2),
PAD => MIO(2)
);
\genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(30),
PAD => MIO(30)
);
\genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(31),
PAD => MIO(31)
);
\genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(32),
PAD => MIO(32)
);
\genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(33),
PAD => MIO(33)
);
\genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(34),
PAD => MIO(34)
);
\genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(35),
PAD => MIO(35)
);
\genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(36),
PAD => MIO(36)
);
\genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(37),
PAD => MIO(37)
);
\genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(38),
PAD => MIO(38)
);
\genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(39),
PAD => MIO(39)
);
\genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(3),
PAD => MIO(3)
);
\genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(40),
PAD => MIO(40)
);
\genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(41),
PAD => MIO(41)
);
\genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(42),
PAD => MIO(42)
);
\genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(43),
PAD => MIO(43)
);
\genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(44),
PAD => MIO(44)
);
\genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(45),
PAD => MIO(45)
);
\genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(46),
PAD => MIO(46)
);
\genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(47),
PAD => MIO(47)
);
\genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(48),
PAD => MIO(48)
);
\genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(49),
PAD => MIO(49)
);
\genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(4),
PAD => MIO(4)
);
\genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(50),
PAD => MIO(50)
);
\genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(51),
PAD => MIO(51)
);
\genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(52),
PAD => MIO(52)
);
\genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(53),
PAD => MIO(53)
);
\genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(5),
PAD => MIO(5)
);
\genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(6),
PAD => MIO(6)
);
\genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(7),
PAD => MIO(7)
);
\genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(8),
PAD => MIO(8)
);
\genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(9),
PAD => MIO(9)
);
\genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(0),
PAD => DDR_BankAddr(0)
);
\genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(1),
PAD => DDR_BankAddr(1)
);
\genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(2),
PAD => DDR_BankAddr(2)
);
\genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(0),
PAD => DDR_Addr(0)
);
\genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(10),
PAD => DDR_Addr(10)
);
\genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(11),
PAD => DDR_Addr(11)
);
\genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(12),
PAD => DDR_Addr(12)
);
\genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(13),
PAD => DDR_Addr(13)
);
\genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(14),
PAD => DDR_Addr(14)
);
\genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(1),
PAD => DDR_Addr(1)
);
\genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(2),
PAD => DDR_Addr(2)
);
\genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(3),
PAD => DDR_Addr(3)
);
\genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(4),
PAD => DDR_Addr(4)
);
\genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(5),
PAD => DDR_Addr(5)
);
\genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(6),
PAD => DDR_Addr(6)
);
\genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(7),
PAD => DDR_Addr(7)
);
\genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(8),
PAD => DDR_Addr(8)
);
\genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(9),
PAD => DDR_Addr(9)
);
\genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(0),
PAD => DDR_DM(0)
);
\genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(1),
PAD => DDR_DM(1)
);
\genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(2),
PAD => DDR_DM(2)
);
\genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(3),
PAD => DDR_DM(3)
);
\genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(0),
PAD => DDR_DQ(0)
);
\genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(10),
PAD => DDR_DQ(10)
);
\genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(11),
PAD => DDR_DQ(11)
);
\genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(12),
PAD => DDR_DQ(12)
);
\genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(13),
PAD => DDR_DQ(13)
);
\genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(14),
PAD => DDR_DQ(14)
);
\genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(15),
PAD => DDR_DQ(15)
);
\genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(16),
PAD => DDR_DQ(16)
);
\genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(17),
PAD => DDR_DQ(17)
);
\genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(18),
PAD => DDR_DQ(18)
);
\genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(19),
PAD => DDR_DQ(19)
);
\genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(1),
PAD => DDR_DQ(1)
);
\genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(20),
PAD => DDR_DQ(20)
);
\genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(21),
PAD => DDR_DQ(21)
);
\genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(22),
PAD => DDR_DQ(22)
);
\genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(23),
PAD => DDR_DQ(23)
);
\genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(24),
PAD => DDR_DQ(24)
);
\genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(25),
PAD => DDR_DQ(25)
);
\genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(26),
PAD => DDR_DQ(26)
);
\genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(27),
PAD => DDR_DQ(27)
);
\genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(28),
PAD => DDR_DQ(28)
);
\genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(29),
PAD => DDR_DQ(29)
);
\genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(2),
PAD => DDR_DQ(2)
);
\genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(30),
PAD => DDR_DQ(30)
);
\genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(31),
PAD => DDR_DQ(31)
);
\genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(3),
PAD => DDR_DQ(3)
);
\genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(4),
PAD => DDR_DQ(4)
);
\genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(5),
PAD => DDR_DQ(5)
);
\genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(6),
PAD => DDR_DQ(6)
);
\genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(7),
PAD => DDR_DQ(7)
);
\genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(8),
PAD => DDR_DQ(8)
);
\genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(9),
PAD => DDR_DQ(9)
);
\genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(0),
PAD => DDR_DQS_n(0)
);
\genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(1),
PAD => DDR_DQS_n(1)
);
\genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(2),
PAD => DDR_DQS_n(2)
);
\genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(3),
PAD => DDR_DQS_n(3)
);
\genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(0),
PAD => DDR_DQS(0)
);
\genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(1),
PAD => DDR_DQS(1)
);
\genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(2),
PAD => DDR_DQS(2)
);
\genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(3),
PAD => DDR_DQS(3)
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[0]\
);
i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(1)
);
i_10: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(1)
);
i_11: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(0)
);
i_12: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(1)
);
i_13: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(0)
);
i_14: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(1)
);
i_15: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(0)
);
i_16: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(1)
);
i_17: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(0)
);
i_18: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(1)
);
i_19: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(0)
);
i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(0)
);
i_20: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(1)
);
i_21: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(0)
);
i_22: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(1)
);
i_23: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(0)
);
i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[7]\
);
i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[6]\
);
i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[5]\
);
i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[4]\
);
i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[3]\
);
i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[2]\
);
i_9: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[1]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
FCLK_RESET0_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "design_1_processing_system7_0_0,processing_system7_v5_5_processing_system7,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "processing_system7_v5_5_processing_system7,Vivado 2017.4.1";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET1_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET2_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET3_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_ARVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_AWVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_BREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_RREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_WLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_WVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB0_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_GPIO_O_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_GPIO_T_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_M_AXI_GP0_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP0_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP0_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP0_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP0_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP0_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP0_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP0_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP0_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP0_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP0_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP0_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP0_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP0_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP0_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP0_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP0_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP0_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP0_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP0_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP0_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB0_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of inst : label is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of inst : label is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of inst : label is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of inst : label is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of inst : label is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of inst : label is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of inst : label is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of inst : label is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of inst : label is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 1;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 1;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of inst : label is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of inst : label is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of inst : label is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of inst : label is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of inst : label is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of inst : label is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of inst : label is 0;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of inst : label is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of inst : label is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of inst : label is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of inst : label is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of inst : label is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of inst : label is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of inst : label is "design_1_processing_system7_0_0.hwdef";
attribute POWER : string;
attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={867} load={0.5} /><MEMORY name={code} memType={LPDDR2} dataWidth={32} clockFreq={400} readRate={0.5} writeRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={49.999947} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p1} clockFreq={99.999893} usageRate={0.5} /><PLL domain={Processor} vco={1733.332} /><PLL domain={Memory} vco={1599.998} /><PLL domain={IO} vco={1999.998} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0;
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of DDR_CAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CAS_N";
attribute X_INTERFACE_INFO of DDR_CKE : signal is "xilinx.com:interface:ddrx:1.0 DDR CKE";
attribute X_INTERFACE_INFO of DDR_CS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CS_N";
attribute X_INTERFACE_INFO of DDR_Clk : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_P";
attribute X_INTERFACE_INFO of DDR_Clk_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_N";
attribute X_INTERFACE_INFO of DDR_DRSTB : signal is "xilinx.com:interface:ddrx:1.0 DDR RESET_N";
attribute X_INTERFACE_INFO of DDR_ODT : signal is "xilinx.com:interface:ddrx:1.0 DDR ODT";
attribute X_INTERFACE_INFO of DDR_RAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR RAS_N";
attribute X_INTERFACE_INFO of DDR_VRN : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRN";
attribute X_INTERFACE_INFO of DDR_VRP : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRP";
attribute X_INTERFACE_INFO of DDR_WEB : signal is "xilinx.com:interface:ddrx:1.0 DDR WE_N";
attribute X_INTERFACE_INFO of FCLK_RESET0_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET0_N RST";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of FCLK_RESET0_N : signal is "XIL_INTERFACENAME FCLK_RESET0_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of PS_CLK : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_CLK";
attribute X_INTERFACE_INFO of PS_PORB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_PORB";
attribute X_INTERFACE_PARAMETER of PS_PORB : signal is "XIL_INTERFACENAME FIXED_IO, CAN_DEBUG false";
attribute X_INTERFACE_INFO of PS_SRSTB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_SRSTB";
attribute X_INTERFACE_INFO of DDR_Addr : signal is "xilinx.com:interface:ddrx:1.0 DDR ADDR";
attribute X_INTERFACE_INFO of DDR_BankAddr : signal is "xilinx.com:interface:ddrx:1.0 DDR BA";
attribute X_INTERFACE_INFO of DDR_DM : signal is "xilinx.com:interface:ddrx:1.0 DDR DM";
attribute X_INTERFACE_INFO of DDR_DQ : signal is "xilinx.com:interface:ddrx:1.0 DDR DQ";
attribute X_INTERFACE_INFO of DDR_DQS : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_P";
attribute X_INTERFACE_PARAMETER of DDR_DQS : signal is "XIL_INTERFACENAME DDR, CAN_DEBUG false, TIMEPERIOD_PS 1250, MEMORY_TYPE COMPONENTS, DATA_WIDTH 8, CS_ENABLED true, DATA_MASK_ENABLED true, SLOT Single, MEM_ADDR_MAP ROW_COLUMN_BANK, BURST_LENGTH 8, AXI_ARBITRATION_SCHEME TDM, CAS_LATENCY 11, CAS_WRITE_LATENCY 11";
attribute X_INTERFACE_INFO of DDR_DQS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_N";
attribute X_INTERFACE_INFO of MIO : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO MIO";
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7
port map (
CAN0_PHY_RX => '0',
CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED,
CAN1_PHY_RX => '0',
CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED,
Core0_nFIQ => '0',
Core0_nIRQ => '0',
Core1_nFIQ => '0',
Core1_nIRQ => '0',
DDR_ARB(3 downto 0) => B"0000",
DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0),
DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0),
DDR_CAS_n => DDR_CAS_n,
DDR_CKE => DDR_CKE,
DDR_CS_n => DDR_CS_n,
DDR_Clk => DDR_Clk,
DDR_Clk_n => DDR_Clk_n,
DDR_DM(3 downto 0) => DDR_DM(3 downto 0),
DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0),
DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0),
DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0),
DDR_DRSTB => DDR_DRSTB,
DDR_ODT => DDR_ODT,
DDR_RAS_n => DDR_RAS_n,
DDR_VRN => DDR_VRN,
DDR_VRP => DDR_VRP,
DDR_WEB => DDR_WEB,
DMA0_ACLK => '0',
DMA0_DAREADY => '0',
DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0),
DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED,
DMA0_DRLAST => '0',
DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED,
DMA0_DRTYPE(1 downto 0) => B"00",
DMA0_DRVALID => '0',
DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED,
DMA1_ACLK => '0',
DMA1_DAREADY => '0',
DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0),
DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED,
DMA1_DRLAST => '0',
DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED,
DMA1_DRTYPE(1 downto 0) => B"00",
DMA1_DRVALID => '0',
DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED,
DMA2_ACLK => '0',
DMA2_DAREADY => '0',
DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0),
DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED,
DMA2_DRLAST => '0',
DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED,
DMA2_DRTYPE(1 downto 0) => B"00",
DMA2_DRVALID => '0',
DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED,
DMA3_ACLK => '0',
DMA3_DAREADY => '0',
DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0),
DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED,
DMA3_DRLAST => '0',
DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED,
DMA3_DRTYPE(1 downto 0) => B"00",
DMA3_DRVALID => '0',
DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED,
ENET0_EXT_INTIN => '0',
ENET0_GMII_COL => '0',
ENET0_GMII_CRS => '0',
ENET0_GMII_RXD(7 downto 0) => B"00000000",
ENET0_GMII_RX_CLK => '0',
ENET0_GMII_RX_DV => '0',
ENET0_GMII_RX_ER => '0',
ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0),
ENET0_GMII_TX_CLK => '0',
ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED,
ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED,
ENET0_MDIO_I => '0',
ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED,
ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED,
ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED,
ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED,
ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED,
ENET1_EXT_INTIN => '0',
ENET1_GMII_COL => '0',
ENET1_GMII_CRS => '0',
ENET1_GMII_RXD(7 downto 0) => B"00000000",
ENET1_GMII_RX_CLK => '0',
ENET1_GMII_RX_DV => '0',
ENET1_GMII_RX_ER => '0',
ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0),
ENET1_GMII_TX_CLK => '0',
ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED,
ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED,
ENET1_MDIO_I => '0',
ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED,
ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED,
ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED,
ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED,
ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED,
EVENT_EVENTI => '0',
EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED,
EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0),
EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0),
FCLK_CLK0 => NLW_inst_FCLK_CLK0_UNCONNECTED,
FCLK_CLK1 => NLW_inst_FCLK_CLK1_UNCONNECTED,
FCLK_CLK2 => NLW_inst_FCLK_CLK2_UNCONNECTED,
FCLK_CLK3 => NLW_inst_FCLK_CLK3_UNCONNECTED,
FCLK_CLKTRIG0_N => '0',
FCLK_CLKTRIG1_N => '0',
FCLK_CLKTRIG2_N => '0',
FCLK_CLKTRIG3_N => '0',
FCLK_RESET0_N => FCLK_RESET0_N,
FCLK_RESET1_N => NLW_inst_FCLK_RESET1_N_UNCONNECTED,
FCLK_RESET2_N => NLW_inst_FCLK_RESET2_N_UNCONNECTED,
FCLK_RESET3_N => NLW_inst_FCLK_RESET3_N_UNCONNECTED,
FPGA_IDLE_N => '0',
FTMD_TRACEIN_ATID(3 downto 0) => B"0000",
FTMD_TRACEIN_CLK => '0',
FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000",
FTMD_TRACEIN_VALID => '0',
FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000",
FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED,
FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED,
FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED,
FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED,
FTMT_F2P_TRIG_0 => '0',
FTMT_F2P_TRIG_1 => '0',
FTMT_F2P_TRIG_2 => '0',
FTMT_F2P_TRIG_3 => '0',
FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0),
FTMT_P2F_TRIGACK_0 => '0',
FTMT_P2F_TRIGACK_1 => '0',
FTMT_P2F_TRIGACK_2 => '0',
FTMT_P2F_TRIGACK_3 => '0',
FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED,
FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED,
FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED,
FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED,
GPIO_I(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
GPIO_O(63 downto 0) => NLW_inst_GPIO_O_UNCONNECTED(63 downto 0),
GPIO_T(63 downto 0) => NLW_inst_GPIO_T_UNCONNECTED(63 downto 0),
I2C0_SCL_I => '0',
I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED,
I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED,
I2C0_SDA_I => '0',
I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED,
I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED,
I2C1_SCL_I => '0',
I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED,
I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED,
I2C1_SDA_I => '0',
I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED,
I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED,
IRQ_F2P(0) => '0',
IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED,
IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED,
IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED,
IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED,
IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED,
IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED,
IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED,
IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED,
IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED,
IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED,
IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED,
IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED,
IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED,
IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED,
IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED,
IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED,
IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED,
IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED,
IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED,
IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED,
IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED,
IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED,
IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED,
IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED,
IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED,
IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED,
IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED,
IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED,
IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED,
MIO(53 downto 0) => MIO(53 downto 0),
M_AXI_GP0_ACLK => '0',
M_AXI_GP0_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP0_ARADDR_UNCONNECTED(31 downto 0),
M_AXI_GP0_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP0_ARBURST_UNCONNECTED(1 downto 0),
M_AXI_GP0_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP0_ARCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED,
M_AXI_GP0_ARID(11 downto 0) => NLW_inst_M_AXI_GP0_ARID_UNCONNECTED(11 downto 0),
M_AXI_GP0_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP0_ARLEN_UNCONNECTED(3 downto 0),
M_AXI_GP0_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP0_ARLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP0_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP0_ARPROT_UNCONNECTED(2 downto 0),
M_AXI_GP0_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP0_ARQOS_UNCONNECTED(3 downto 0),
M_AXI_GP0_ARREADY => '0',
M_AXI_GP0_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP0_ARSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP0_ARVALID => NLW_inst_M_AXI_GP0_ARVALID_UNCONNECTED,
M_AXI_GP0_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP0_AWADDR_UNCONNECTED(31 downto 0),
M_AXI_GP0_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP0_AWBURST_UNCONNECTED(1 downto 0),
M_AXI_GP0_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP0_AWCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP0_AWID(11 downto 0) => NLW_inst_M_AXI_GP0_AWID_UNCONNECTED(11 downto 0),
M_AXI_GP0_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP0_AWLEN_UNCONNECTED(3 downto 0),
M_AXI_GP0_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP0_AWLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP0_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP0_AWPROT_UNCONNECTED(2 downto 0),
M_AXI_GP0_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP0_AWQOS_UNCONNECTED(3 downto 0),
M_AXI_GP0_AWREADY => '0',
M_AXI_GP0_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP0_AWSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP0_AWVALID => NLW_inst_M_AXI_GP0_AWVALID_UNCONNECTED,
M_AXI_GP0_BID(11 downto 0) => B"000000000000",
M_AXI_GP0_BREADY => NLW_inst_M_AXI_GP0_BREADY_UNCONNECTED,
M_AXI_GP0_BRESP(1 downto 0) => B"00",
M_AXI_GP0_BVALID => '0',
M_AXI_GP0_RDATA(31 downto 0) => B"00000000000000000000000000000000",
M_AXI_GP0_RID(11 downto 0) => B"000000000000",
M_AXI_GP0_RLAST => '0',
M_AXI_GP0_RREADY => NLW_inst_M_AXI_GP0_RREADY_UNCONNECTED,
M_AXI_GP0_RRESP(1 downto 0) => B"00",
M_AXI_GP0_RVALID => '0',
M_AXI_GP0_WDATA(31 downto 0) => NLW_inst_M_AXI_GP0_WDATA_UNCONNECTED(31 downto 0),
M_AXI_GP0_WID(11 downto 0) => NLW_inst_M_AXI_GP0_WID_UNCONNECTED(11 downto 0),
M_AXI_GP0_WLAST => NLW_inst_M_AXI_GP0_WLAST_UNCONNECTED,
M_AXI_GP0_WREADY => '0',
M_AXI_GP0_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP0_WSTRB_UNCONNECTED(3 downto 0),
M_AXI_GP0_WVALID => NLW_inst_M_AXI_GP0_WVALID_UNCONNECTED,
M_AXI_GP1_ACLK => '0',
M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED,
M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0),
M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARREADY => '0',
M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED,
M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0),
M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWREADY => '0',
M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED,
M_AXI_GP1_BID(11 downto 0) => B"000000000000",
M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED,
M_AXI_GP1_BRESP(1 downto 0) => B"00",
M_AXI_GP1_BVALID => '0',
M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000",
M_AXI_GP1_RID(11 downto 0) => B"000000000000",
M_AXI_GP1_RLAST => '0',
M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED,
M_AXI_GP1_RRESP(1 downto 0) => B"00",
M_AXI_GP1_RVALID => '0',
M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0),
M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0),
M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED,
M_AXI_GP1_WREADY => '0',
M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0),
M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED,
PJTAG_TCK => '0',
PJTAG_TDI => '0',
PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED,
PJTAG_TMS => '0',
PS_CLK => PS_CLK,
PS_PORB => PS_PORB,
PS_SRSTB => PS_SRSTB,
SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED,
SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO0_CDN => '0',
SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED,
SDIO0_CLK_FB => '0',
SDIO0_CMD_I => '0',
SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED,
SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED,
SDIO0_DATA_I(3 downto 0) => B"0000",
SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0),
SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0),
SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED,
SDIO0_WP => '0',
SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED,
SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO1_CDN => '0',
SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED,
SDIO1_CLK_FB => '0',
SDIO1_CMD_I => '0',
SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED,
SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED,
SDIO1_DATA_I(3 downto 0) => B"0000",
SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0),
SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0),
SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED,
SDIO1_WP => '0',
SPI0_MISO_I => '0',
SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED,
SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED,
SPI0_MOSI_I => '0',
SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED,
SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED,
SPI0_SCLK_I => '0',
SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED,
SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED,
SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED,
SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED,
SPI0_SS_I => '0',
SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED,
SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED,
SPI1_MISO_I => '0',
SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED,
SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED,
SPI1_MOSI_I => '0',
SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED,
SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED,
SPI1_SCLK_I => '0',
SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED,
SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED,
SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED,
SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED,
SPI1_SS_I => '0',
SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED,
SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED,
SRAM_INTIN => '0',
S_AXI_ACP_ACLK => '0',
S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_ARBURST(1 downto 0) => B"00",
S_AXI_ACP_ARCACHE(3 downto 0) => B"0000",
S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED,
S_AXI_ACP_ARID(2 downto 0) => B"000",
S_AXI_ACP_ARLEN(3 downto 0) => B"0000",
S_AXI_ACP_ARLOCK(1 downto 0) => B"00",
S_AXI_ACP_ARPROT(2 downto 0) => B"000",
S_AXI_ACP_ARQOS(3 downto 0) => B"0000",
S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED,
S_AXI_ACP_ARSIZE(2 downto 0) => B"000",
S_AXI_ACP_ARUSER(4 downto 0) => B"00000",
S_AXI_ACP_ARVALID => '0',
S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_AWBURST(1 downto 0) => B"00",
S_AXI_ACP_AWCACHE(3 downto 0) => B"0000",
S_AXI_ACP_AWID(2 downto 0) => B"000",
S_AXI_ACP_AWLEN(3 downto 0) => B"0000",
S_AXI_ACP_AWLOCK(1 downto 0) => B"00",
S_AXI_ACP_AWPROT(2 downto 0) => B"000",
S_AXI_ACP_AWQOS(3 downto 0) => B"0000",
S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED,
S_AXI_ACP_AWSIZE(2 downto 0) => B"000",
S_AXI_ACP_AWUSER(4 downto 0) => B"00000",
S_AXI_ACP_AWVALID => '0',
S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0),
S_AXI_ACP_BREADY => '0',
S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED,
S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0),
S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0),
S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED,
S_AXI_ACP_RREADY => '0',
S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED,
S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_ACP_WID(2 downto 0) => B"000",
S_AXI_ACP_WLAST => '0',
S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED,
S_AXI_ACP_WSTRB(7 downto 0) => B"00000000",
S_AXI_ACP_WVALID => '0',
S_AXI_GP0_ACLK => '0',
S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_ARBURST(1 downto 0) => B"00",
S_AXI_GP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED,
S_AXI_GP0_ARID(5 downto 0) => B"000000",
S_AXI_GP0_ARLEN(3 downto 0) => B"0000",
S_AXI_GP0_ARLOCK(1 downto 0) => B"00",
S_AXI_GP0_ARPROT(2 downto 0) => B"000",
S_AXI_GP0_ARQOS(3 downto 0) => B"0000",
S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED,
S_AXI_GP0_ARSIZE(2 downto 0) => B"000",
S_AXI_GP0_ARVALID => '0',
S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_AWBURST(1 downto 0) => B"00",
S_AXI_GP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP0_AWID(5 downto 0) => B"000000",
S_AXI_GP0_AWLEN(3 downto 0) => B"0000",
S_AXI_GP0_AWLOCK(1 downto 0) => B"00",
S_AXI_GP0_AWPROT(2 downto 0) => B"000",
S_AXI_GP0_AWQOS(3 downto 0) => B"0000",
S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED,
S_AXI_GP0_AWSIZE(2 downto 0) => B"000",
S_AXI_GP0_AWVALID => '0',
S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0),
S_AXI_GP0_BREADY => '0',
S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED,
S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0),
S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED,
S_AXI_GP0_RREADY => '0',
S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED,
S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_WID(5 downto 0) => B"000000",
S_AXI_GP0_WLAST => '0',
S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED,
S_AXI_GP0_WSTRB(3 downto 0) => B"0000",
S_AXI_GP0_WVALID => '0',
S_AXI_GP1_ACLK => '0',
S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_ARBURST(1 downto 0) => B"00",
S_AXI_GP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED,
S_AXI_GP1_ARID(5 downto 0) => B"000000",
S_AXI_GP1_ARLEN(3 downto 0) => B"0000",
S_AXI_GP1_ARLOCK(1 downto 0) => B"00",
S_AXI_GP1_ARPROT(2 downto 0) => B"000",
S_AXI_GP1_ARQOS(3 downto 0) => B"0000",
S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED,
S_AXI_GP1_ARSIZE(2 downto 0) => B"000",
S_AXI_GP1_ARVALID => '0',
S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_AWBURST(1 downto 0) => B"00",
S_AXI_GP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP1_AWID(5 downto 0) => B"000000",
S_AXI_GP1_AWLEN(3 downto 0) => B"0000",
S_AXI_GP1_AWLOCK(1 downto 0) => B"00",
S_AXI_GP1_AWPROT(2 downto 0) => B"000",
S_AXI_GP1_AWQOS(3 downto 0) => B"0000",
S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED,
S_AXI_GP1_AWSIZE(2 downto 0) => B"000",
S_AXI_GP1_AWVALID => '0',
S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0),
S_AXI_GP1_BREADY => '0',
S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED,
S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0),
S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED,
S_AXI_GP1_RREADY => '0',
S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED,
S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_WID(5 downto 0) => B"000000",
S_AXI_GP1_WLAST => '0',
S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED,
S_AXI_GP1_WSTRB(3 downto 0) => B"0000",
S_AXI_GP1_WVALID => '0',
S_AXI_HP0_ACLK => '0',
S_AXI_HP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_ARBURST(1 downto 0) => B"00",
S_AXI_HP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED,
S_AXI_HP0_ARID(5 downto 0) => B"000000",
S_AXI_HP0_ARLEN(3 downto 0) => B"0000",
S_AXI_HP0_ARLOCK(1 downto 0) => B"00",
S_AXI_HP0_ARPROT(2 downto 0) => B"000",
S_AXI_HP0_ARQOS(3 downto 0) => B"0000",
S_AXI_HP0_ARREADY => NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED,
S_AXI_HP0_ARSIZE(2 downto 0) => B"000",
S_AXI_HP0_ARVALID => '0',
S_AXI_HP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_AWBURST(1 downto 0) => B"00",
S_AXI_HP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP0_AWID(5 downto 0) => B"000000",
S_AXI_HP0_AWLEN(3 downto 0) => B"0000",
S_AXI_HP0_AWLOCK(1 downto 0) => B"00",
S_AXI_HP0_AWPROT(2 downto 0) => B"000",
S_AXI_HP0_AWQOS(3 downto 0) => B"0000",
S_AXI_HP0_AWREADY => NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED,
S_AXI_HP0_AWSIZE(2 downto 0) => B"000",
S_AXI_HP0_AWVALID => '0',
S_AXI_HP0_BID(5 downto 0) => NLW_inst_S_AXI_HP0_BID_UNCONNECTED(5 downto 0),
S_AXI_HP0_BREADY => '0',
S_AXI_HP0_BRESP(1 downto 0) => NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_BVALID => NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED,
S_AXI_HP0_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP0_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_RDATA(63 downto 0) => NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP0_RDISSUECAP1_EN => '0',
S_AXI_HP0_RID(5 downto 0) => NLW_inst_S_AXI_HP0_RID_UNCONNECTED(5 downto 0),
S_AXI_HP0_RLAST => NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED,
S_AXI_HP0_RREADY => '0',
S_AXI_HP0_RRESP(1 downto 0) => NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_RVALID => NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED,
S_AXI_HP0_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP0_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP0_WID(5 downto 0) => B"000000",
S_AXI_HP0_WLAST => '0',
S_AXI_HP0_WREADY => NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED,
S_AXI_HP0_WRISSUECAP1_EN => '0',
S_AXI_HP0_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP0_WVALID => '0',
S_AXI_HP1_ACLK => '0',
S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_ARBURST(1 downto 0) => B"00",
S_AXI_HP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED,
S_AXI_HP1_ARID(5 downto 0) => B"000000",
S_AXI_HP1_ARLEN(3 downto 0) => B"0000",
S_AXI_HP1_ARLOCK(1 downto 0) => B"00",
S_AXI_HP1_ARPROT(2 downto 0) => B"000",
S_AXI_HP1_ARQOS(3 downto 0) => B"0000",
S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED,
S_AXI_HP1_ARSIZE(2 downto 0) => B"000",
S_AXI_HP1_ARVALID => '0',
S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_AWBURST(1 downto 0) => B"00",
S_AXI_HP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP1_AWID(5 downto 0) => B"000000",
S_AXI_HP1_AWLEN(3 downto 0) => B"0000",
S_AXI_HP1_AWLOCK(1 downto 0) => B"00",
S_AXI_HP1_AWPROT(2 downto 0) => B"000",
S_AXI_HP1_AWQOS(3 downto 0) => B"0000",
S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED,
S_AXI_HP1_AWSIZE(2 downto 0) => B"000",
S_AXI_HP1_AWVALID => '0',
S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0),
S_AXI_HP1_BREADY => '0',
S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED,
S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP1_RDISSUECAP1_EN => '0',
S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0),
S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED,
S_AXI_HP1_RREADY => '0',
S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED,
S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP1_WID(5 downto 0) => B"000000",
S_AXI_HP1_WLAST => '0',
S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED,
S_AXI_HP1_WRISSUECAP1_EN => '0',
S_AXI_HP1_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP1_WVALID => '0',
S_AXI_HP2_ACLK => '0',
S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_ARBURST(1 downto 0) => B"00",
S_AXI_HP2_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED,
S_AXI_HP2_ARID(5 downto 0) => B"000000",
S_AXI_HP2_ARLEN(3 downto 0) => B"0000",
S_AXI_HP2_ARLOCK(1 downto 0) => B"00",
S_AXI_HP2_ARPROT(2 downto 0) => B"000",
S_AXI_HP2_ARQOS(3 downto 0) => B"0000",
S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED,
S_AXI_HP2_ARSIZE(2 downto 0) => B"000",
S_AXI_HP2_ARVALID => '0',
S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_AWBURST(1 downto 0) => B"00",
S_AXI_HP2_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP2_AWID(5 downto 0) => B"000000",
S_AXI_HP2_AWLEN(3 downto 0) => B"0000",
S_AXI_HP2_AWLOCK(1 downto 0) => B"00",
S_AXI_HP2_AWPROT(2 downto 0) => B"000",
S_AXI_HP2_AWQOS(3 downto 0) => B"0000",
S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED,
S_AXI_HP2_AWSIZE(2 downto 0) => B"000",
S_AXI_HP2_AWVALID => '0',
S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0),
S_AXI_HP2_BREADY => '0',
S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED,
S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP2_RDISSUECAP1_EN => '0',
S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0),
S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED,
S_AXI_HP2_RREADY => '0',
S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED,
S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP2_WID(5 downto 0) => B"000000",
S_AXI_HP2_WLAST => '0',
S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED,
S_AXI_HP2_WRISSUECAP1_EN => '0',
S_AXI_HP2_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP2_WVALID => '0',
S_AXI_HP3_ACLK => '0',
S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_ARBURST(1 downto 0) => B"00",
S_AXI_HP3_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED,
S_AXI_HP3_ARID(5 downto 0) => B"000000",
S_AXI_HP3_ARLEN(3 downto 0) => B"0000",
S_AXI_HP3_ARLOCK(1 downto 0) => B"00",
S_AXI_HP3_ARPROT(2 downto 0) => B"000",
S_AXI_HP3_ARQOS(3 downto 0) => B"0000",
S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED,
S_AXI_HP3_ARSIZE(2 downto 0) => B"000",
S_AXI_HP3_ARVALID => '0',
S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_AWBURST(1 downto 0) => B"00",
S_AXI_HP3_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP3_AWID(5 downto 0) => B"000000",
S_AXI_HP3_AWLEN(3 downto 0) => B"0000",
S_AXI_HP3_AWLOCK(1 downto 0) => B"00",
S_AXI_HP3_AWPROT(2 downto 0) => B"000",
S_AXI_HP3_AWQOS(3 downto 0) => B"0000",
S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED,
S_AXI_HP3_AWSIZE(2 downto 0) => B"000",
S_AXI_HP3_AWVALID => '0',
S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0),
S_AXI_HP3_BREADY => '0',
S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED,
S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP3_RDISSUECAP1_EN => '0',
S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0),
S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED,
S_AXI_HP3_RREADY => '0',
S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED,
S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP3_WID(5 downto 0) => B"000000",
S_AXI_HP3_WLAST => '0',
S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED,
S_AXI_HP3_WRISSUECAP1_EN => '0',
S_AXI_HP3_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP3_WVALID => '0',
TRACE_CLK => '0',
TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED,
TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED,
TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0),
TTC0_CLK0_IN => '0',
TTC0_CLK1_IN => '0',
TTC0_CLK2_IN => '0',
TTC0_WAVE0_OUT => NLW_inst_TTC0_WAVE0_OUT_UNCONNECTED,
TTC0_WAVE1_OUT => NLW_inst_TTC0_WAVE1_OUT_UNCONNECTED,
TTC0_WAVE2_OUT => NLW_inst_TTC0_WAVE2_OUT_UNCONNECTED,
TTC1_CLK0_IN => '0',
TTC1_CLK1_IN => '0',
TTC1_CLK2_IN => '0',
TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED,
TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED,
TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED,
UART0_CTSN => '0',
UART0_DCDN => '0',
UART0_DSRN => '0',
UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED,
UART0_RIN => '0',
UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED,
UART0_RX => '1',
UART0_TX => NLW_inst_UART0_TX_UNCONNECTED,
UART1_CTSN => '0',
UART1_DCDN => '0',
UART1_DSRN => '0',
UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED,
UART1_RIN => '0',
UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED,
UART1_RX => '1',
UART1_TX => NLW_inst_UART1_TX_UNCONNECTED,
USB0_PORT_INDCTL(1 downto 0) => NLW_inst_USB0_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB0_VBUS_PWRFAULT => '0',
USB0_VBUS_PWRSELECT => NLW_inst_USB0_VBUS_PWRSELECT_UNCONNECTED,
USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB1_VBUS_PWRFAULT => '0',
USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED,
WDT_CLK_IN => '0',
WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED
);
end STRUCTURE;
| mit | aff970cb5b376ed7d967e7dbd5d8a8e2 | 0.637398 | 2.771624 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/project_1/project_1.srcs/sources_1/bd/base_zynq/synth/base_zynq.vhd | 2 | 147,377 | --Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2017.4.1 (win64) Build 2117270 Tue Jan 30 15:32:00 MST 2018
--Date : Wed Apr 4 00:27:51 2018
--Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
--Command : generate_target base_zynq.bd
--Design : base_zynq
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m00_couplers_imp_QHT96L is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC;
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC;
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC;
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_rlast : out STD_LOGIC;
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wlast : in STD_LOGIC;
S_AXI_wready : out STD_LOGIC;
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC
);
end m00_couplers_imp_QHT96L;
architecture STRUCTURE of m00_couplers_imp_QHT96L is
component base_zynq_auto_pc_0 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
end component base_zynq_auto_pc_0;
signal S_ACLK_1 : STD_LOGIC;
signal S_ARESETN_1 : STD_LOGIC;
signal auto_pc_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_m00_couplers_ARREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_ARVALID : STD_LOGIC;
signal auto_pc_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_m00_couplers_AWREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_AWVALID : STD_LOGIC;
signal auto_pc_to_m00_couplers_BREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_BVALID : STD_LOGIC;
signal auto_pc_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_m00_couplers_RREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_RVALID : STD_LOGIC;
signal auto_pc_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_m00_couplers_WREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_WVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_auto_pc_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_auto_pc_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal m00_couplers_to_auto_pc_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m00_couplers_to_auto_pc_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_auto_pc_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_ARREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_ARREGION : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_ARVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_auto_pc_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_auto_pc_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal m00_couplers_to_auto_pc_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m00_couplers_to_auto_pc_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_auto_pc_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_AWREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_AWREGION : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_AWVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal m00_couplers_to_auto_pc_BREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_auto_pc_BVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_auto_pc_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal m00_couplers_to_auto_pc_RLAST : STD_LOGIC;
signal m00_couplers_to_auto_pc_RREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_auto_pc_RVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_auto_pc_WLAST : STD_LOGIC;
signal m00_couplers_to_auto_pc_WREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_WVALID : STD_LOGIC;
signal NLW_auto_pc_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_auto_pc_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
begin
M_AXI_araddr(31 downto 0) <= auto_pc_to_m00_couplers_ARADDR(31 downto 0);
M_AXI_arvalid <= auto_pc_to_m00_couplers_ARVALID;
M_AXI_awaddr(31 downto 0) <= auto_pc_to_m00_couplers_AWADDR(31 downto 0);
M_AXI_awvalid <= auto_pc_to_m00_couplers_AWVALID;
M_AXI_bready <= auto_pc_to_m00_couplers_BREADY;
M_AXI_rready <= auto_pc_to_m00_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= auto_pc_to_m00_couplers_WDATA(31 downto 0);
M_AXI_wstrb(3 downto 0) <= auto_pc_to_m00_couplers_WSTRB(3 downto 0);
M_AXI_wvalid <= auto_pc_to_m00_couplers_WVALID;
S_ACLK_1 <= S_ACLK;
S_ARESETN_1 <= S_ARESETN;
S_AXI_arready <= m00_couplers_to_auto_pc_ARREADY;
S_AXI_awready <= m00_couplers_to_auto_pc_AWREADY;
S_AXI_bid(11 downto 0) <= m00_couplers_to_auto_pc_BID(11 downto 0);
S_AXI_bresp(1 downto 0) <= m00_couplers_to_auto_pc_BRESP(1 downto 0);
S_AXI_bvalid <= m00_couplers_to_auto_pc_BVALID;
S_AXI_rdata(31 downto 0) <= m00_couplers_to_auto_pc_RDATA(31 downto 0);
S_AXI_rid(11 downto 0) <= m00_couplers_to_auto_pc_RID(11 downto 0);
S_AXI_rlast <= m00_couplers_to_auto_pc_RLAST;
S_AXI_rresp(1 downto 0) <= m00_couplers_to_auto_pc_RRESP(1 downto 0);
S_AXI_rvalid <= m00_couplers_to_auto_pc_RVALID;
S_AXI_wready <= m00_couplers_to_auto_pc_WREADY;
auto_pc_to_m00_couplers_ARREADY <= M_AXI_arready;
auto_pc_to_m00_couplers_AWREADY <= M_AXI_awready;
auto_pc_to_m00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
auto_pc_to_m00_couplers_BVALID <= M_AXI_bvalid;
auto_pc_to_m00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
auto_pc_to_m00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
auto_pc_to_m00_couplers_RVALID <= M_AXI_rvalid;
auto_pc_to_m00_couplers_WREADY <= M_AXI_wready;
m00_couplers_to_auto_pc_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m00_couplers_to_auto_pc_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
m00_couplers_to_auto_pc_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
m00_couplers_to_auto_pc_ARID(11 downto 0) <= S_AXI_arid(11 downto 0);
m00_couplers_to_auto_pc_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0);
m00_couplers_to_auto_pc_ARLOCK(0) <= S_AXI_arlock(0);
m00_couplers_to_auto_pc_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
m00_couplers_to_auto_pc_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0);
m00_couplers_to_auto_pc_ARREGION(3 downto 0) <= S_AXI_arregion(3 downto 0);
m00_couplers_to_auto_pc_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
m00_couplers_to_auto_pc_ARVALID <= S_AXI_arvalid;
m00_couplers_to_auto_pc_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m00_couplers_to_auto_pc_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0);
m00_couplers_to_auto_pc_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0);
m00_couplers_to_auto_pc_AWID(11 downto 0) <= S_AXI_awid(11 downto 0);
m00_couplers_to_auto_pc_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0);
m00_couplers_to_auto_pc_AWLOCK(0) <= S_AXI_awlock(0);
m00_couplers_to_auto_pc_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
m00_couplers_to_auto_pc_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0);
m00_couplers_to_auto_pc_AWREGION(3 downto 0) <= S_AXI_awregion(3 downto 0);
m00_couplers_to_auto_pc_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0);
m00_couplers_to_auto_pc_AWVALID <= S_AXI_awvalid;
m00_couplers_to_auto_pc_BREADY <= S_AXI_bready;
m00_couplers_to_auto_pc_RREADY <= S_AXI_rready;
m00_couplers_to_auto_pc_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m00_couplers_to_auto_pc_WLAST <= S_AXI_wlast;
m00_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
m00_couplers_to_auto_pc_WVALID <= S_AXI_wvalid;
auto_pc: component base_zynq_auto_pc_0
port map (
aclk => S_ACLK_1,
aresetn => S_ARESETN_1,
m_axi_araddr(31 downto 0) => auto_pc_to_m00_couplers_ARADDR(31 downto 0),
m_axi_arprot(2 downto 0) => NLW_auto_pc_m_axi_arprot_UNCONNECTED(2 downto 0),
m_axi_arready => auto_pc_to_m00_couplers_ARREADY,
m_axi_arvalid => auto_pc_to_m00_couplers_ARVALID,
m_axi_awaddr(31 downto 0) => auto_pc_to_m00_couplers_AWADDR(31 downto 0),
m_axi_awprot(2 downto 0) => NLW_auto_pc_m_axi_awprot_UNCONNECTED(2 downto 0),
m_axi_awready => auto_pc_to_m00_couplers_AWREADY,
m_axi_awvalid => auto_pc_to_m00_couplers_AWVALID,
m_axi_bready => auto_pc_to_m00_couplers_BREADY,
m_axi_bresp(1 downto 0) => auto_pc_to_m00_couplers_BRESP(1 downto 0),
m_axi_bvalid => auto_pc_to_m00_couplers_BVALID,
m_axi_rdata(31 downto 0) => auto_pc_to_m00_couplers_RDATA(31 downto 0),
m_axi_rready => auto_pc_to_m00_couplers_RREADY,
m_axi_rresp(1 downto 0) => auto_pc_to_m00_couplers_RRESP(1 downto 0),
m_axi_rvalid => auto_pc_to_m00_couplers_RVALID,
m_axi_wdata(31 downto 0) => auto_pc_to_m00_couplers_WDATA(31 downto 0),
m_axi_wready => auto_pc_to_m00_couplers_WREADY,
m_axi_wstrb(3 downto 0) => auto_pc_to_m00_couplers_WSTRB(3 downto 0),
m_axi_wvalid => auto_pc_to_m00_couplers_WVALID,
s_axi_araddr(31 downto 0) => m00_couplers_to_auto_pc_ARADDR(31 downto 0),
s_axi_arburst(1 downto 0) => m00_couplers_to_auto_pc_ARBURST(1 downto 0),
s_axi_arcache(3 downto 0) => m00_couplers_to_auto_pc_ARCACHE(3 downto 0),
s_axi_arid(11 downto 0) => m00_couplers_to_auto_pc_ARID(11 downto 0),
s_axi_arlen(7 downto 0) => m00_couplers_to_auto_pc_ARLEN(7 downto 0),
s_axi_arlock(0) => m00_couplers_to_auto_pc_ARLOCK(0),
s_axi_arprot(2 downto 0) => m00_couplers_to_auto_pc_ARPROT(2 downto 0),
s_axi_arqos(3 downto 0) => m00_couplers_to_auto_pc_ARQOS(3 downto 0),
s_axi_arready => m00_couplers_to_auto_pc_ARREADY,
s_axi_arregion(3 downto 0) => m00_couplers_to_auto_pc_ARREGION(3 downto 0),
s_axi_arsize(2 downto 0) => m00_couplers_to_auto_pc_ARSIZE(2 downto 0),
s_axi_arvalid => m00_couplers_to_auto_pc_ARVALID,
s_axi_awaddr(31 downto 0) => m00_couplers_to_auto_pc_AWADDR(31 downto 0),
s_axi_awburst(1 downto 0) => m00_couplers_to_auto_pc_AWBURST(1 downto 0),
s_axi_awcache(3 downto 0) => m00_couplers_to_auto_pc_AWCACHE(3 downto 0),
s_axi_awid(11 downto 0) => m00_couplers_to_auto_pc_AWID(11 downto 0),
s_axi_awlen(7 downto 0) => m00_couplers_to_auto_pc_AWLEN(7 downto 0),
s_axi_awlock(0) => m00_couplers_to_auto_pc_AWLOCK(0),
s_axi_awprot(2 downto 0) => m00_couplers_to_auto_pc_AWPROT(2 downto 0),
s_axi_awqos(3 downto 0) => m00_couplers_to_auto_pc_AWQOS(3 downto 0),
s_axi_awready => m00_couplers_to_auto_pc_AWREADY,
s_axi_awregion(3 downto 0) => m00_couplers_to_auto_pc_AWREGION(3 downto 0),
s_axi_awsize(2 downto 0) => m00_couplers_to_auto_pc_AWSIZE(2 downto 0),
s_axi_awvalid => m00_couplers_to_auto_pc_AWVALID,
s_axi_bid(11 downto 0) => m00_couplers_to_auto_pc_BID(11 downto 0),
s_axi_bready => m00_couplers_to_auto_pc_BREADY,
s_axi_bresp(1 downto 0) => m00_couplers_to_auto_pc_BRESP(1 downto 0),
s_axi_bvalid => m00_couplers_to_auto_pc_BVALID,
s_axi_rdata(31 downto 0) => m00_couplers_to_auto_pc_RDATA(31 downto 0),
s_axi_rid(11 downto 0) => m00_couplers_to_auto_pc_RID(11 downto 0),
s_axi_rlast => m00_couplers_to_auto_pc_RLAST,
s_axi_rready => m00_couplers_to_auto_pc_RREADY,
s_axi_rresp(1 downto 0) => m00_couplers_to_auto_pc_RRESP(1 downto 0),
s_axi_rvalid => m00_couplers_to_auto_pc_RVALID,
s_axi_wdata(31 downto 0) => m00_couplers_to_auto_pc_WDATA(31 downto 0),
s_axi_wlast => m00_couplers_to_auto_pc_WLAST,
s_axi_wready => m00_couplers_to_auto_pc_WREADY,
s_axi_wstrb(3 downto 0) => m00_couplers_to_auto_pc_WSTRB(3 downto 0),
s_axi_wvalid => m00_couplers_to_auto_pc_WVALID
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m01_couplers_imp_11WP2HX is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC;
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_rlast : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wlast : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC;
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_rlast : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wlast : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end m01_couplers_imp_11WP2HX;
architecture STRUCTURE of m01_couplers_imp_11WP2HX is
signal m01_couplers_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_m01_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_m01_couplers_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal m01_couplers_to_m01_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m01_couplers_to_m01_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m01_couplers_to_m01_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m01_couplers_to_m01_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_m01_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_m01_couplers_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal m01_couplers_to_m01_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m01_couplers_to_m01_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m01_couplers_to_m01_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m01_couplers_to_m01_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal m01_couplers_to_m01_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_m01_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal m01_couplers_to_m01_couplers_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_m01_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_m01_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
begin
M_AXI_araddr(31 downto 0) <= m01_couplers_to_m01_couplers_ARADDR(31 downto 0);
M_AXI_arburst(1 downto 0) <= m01_couplers_to_m01_couplers_ARBURST(1 downto 0);
M_AXI_arcache(3 downto 0) <= m01_couplers_to_m01_couplers_ARCACHE(3 downto 0);
M_AXI_arid(11 downto 0) <= m01_couplers_to_m01_couplers_ARID(11 downto 0);
M_AXI_arlen(7 downto 0) <= m01_couplers_to_m01_couplers_ARLEN(7 downto 0);
M_AXI_arlock(0) <= m01_couplers_to_m01_couplers_ARLOCK(0);
M_AXI_arprot(2 downto 0) <= m01_couplers_to_m01_couplers_ARPROT(2 downto 0);
M_AXI_arsize(2 downto 0) <= m01_couplers_to_m01_couplers_ARSIZE(2 downto 0);
M_AXI_arvalid(0) <= m01_couplers_to_m01_couplers_ARVALID(0);
M_AXI_awaddr(31 downto 0) <= m01_couplers_to_m01_couplers_AWADDR(31 downto 0);
M_AXI_awburst(1 downto 0) <= m01_couplers_to_m01_couplers_AWBURST(1 downto 0);
M_AXI_awcache(3 downto 0) <= m01_couplers_to_m01_couplers_AWCACHE(3 downto 0);
M_AXI_awid(11 downto 0) <= m01_couplers_to_m01_couplers_AWID(11 downto 0);
M_AXI_awlen(7 downto 0) <= m01_couplers_to_m01_couplers_AWLEN(7 downto 0);
M_AXI_awlock(0) <= m01_couplers_to_m01_couplers_AWLOCK(0);
M_AXI_awprot(2 downto 0) <= m01_couplers_to_m01_couplers_AWPROT(2 downto 0);
M_AXI_awsize(2 downto 0) <= m01_couplers_to_m01_couplers_AWSIZE(2 downto 0);
M_AXI_awvalid(0) <= m01_couplers_to_m01_couplers_AWVALID(0);
M_AXI_bready(0) <= m01_couplers_to_m01_couplers_BREADY(0);
M_AXI_rready(0) <= m01_couplers_to_m01_couplers_RREADY(0);
M_AXI_wdata(31 downto 0) <= m01_couplers_to_m01_couplers_WDATA(31 downto 0);
M_AXI_wlast(0) <= m01_couplers_to_m01_couplers_WLAST(0);
M_AXI_wstrb(3 downto 0) <= m01_couplers_to_m01_couplers_WSTRB(3 downto 0);
M_AXI_wvalid(0) <= m01_couplers_to_m01_couplers_WVALID(0);
S_AXI_arready(0) <= m01_couplers_to_m01_couplers_ARREADY(0);
S_AXI_awready(0) <= m01_couplers_to_m01_couplers_AWREADY(0);
S_AXI_bid(11 downto 0) <= m01_couplers_to_m01_couplers_BID(11 downto 0);
S_AXI_bresp(1 downto 0) <= m01_couplers_to_m01_couplers_BRESP(1 downto 0);
S_AXI_bvalid(0) <= m01_couplers_to_m01_couplers_BVALID(0);
S_AXI_rdata(31 downto 0) <= m01_couplers_to_m01_couplers_RDATA(31 downto 0);
S_AXI_rid(11 downto 0) <= m01_couplers_to_m01_couplers_RID(11 downto 0);
S_AXI_rlast(0) <= m01_couplers_to_m01_couplers_RLAST(0);
S_AXI_rresp(1 downto 0) <= m01_couplers_to_m01_couplers_RRESP(1 downto 0);
S_AXI_rvalid(0) <= m01_couplers_to_m01_couplers_RVALID(0);
S_AXI_wready(0) <= m01_couplers_to_m01_couplers_WREADY(0);
m01_couplers_to_m01_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m01_couplers_to_m01_couplers_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
m01_couplers_to_m01_couplers_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
m01_couplers_to_m01_couplers_ARID(11 downto 0) <= S_AXI_arid(11 downto 0);
m01_couplers_to_m01_couplers_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0);
m01_couplers_to_m01_couplers_ARLOCK(0) <= S_AXI_arlock(0);
m01_couplers_to_m01_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
m01_couplers_to_m01_couplers_ARREADY(0) <= M_AXI_arready(0);
m01_couplers_to_m01_couplers_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
m01_couplers_to_m01_couplers_ARVALID(0) <= S_AXI_arvalid(0);
m01_couplers_to_m01_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m01_couplers_to_m01_couplers_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0);
m01_couplers_to_m01_couplers_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0);
m01_couplers_to_m01_couplers_AWID(11 downto 0) <= S_AXI_awid(11 downto 0);
m01_couplers_to_m01_couplers_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0);
m01_couplers_to_m01_couplers_AWLOCK(0) <= S_AXI_awlock(0);
m01_couplers_to_m01_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
m01_couplers_to_m01_couplers_AWREADY(0) <= M_AXI_awready(0);
m01_couplers_to_m01_couplers_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0);
m01_couplers_to_m01_couplers_AWVALID(0) <= S_AXI_awvalid(0);
m01_couplers_to_m01_couplers_BID(11 downto 0) <= M_AXI_bid(11 downto 0);
m01_couplers_to_m01_couplers_BREADY(0) <= S_AXI_bready(0);
m01_couplers_to_m01_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m01_couplers_to_m01_couplers_BVALID(0) <= M_AXI_bvalid(0);
m01_couplers_to_m01_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m01_couplers_to_m01_couplers_RID(11 downto 0) <= M_AXI_rid(11 downto 0);
m01_couplers_to_m01_couplers_RLAST(0) <= M_AXI_rlast(0);
m01_couplers_to_m01_couplers_RREADY(0) <= S_AXI_rready(0);
m01_couplers_to_m01_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m01_couplers_to_m01_couplers_RVALID(0) <= M_AXI_rvalid(0);
m01_couplers_to_m01_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m01_couplers_to_m01_couplers_WLAST(0) <= S_AXI_wlast(0);
m01_couplers_to_m01_couplers_WREADY(0) <= M_AXI_wready(0);
m01_couplers_to_m01_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
m01_couplers_to_m01_couplers_WVALID(0) <= S_AXI_wvalid(0);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity s00_couplers_imp_1JB4A1T is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC;
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_rlast : in STD_LOGIC;
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wlast : out STD_LOGIC;
M_AXI_wready : in STD_LOGIC;
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC;
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_rlast : out STD_LOGIC;
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_wlast : in STD_LOGIC;
S_AXI_wready : out STD_LOGIC;
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC
);
end s00_couplers_imp_1JB4A1T;
architecture STRUCTURE of s00_couplers_imp_1JB4A1T is
component base_zynq_auto_pc_1 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
end component base_zynq_auto_pc_1;
signal S_ACLK_1 : STD_LOGIC;
signal S_ARESETN_1 : STD_LOGIC;
signal auto_pc_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_s00_couplers_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal auto_pc_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal auto_pc_to_s00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal auto_pc_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_s00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_s00_couplers_ARREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_s00_couplers_ARVALID : STD_LOGIC;
signal auto_pc_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_s00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_s00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_s00_couplers_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal auto_pc_to_s00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal auto_pc_to_s00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal auto_pc_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_s00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_s00_couplers_AWREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_s00_couplers_AWVALID : STD_LOGIC;
signal auto_pc_to_s00_couplers_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal auto_pc_to_s00_couplers_BREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_s00_couplers_BVALID : STD_LOGIC;
signal auto_pc_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_s00_couplers_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal auto_pc_to_s00_couplers_RLAST : STD_LOGIC;
signal auto_pc_to_s00_couplers_RREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_s00_couplers_RVALID : STD_LOGIC;
signal auto_pc_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_s00_couplers_WLAST : STD_LOGIC;
signal auto_pc_to_s00_couplers_WREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_s00_couplers_WVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_auto_pc_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_auto_pc_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_ARREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_auto_pc_ARVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_auto_pc_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_auto_pc_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_AWREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_auto_pc_AWVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_BREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_BVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_auto_pc_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_RLAST : STD_LOGIC;
signal s00_couplers_to_auto_pc_RREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_RVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_auto_pc_WID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_WLAST : STD_LOGIC;
signal s00_couplers_to_auto_pc_WREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_WVALID : STD_LOGIC;
signal NLW_auto_pc_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_auto_pc_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
M_AXI_araddr(31 downto 0) <= auto_pc_to_s00_couplers_ARADDR(31 downto 0);
M_AXI_arburst(1 downto 0) <= auto_pc_to_s00_couplers_ARBURST(1 downto 0);
M_AXI_arcache(3 downto 0) <= auto_pc_to_s00_couplers_ARCACHE(3 downto 0);
M_AXI_arid(11 downto 0) <= auto_pc_to_s00_couplers_ARID(11 downto 0);
M_AXI_arlen(7 downto 0) <= auto_pc_to_s00_couplers_ARLEN(7 downto 0);
M_AXI_arlock(0) <= auto_pc_to_s00_couplers_ARLOCK(0);
M_AXI_arprot(2 downto 0) <= auto_pc_to_s00_couplers_ARPROT(2 downto 0);
M_AXI_arqos(3 downto 0) <= auto_pc_to_s00_couplers_ARQOS(3 downto 0);
M_AXI_arsize(2 downto 0) <= auto_pc_to_s00_couplers_ARSIZE(2 downto 0);
M_AXI_arvalid <= auto_pc_to_s00_couplers_ARVALID;
M_AXI_awaddr(31 downto 0) <= auto_pc_to_s00_couplers_AWADDR(31 downto 0);
M_AXI_awburst(1 downto 0) <= auto_pc_to_s00_couplers_AWBURST(1 downto 0);
M_AXI_awcache(3 downto 0) <= auto_pc_to_s00_couplers_AWCACHE(3 downto 0);
M_AXI_awid(11 downto 0) <= auto_pc_to_s00_couplers_AWID(11 downto 0);
M_AXI_awlen(7 downto 0) <= auto_pc_to_s00_couplers_AWLEN(7 downto 0);
M_AXI_awlock(0) <= auto_pc_to_s00_couplers_AWLOCK(0);
M_AXI_awprot(2 downto 0) <= auto_pc_to_s00_couplers_AWPROT(2 downto 0);
M_AXI_awqos(3 downto 0) <= auto_pc_to_s00_couplers_AWQOS(3 downto 0);
M_AXI_awsize(2 downto 0) <= auto_pc_to_s00_couplers_AWSIZE(2 downto 0);
M_AXI_awvalid <= auto_pc_to_s00_couplers_AWVALID;
M_AXI_bready <= auto_pc_to_s00_couplers_BREADY;
M_AXI_rready <= auto_pc_to_s00_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= auto_pc_to_s00_couplers_WDATA(31 downto 0);
M_AXI_wlast <= auto_pc_to_s00_couplers_WLAST;
M_AXI_wstrb(3 downto 0) <= auto_pc_to_s00_couplers_WSTRB(3 downto 0);
M_AXI_wvalid <= auto_pc_to_s00_couplers_WVALID;
S_ACLK_1 <= S_ACLK;
S_ARESETN_1 <= S_ARESETN;
S_AXI_arready <= s00_couplers_to_auto_pc_ARREADY;
S_AXI_awready <= s00_couplers_to_auto_pc_AWREADY;
S_AXI_bid(11 downto 0) <= s00_couplers_to_auto_pc_BID(11 downto 0);
S_AXI_bresp(1 downto 0) <= s00_couplers_to_auto_pc_BRESP(1 downto 0);
S_AXI_bvalid <= s00_couplers_to_auto_pc_BVALID;
S_AXI_rdata(31 downto 0) <= s00_couplers_to_auto_pc_RDATA(31 downto 0);
S_AXI_rid(11 downto 0) <= s00_couplers_to_auto_pc_RID(11 downto 0);
S_AXI_rlast <= s00_couplers_to_auto_pc_RLAST;
S_AXI_rresp(1 downto 0) <= s00_couplers_to_auto_pc_RRESP(1 downto 0);
S_AXI_rvalid <= s00_couplers_to_auto_pc_RVALID;
S_AXI_wready <= s00_couplers_to_auto_pc_WREADY;
auto_pc_to_s00_couplers_ARREADY <= M_AXI_arready;
auto_pc_to_s00_couplers_AWREADY <= M_AXI_awready;
auto_pc_to_s00_couplers_BID(11 downto 0) <= M_AXI_bid(11 downto 0);
auto_pc_to_s00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
auto_pc_to_s00_couplers_BVALID <= M_AXI_bvalid;
auto_pc_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
auto_pc_to_s00_couplers_RID(11 downto 0) <= M_AXI_rid(11 downto 0);
auto_pc_to_s00_couplers_RLAST <= M_AXI_rlast;
auto_pc_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
auto_pc_to_s00_couplers_RVALID <= M_AXI_rvalid;
auto_pc_to_s00_couplers_WREADY <= M_AXI_wready;
s00_couplers_to_auto_pc_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
s00_couplers_to_auto_pc_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
s00_couplers_to_auto_pc_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
s00_couplers_to_auto_pc_ARID(11 downto 0) <= S_AXI_arid(11 downto 0);
s00_couplers_to_auto_pc_ARLEN(3 downto 0) <= S_AXI_arlen(3 downto 0);
s00_couplers_to_auto_pc_ARLOCK(1 downto 0) <= S_AXI_arlock(1 downto 0);
s00_couplers_to_auto_pc_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
s00_couplers_to_auto_pc_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0);
s00_couplers_to_auto_pc_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
s00_couplers_to_auto_pc_ARVALID <= S_AXI_arvalid;
s00_couplers_to_auto_pc_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
s00_couplers_to_auto_pc_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0);
s00_couplers_to_auto_pc_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0);
s00_couplers_to_auto_pc_AWID(11 downto 0) <= S_AXI_awid(11 downto 0);
s00_couplers_to_auto_pc_AWLEN(3 downto 0) <= S_AXI_awlen(3 downto 0);
s00_couplers_to_auto_pc_AWLOCK(1 downto 0) <= S_AXI_awlock(1 downto 0);
s00_couplers_to_auto_pc_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
s00_couplers_to_auto_pc_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0);
s00_couplers_to_auto_pc_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0);
s00_couplers_to_auto_pc_AWVALID <= S_AXI_awvalid;
s00_couplers_to_auto_pc_BREADY <= S_AXI_bready;
s00_couplers_to_auto_pc_RREADY <= S_AXI_rready;
s00_couplers_to_auto_pc_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
s00_couplers_to_auto_pc_WID(11 downto 0) <= S_AXI_wid(11 downto 0);
s00_couplers_to_auto_pc_WLAST <= S_AXI_wlast;
s00_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
s00_couplers_to_auto_pc_WVALID <= S_AXI_wvalid;
auto_pc: component base_zynq_auto_pc_1
port map (
aclk => S_ACLK_1,
aresetn => S_ARESETN_1,
m_axi_araddr(31 downto 0) => auto_pc_to_s00_couplers_ARADDR(31 downto 0),
m_axi_arburst(1 downto 0) => auto_pc_to_s00_couplers_ARBURST(1 downto 0),
m_axi_arcache(3 downto 0) => auto_pc_to_s00_couplers_ARCACHE(3 downto 0),
m_axi_arid(11 downto 0) => auto_pc_to_s00_couplers_ARID(11 downto 0),
m_axi_arlen(7 downto 0) => auto_pc_to_s00_couplers_ARLEN(7 downto 0),
m_axi_arlock(0) => auto_pc_to_s00_couplers_ARLOCK(0),
m_axi_arprot(2 downto 0) => auto_pc_to_s00_couplers_ARPROT(2 downto 0),
m_axi_arqos(3 downto 0) => auto_pc_to_s00_couplers_ARQOS(3 downto 0),
m_axi_arready => auto_pc_to_s00_couplers_ARREADY,
m_axi_arregion(3 downto 0) => NLW_auto_pc_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => auto_pc_to_s00_couplers_ARSIZE(2 downto 0),
m_axi_arvalid => auto_pc_to_s00_couplers_ARVALID,
m_axi_awaddr(31 downto 0) => auto_pc_to_s00_couplers_AWADDR(31 downto 0),
m_axi_awburst(1 downto 0) => auto_pc_to_s00_couplers_AWBURST(1 downto 0),
m_axi_awcache(3 downto 0) => auto_pc_to_s00_couplers_AWCACHE(3 downto 0),
m_axi_awid(11 downto 0) => auto_pc_to_s00_couplers_AWID(11 downto 0),
m_axi_awlen(7 downto 0) => auto_pc_to_s00_couplers_AWLEN(7 downto 0),
m_axi_awlock(0) => auto_pc_to_s00_couplers_AWLOCK(0),
m_axi_awprot(2 downto 0) => auto_pc_to_s00_couplers_AWPROT(2 downto 0),
m_axi_awqos(3 downto 0) => auto_pc_to_s00_couplers_AWQOS(3 downto 0),
m_axi_awready => auto_pc_to_s00_couplers_AWREADY,
m_axi_awregion(3 downto 0) => NLW_auto_pc_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => auto_pc_to_s00_couplers_AWSIZE(2 downto 0),
m_axi_awvalid => auto_pc_to_s00_couplers_AWVALID,
m_axi_bid(11 downto 0) => auto_pc_to_s00_couplers_BID(11 downto 0),
m_axi_bready => auto_pc_to_s00_couplers_BREADY,
m_axi_bresp(1 downto 0) => auto_pc_to_s00_couplers_BRESP(1 downto 0),
m_axi_bvalid => auto_pc_to_s00_couplers_BVALID,
m_axi_rdata(31 downto 0) => auto_pc_to_s00_couplers_RDATA(31 downto 0),
m_axi_rid(11 downto 0) => auto_pc_to_s00_couplers_RID(11 downto 0),
m_axi_rlast => auto_pc_to_s00_couplers_RLAST,
m_axi_rready => auto_pc_to_s00_couplers_RREADY,
m_axi_rresp(1 downto 0) => auto_pc_to_s00_couplers_RRESP(1 downto 0),
m_axi_rvalid => auto_pc_to_s00_couplers_RVALID,
m_axi_wdata(31 downto 0) => auto_pc_to_s00_couplers_WDATA(31 downto 0),
m_axi_wlast => auto_pc_to_s00_couplers_WLAST,
m_axi_wready => auto_pc_to_s00_couplers_WREADY,
m_axi_wstrb(3 downto 0) => auto_pc_to_s00_couplers_WSTRB(3 downto 0),
m_axi_wvalid => auto_pc_to_s00_couplers_WVALID,
s_axi_araddr(31 downto 0) => s00_couplers_to_auto_pc_ARADDR(31 downto 0),
s_axi_arburst(1 downto 0) => s00_couplers_to_auto_pc_ARBURST(1 downto 0),
s_axi_arcache(3 downto 0) => s00_couplers_to_auto_pc_ARCACHE(3 downto 0),
s_axi_arid(11 downto 0) => s00_couplers_to_auto_pc_ARID(11 downto 0),
s_axi_arlen(3 downto 0) => s00_couplers_to_auto_pc_ARLEN(3 downto 0),
s_axi_arlock(1 downto 0) => s00_couplers_to_auto_pc_ARLOCK(1 downto 0),
s_axi_arprot(2 downto 0) => s00_couplers_to_auto_pc_ARPROT(2 downto 0),
s_axi_arqos(3 downto 0) => s00_couplers_to_auto_pc_ARQOS(3 downto 0),
s_axi_arready => s00_couplers_to_auto_pc_ARREADY,
s_axi_arsize(2 downto 0) => s00_couplers_to_auto_pc_ARSIZE(2 downto 0),
s_axi_arvalid => s00_couplers_to_auto_pc_ARVALID,
s_axi_awaddr(31 downto 0) => s00_couplers_to_auto_pc_AWADDR(31 downto 0),
s_axi_awburst(1 downto 0) => s00_couplers_to_auto_pc_AWBURST(1 downto 0),
s_axi_awcache(3 downto 0) => s00_couplers_to_auto_pc_AWCACHE(3 downto 0),
s_axi_awid(11 downto 0) => s00_couplers_to_auto_pc_AWID(11 downto 0),
s_axi_awlen(3 downto 0) => s00_couplers_to_auto_pc_AWLEN(3 downto 0),
s_axi_awlock(1 downto 0) => s00_couplers_to_auto_pc_AWLOCK(1 downto 0),
s_axi_awprot(2 downto 0) => s00_couplers_to_auto_pc_AWPROT(2 downto 0),
s_axi_awqos(3 downto 0) => s00_couplers_to_auto_pc_AWQOS(3 downto 0),
s_axi_awready => s00_couplers_to_auto_pc_AWREADY,
s_axi_awsize(2 downto 0) => s00_couplers_to_auto_pc_AWSIZE(2 downto 0),
s_axi_awvalid => s00_couplers_to_auto_pc_AWVALID,
s_axi_bid(11 downto 0) => s00_couplers_to_auto_pc_BID(11 downto 0),
s_axi_bready => s00_couplers_to_auto_pc_BREADY,
s_axi_bresp(1 downto 0) => s00_couplers_to_auto_pc_BRESP(1 downto 0),
s_axi_bvalid => s00_couplers_to_auto_pc_BVALID,
s_axi_rdata(31 downto 0) => s00_couplers_to_auto_pc_RDATA(31 downto 0),
s_axi_rid(11 downto 0) => s00_couplers_to_auto_pc_RID(11 downto 0),
s_axi_rlast => s00_couplers_to_auto_pc_RLAST,
s_axi_rready => s00_couplers_to_auto_pc_RREADY,
s_axi_rresp(1 downto 0) => s00_couplers_to_auto_pc_RRESP(1 downto 0),
s_axi_rvalid => s00_couplers_to_auto_pc_RVALID,
s_axi_wdata(31 downto 0) => s00_couplers_to_auto_pc_WDATA(31 downto 0),
s_axi_wid(11 downto 0) => s00_couplers_to_auto_pc_WID(11 downto 0),
s_axi_wlast => s00_couplers_to_auto_pc_WLAST,
s_axi_wready => s00_couplers_to_auto_pc_WREADY,
s_axi_wstrb(3 downto 0) => s00_couplers_to_auto_pc_WSTRB(3 downto 0),
s_axi_wvalid => s00_couplers_to_auto_pc_WVALID
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity base_zynq_ps7_0_axi_periph_0 is
port (
ACLK : in STD_LOGIC;
ARESETN : in STD_LOGIC;
M00_ACLK : in STD_LOGIC;
M00_ARESETN : in STD_LOGIC;
M00_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_arready : in STD_LOGIC;
M00_AXI_arvalid : out STD_LOGIC;
M00_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_awready : in STD_LOGIC;
M00_AXI_awvalid : out STD_LOGIC;
M00_AXI_bready : out STD_LOGIC;
M00_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_bvalid : in STD_LOGIC;
M00_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_rready : out STD_LOGIC;
M00_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_rvalid : in STD_LOGIC;
M00_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_wready : in STD_LOGIC;
M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_wvalid : out STD_LOGIC;
M01_ACLK : in STD_LOGIC;
M01_ARESETN : in STD_LOGIC;
M01_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M01_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M01_AXI_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
M01_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M01_AXI_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M01_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M01_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M01_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M01_AXI_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
M01_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M01_AXI_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M01_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M01_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
M01_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M01_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
M01_AXI_rlast : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M01_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_wlast : out STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M01_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_ACLK : in STD_LOGIC;
S00_ARESETN : in STD_LOGIC;
S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arready : out STD_LOGIC;
S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arvalid : in STD_LOGIC;
S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awready : out STD_LOGIC;
S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awvalid : in STD_LOGIC;
S00_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_bready : in STD_LOGIC;
S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_bvalid : out STD_LOGIC;
S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_rlast : out STD_LOGIC;
S00_AXI_rready : in STD_LOGIC;
S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_rvalid : out STD_LOGIC;
S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_wlast : in STD_LOGIC;
S00_AXI_wready : out STD_LOGIC;
S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_wvalid : in STD_LOGIC
);
end base_zynq_ps7_0_axi_periph_0;
architecture STRUCTURE of base_zynq_ps7_0_axi_periph_0 is
component base_zynq_xbar_0 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awid : out STD_LOGIC_VECTOR ( 23 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wlast : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bid : in STD_LOGIC_VECTOR ( 23 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arid : out STD_LOGIC_VECTOR ( 23 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rid : in STD_LOGIC_VECTOR ( 23 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_rlast : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
end component base_zynq_xbar_0;
signal M00_ACLK_1 : STD_LOGIC;
signal M00_ARESETN_1 : STD_LOGIC;
signal M01_ACLK_1 : STD_LOGIC;
signal M01_ARESETN_1 : STD_LOGIC;
signal S00_ACLK_1 : STD_LOGIC;
signal S00_ARESETN_1 : STD_LOGIC;
signal m00_couplers_to_ps7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_ps7_0_axi_periph_ARREADY : STD_LOGIC;
signal m00_couplers_to_ps7_0_axi_periph_ARVALID : STD_LOGIC;
signal m00_couplers_to_ps7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_ps7_0_axi_periph_AWREADY : STD_LOGIC;
signal m00_couplers_to_ps7_0_axi_periph_AWVALID : STD_LOGIC;
signal m00_couplers_to_ps7_0_axi_periph_BREADY : STD_LOGIC;
signal m00_couplers_to_ps7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_ps7_0_axi_periph_BVALID : STD_LOGIC;
signal m00_couplers_to_ps7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_ps7_0_axi_periph_RREADY : STD_LOGIC;
signal m00_couplers_to_ps7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_ps7_0_axi_periph_RVALID : STD_LOGIC;
signal m00_couplers_to_ps7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_ps7_0_axi_periph_WREADY : STD_LOGIC;
signal m00_couplers_to_ps7_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_ps7_0_axi_periph_WVALID : STD_LOGIC;
signal m01_couplers_to_ps7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_ps7_0_axi_periph_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_ps7_0_axi_periph_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_ps7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_ps7_0_axi_periph_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_ps7_0_axi_periph_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_ps7_0_axi_periph_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_ps7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_ps7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_ps7_0_axi_periph_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_ps7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_ps7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_ps7_0_axi_periph_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_ps7_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_ps7_0_axi_periph_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal ps7_0_axi_periph_ACLK_net : STD_LOGIC;
signal ps7_0_axi_periph_ARESETN_net : STD_LOGIC;
signal ps7_0_axi_periph_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_ARREADY : STD_LOGIC;
signal ps7_0_axi_periph_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_ARVALID : STD_LOGIC;
signal ps7_0_axi_periph_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_AWREADY : STD_LOGIC;
signal ps7_0_axi_periph_to_s00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_AWVALID : STD_LOGIC;
signal ps7_0_axi_periph_to_s00_couplers_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_BREADY : STD_LOGIC;
signal ps7_0_axi_periph_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_BVALID : STD_LOGIC;
signal ps7_0_axi_periph_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_RLAST : STD_LOGIC;
signal ps7_0_axi_periph_to_s00_couplers_RREADY : STD_LOGIC;
signal ps7_0_axi_periph_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_RVALID : STD_LOGIC;
signal ps7_0_axi_periph_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_WID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_WLAST : STD_LOGIC;
signal ps7_0_axi_periph_to_s00_couplers_WREADY : STD_LOGIC;
signal ps7_0_axi_periph_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ps7_0_axi_periph_to_s00_couplers_WVALID : STD_LOGIC;
signal s00_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_xbar_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s00_couplers_to_xbar_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_ARVALID : STD_LOGIC;
signal s00_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_xbar_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s00_couplers_to_xbar_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_AWVALID : STD_LOGIC;
signal s00_couplers_to_xbar_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_xbar_BREADY : STD_LOGIC;
signal s00_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_xbar_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RREADY : STD_LOGIC;
signal s00_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_WLAST : STD_LOGIC;
signal s00_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_WVALID : STD_LOGIC;
signal xbar_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal xbar_to_m00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal xbar_to_m00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_ARREADY : STD_LOGIC;
signal xbar_to_m00_couplers_ARREGION : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal xbar_to_m00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal xbar_to_m00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_AWREADY : STD_LOGIC;
signal xbar_to_m00_couplers_AWREGION : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal xbar_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_BVALID : STD_LOGIC;
signal xbar_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal xbar_to_m00_couplers_RLAST : STD_LOGIC;
signal xbar_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_RVALID : STD_LOGIC;
signal xbar_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_WREADY : STD_LOGIC;
signal xbar_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 63 downto 32 );
signal xbar_to_m01_couplers_ARBURST : STD_LOGIC_VECTOR ( 3 downto 2 );
signal xbar_to_m01_couplers_ARCACHE : STD_LOGIC_VECTOR ( 7 downto 4 );
signal xbar_to_m01_couplers_ARID : STD_LOGIC_VECTOR ( 23 downto 12 );
signal xbar_to_m01_couplers_ARLEN : STD_LOGIC_VECTOR ( 15 downto 8 );
signal xbar_to_m01_couplers_ARLOCK : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_ARPROT : STD_LOGIC_VECTOR ( 5 downto 3 );
signal xbar_to_m01_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_ARSIZE : STD_LOGIC_VECTOR ( 5 downto 3 );
signal xbar_to_m01_couplers_ARVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 63 downto 32 );
signal xbar_to_m01_couplers_AWBURST : STD_LOGIC_VECTOR ( 3 downto 2 );
signal xbar_to_m01_couplers_AWCACHE : STD_LOGIC_VECTOR ( 7 downto 4 );
signal xbar_to_m01_couplers_AWID : STD_LOGIC_VECTOR ( 23 downto 12 );
signal xbar_to_m01_couplers_AWLEN : STD_LOGIC_VECTOR ( 15 downto 8 );
signal xbar_to_m01_couplers_AWLOCK : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_AWPROT : STD_LOGIC_VECTOR ( 5 downto 3 );
signal xbar_to_m01_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_AWSIZE : STD_LOGIC_VECTOR ( 5 downto 3 );
signal xbar_to_m01_couplers_AWVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal xbar_to_m01_couplers_BREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m01_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m01_couplers_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal xbar_to_m01_couplers_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_RREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m01_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 63 downto 32 );
signal xbar_to_m01_couplers_WLAST : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 7 downto 4 );
signal xbar_to_m01_couplers_WVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_xbar_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 4 );
signal NLW_xbar_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 4 );
signal NLW_xbar_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 4 );
signal NLW_xbar_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 4 );
begin
M00_ACLK_1 <= M00_ACLK;
M00_ARESETN_1 <= M00_ARESETN;
M00_AXI_araddr(31 downto 0) <= m00_couplers_to_ps7_0_axi_periph_ARADDR(31 downto 0);
M00_AXI_arvalid <= m00_couplers_to_ps7_0_axi_periph_ARVALID;
M00_AXI_awaddr(31 downto 0) <= m00_couplers_to_ps7_0_axi_periph_AWADDR(31 downto 0);
M00_AXI_awvalid <= m00_couplers_to_ps7_0_axi_periph_AWVALID;
M00_AXI_bready <= m00_couplers_to_ps7_0_axi_periph_BREADY;
M00_AXI_rready <= m00_couplers_to_ps7_0_axi_periph_RREADY;
M00_AXI_wdata(31 downto 0) <= m00_couplers_to_ps7_0_axi_periph_WDATA(31 downto 0);
M00_AXI_wstrb(3 downto 0) <= m00_couplers_to_ps7_0_axi_periph_WSTRB(3 downto 0);
M00_AXI_wvalid <= m00_couplers_to_ps7_0_axi_periph_WVALID;
M01_ACLK_1 <= M01_ACLK;
M01_ARESETN_1 <= M01_ARESETN;
M01_AXI_araddr(31 downto 0) <= m01_couplers_to_ps7_0_axi_periph_ARADDR(31 downto 0);
M01_AXI_arburst(1 downto 0) <= m01_couplers_to_ps7_0_axi_periph_ARBURST(1 downto 0);
M01_AXI_arcache(3 downto 0) <= m01_couplers_to_ps7_0_axi_periph_ARCACHE(3 downto 0);
M01_AXI_arid(11 downto 0) <= m01_couplers_to_ps7_0_axi_periph_ARID(11 downto 0);
M01_AXI_arlen(7 downto 0) <= m01_couplers_to_ps7_0_axi_periph_ARLEN(7 downto 0);
M01_AXI_arlock(0) <= m01_couplers_to_ps7_0_axi_periph_ARLOCK(0);
M01_AXI_arprot(2 downto 0) <= m01_couplers_to_ps7_0_axi_periph_ARPROT(2 downto 0);
M01_AXI_arsize(2 downto 0) <= m01_couplers_to_ps7_0_axi_periph_ARSIZE(2 downto 0);
M01_AXI_arvalid(0) <= m01_couplers_to_ps7_0_axi_periph_ARVALID(0);
M01_AXI_awaddr(31 downto 0) <= m01_couplers_to_ps7_0_axi_periph_AWADDR(31 downto 0);
M01_AXI_awburst(1 downto 0) <= m01_couplers_to_ps7_0_axi_periph_AWBURST(1 downto 0);
M01_AXI_awcache(3 downto 0) <= m01_couplers_to_ps7_0_axi_periph_AWCACHE(3 downto 0);
M01_AXI_awid(11 downto 0) <= m01_couplers_to_ps7_0_axi_periph_AWID(11 downto 0);
M01_AXI_awlen(7 downto 0) <= m01_couplers_to_ps7_0_axi_periph_AWLEN(7 downto 0);
M01_AXI_awlock(0) <= m01_couplers_to_ps7_0_axi_periph_AWLOCK(0);
M01_AXI_awprot(2 downto 0) <= m01_couplers_to_ps7_0_axi_periph_AWPROT(2 downto 0);
M01_AXI_awsize(2 downto 0) <= m01_couplers_to_ps7_0_axi_periph_AWSIZE(2 downto 0);
M01_AXI_awvalid(0) <= m01_couplers_to_ps7_0_axi_periph_AWVALID(0);
M01_AXI_bready(0) <= m01_couplers_to_ps7_0_axi_periph_BREADY(0);
M01_AXI_rready(0) <= m01_couplers_to_ps7_0_axi_periph_RREADY(0);
M01_AXI_wdata(31 downto 0) <= m01_couplers_to_ps7_0_axi_periph_WDATA(31 downto 0);
M01_AXI_wlast(0) <= m01_couplers_to_ps7_0_axi_periph_WLAST(0);
M01_AXI_wstrb(3 downto 0) <= m01_couplers_to_ps7_0_axi_periph_WSTRB(3 downto 0);
M01_AXI_wvalid(0) <= m01_couplers_to_ps7_0_axi_periph_WVALID(0);
S00_ACLK_1 <= S00_ACLK;
S00_ARESETN_1 <= S00_ARESETN;
S00_AXI_arready <= ps7_0_axi_periph_to_s00_couplers_ARREADY;
S00_AXI_awready <= ps7_0_axi_periph_to_s00_couplers_AWREADY;
S00_AXI_bid(11 downto 0) <= ps7_0_axi_periph_to_s00_couplers_BID(11 downto 0);
S00_AXI_bresp(1 downto 0) <= ps7_0_axi_periph_to_s00_couplers_BRESP(1 downto 0);
S00_AXI_bvalid <= ps7_0_axi_periph_to_s00_couplers_BVALID;
S00_AXI_rdata(31 downto 0) <= ps7_0_axi_periph_to_s00_couplers_RDATA(31 downto 0);
S00_AXI_rid(11 downto 0) <= ps7_0_axi_periph_to_s00_couplers_RID(11 downto 0);
S00_AXI_rlast <= ps7_0_axi_periph_to_s00_couplers_RLAST;
S00_AXI_rresp(1 downto 0) <= ps7_0_axi_periph_to_s00_couplers_RRESP(1 downto 0);
S00_AXI_rvalid <= ps7_0_axi_periph_to_s00_couplers_RVALID;
S00_AXI_wready <= ps7_0_axi_periph_to_s00_couplers_WREADY;
m00_couplers_to_ps7_0_axi_periph_ARREADY <= M00_AXI_arready;
m00_couplers_to_ps7_0_axi_periph_AWREADY <= M00_AXI_awready;
m00_couplers_to_ps7_0_axi_periph_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0);
m00_couplers_to_ps7_0_axi_periph_BVALID <= M00_AXI_bvalid;
m00_couplers_to_ps7_0_axi_periph_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0);
m00_couplers_to_ps7_0_axi_periph_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0);
m00_couplers_to_ps7_0_axi_periph_RVALID <= M00_AXI_rvalid;
m00_couplers_to_ps7_0_axi_periph_WREADY <= M00_AXI_wready;
m01_couplers_to_ps7_0_axi_periph_ARREADY(0) <= M01_AXI_arready(0);
m01_couplers_to_ps7_0_axi_periph_AWREADY(0) <= M01_AXI_awready(0);
m01_couplers_to_ps7_0_axi_periph_BID(11 downto 0) <= M01_AXI_bid(11 downto 0);
m01_couplers_to_ps7_0_axi_periph_BRESP(1 downto 0) <= M01_AXI_bresp(1 downto 0);
m01_couplers_to_ps7_0_axi_periph_BVALID(0) <= M01_AXI_bvalid(0);
m01_couplers_to_ps7_0_axi_periph_RDATA(31 downto 0) <= M01_AXI_rdata(31 downto 0);
m01_couplers_to_ps7_0_axi_periph_RID(11 downto 0) <= M01_AXI_rid(11 downto 0);
m01_couplers_to_ps7_0_axi_periph_RLAST(0) <= M01_AXI_rlast(0);
m01_couplers_to_ps7_0_axi_periph_RRESP(1 downto 0) <= M01_AXI_rresp(1 downto 0);
m01_couplers_to_ps7_0_axi_periph_RVALID(0) <= M01_AXI_rvalid(0);
m01_couplers_to_ps7_0_axi_periph_WREADY(0) <= M01_AXI_wready(0);
ps7_0_axi_periph_ACLK_net <= ACLK;
ps7_0_axi_periph_ARESETN_net <= ARESETN;
ps7_0_axi_periph_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0);
ps7_0_axi_periph_to_s00_couplers_ARBURST(1 downto 0) <= S00_AXI_arburst(1 downto 0);
ps7_0_axi_periph_to_s00_couplers_ARCACHE(3 downto 0) <= S00_AXI_arcache(3 downto 0);
ps7_0_axi_periph_to_s00_couplers_ARID(11 downto 0) <= S00_AXI_arid(11 downto 0);
ps7_0_axi_periph_to_s00_couplers_ARLEN(3 downto 0) <= S00_AXI_arlen(3 downto 0);
ps7_0_axi_periph_to_s00_couplers_ARLOCK(1 downto 0) <= S00_AXI_arlock(1 downto 0);
ps7_0_axi_periph_to_s00_couplers_ARPROT(2 downto 0) <= S00_AXI_arprot(2 downto 0);
ps7_0_axi_periph_to_s00_couplers_ARQOS(3 downto 0) <= S00_AXI_arqos(3 downto 0);
ps7_0_axi_periph_to_s00_couplers_ARSIZE(2 downto 0) <= S00_AXI_arsize(2 downto 0);
ps7_0_axi_periph_to_s00_couplers_ARVALID <= S00_AXI_arvalid;
ps7_0_axi_periph_to_s00_couplers_AWADDR(31 downto 0) <= S00_AXI_awaddr(31 downto 0);
ps7_0_axi_periph_to_s00_couplers_AWBURST(1 downto 0) <= S00_AXI_awburst(1 downto 0);
ps7_0_axi_periph_to_s00_couplers_AWCACHE(3 downto 0) <= S00_AXI_awcache(3 downto 0);
ps7_0_axi_periph_to_s00_couplers_AWID(11 downto 0) <= S00_AXI_awid(11 downto 0);
ps7_0_axi_periph_to_s00_couplers_AWLEN(3 downto 0) <= S00_AXI_awlen(3 downto 0);
ps7_0_axi_periph_to_s00_couplers_AWLOCK(1 downto 0) <= S00_AXI_awlock(1 downto 0);
ps7_0_axi_periph_to_s00_couplers_AWPROT(2 downto 0) <= S00_AXI_awprot(2 downto 0);
ps7_0_axi_periph_to_s00_couplers_AWQOS(3 downto 0) <= S00_AXI_awqos(3 downto 0);
ps7_0_axi_periph_to_s00_couplers_AWSIZE(2 downto 0) <= S00_AXI_awsize(2 downto 0);
ps7_0_axi_periph_to_s00_couplers_AWVALID <= S00_AXI_awvalid;
ps7_0_axi_periph_to_s00_couplers_BREADY <= S00_AXI_bready;
ps7_0_axi_periph_to_s00_couplers_RREADY <= S00_AXI_rready;
ps7_0_axi_periph_to_s00_couplers_WDATA(31 downto 0) <= S00_AXI_wdata(31 downto 0);
ps7_0_axi_periph_to_s00_couplers_WID(11 downto 0) <= S00_AXI_wid(11 downto 0);
ps7_0_axi_periph_to_s00_couplers_WLAST <= S00_AXI_wlast;
ps7_0_axi_periph_to_s00_couplers_WSTRB(3 downto 0) <= S00_AXI_wstrb(3 downto 0);
ps7_0_axi_periph_to_s00_couplers_WVALID <= S00_AXI_wvalid;
m00_couplers: entity work.m00_couplers_imp_QHT96L
port map (
M_ACLK => M00_ACLK_1,
M_ARESETN => M00_ARESETN_1,
M_AXI_araddr(31 downto 0) => m00_couplers_to_ps7_0_axi_periph_ARADDR(31 downto 0),
M_AXI_arready => m00_couplers_to_ps7_0_axi_periph_ARREADY,
M_AXI_arvalid => m00_couplers_to_ps7_0_axi_periph_ARVALID,
M_AXI_awaddr(31 downto 0) => m00_couplers_to_ps7_0_axi_periph_AWADDR(31 downto 0),
M_AXI_awready => m00_couplers_to_ps7_0_axi_periph_AWREADY,
M_AXI_awvalid => m00_couplers_to_ps7_0_axi_periph_AWVALID,
M_AXI_bready => m00_couplers_to_ps7_0_axi_periph_BREADY,
M_AXI_bresp(1 downto 0) => m00_couplers_to_ps7_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid => m00_couplers_to_ps7_0_axi_periph_BVALID,
M_AXI_rdata(31 downto 0) => m00_couplers_to_ps7_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready => m00_couplers_to_ps7_0_axi_periph_RREADY,
M_AXI_rresp(1 downto 0) => m00_couplers_to_ps7_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid => m00_couplers_to_ps7_0_axi_periph_RVALID,
M_AXI_wdata(31 downto 0) => m00_couplers_to_ps7_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready => m00_couplers_to_ps7_0_axi_periph_WREADY,
M_AXI_wstrb(3 downto 0) => m00_couplers_to_ps7_0_axi_periph_WSTRB(3 downto 0),
M_AXI_wvalid => m00_couplers_to_ps7_0_axi_periph_WVALID,
S_ACLK => ps7_0_axi_periph_ACLK_net,
S_ARESETN => ps7_0_axi_periph_ARESETN_net,
S_AXI_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0),
S_AXI_arburst(1 downto 0) => xbar_to_m00_couplers_ARBURST(1 downto 0),
S_AXI_arcache(3 downto 0) => xbar_to_m00_couplers_ARCACHE(3 downto 0),
S_AXI_arid(11 downto 0) => xbar_to_m00_couplers_ARID(11 downto 0),
S_AXI_arlen(7 downto 0) => xbar_to_m00_couplers_ARLEN(7 downto 0),
S_AXI_arlock(0) => xbar_to_m00_couplers_ARLOCK(0),
S_AXI_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0),
S_AXI_arqos(3 downto 0) => xbar_to_m00_couplers_ARQOS(3 downto 0),
S_AXI_arready => xbar_to_m00_couplers_ARREADY,
S_AXI_arregion(3 downto 0) => xbar_to_m00_couplers_ARREGION(3 downto 0),
S_AXI_arsize(2 downto 0) => xbar_to_m00_couplers_ARSIZE(2 downto 0),
S_AXI_arvalid => xbar_to_m00_couplers_ARVALID(0),
S_AXI_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0),
S_AXI_awburst(1 downto 0) => xbar_to_m00_couplers_AWBURST(1 downto 0),
S_AXI_awcache(3 downto 0) => xbar_to_m00_couplers_AWCACHE(3 downto 0),
S_AXI_awid(11 downto 0) => xbar_to_m00_couplers_AWID(11 downto 0),
S_AXI_awlen(7 downto 0) => xbar_to_m00_couplers_AWLEN(7 downto 0),
S_AXI_awlock(0) => xbar_to_m00_couplers_AWLOCK(0),
S_AXI_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0),
S_AXI_awqos(3 downto 0) => xbar_to_m00_couplers_AWQOS(3 downto 0),
S_AXI_awready => xbar_to_m00_couplers_AWREADY,
S_AXI_awregion(3 downto 0) => xbar_to_m00_couplers_AWREGION(3 downto 0),
S_AXI_awsize(2 downto 0) => xbar_to_m00_couplers_AWSIZE(2 downto 0),
S_AXI_awvalid => xbar_to_m00_couplers_AWVALID(0),
S_AXI_bid(11 downto 0) => xbar_to_m00_couplers_BID(11 downto 0),
S_AXI_bready => xbar_to_m00_couplers_BREADY(0),
S_AXI_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
S_AXI_bvalid => xbar_to_m00_couplers_BVALID,
S_AXI_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
S_AXI_rid(11 downto 0) => xbar_to_m00_couplers_RID(11 downto 0),
S_AXI_rlast => xbar_to_m00_couplers_RLAST,
S_AXI_rready => xbar_to_m00_couplers_RREADY(0),
S_AXI_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
S_AXI_rvalid => xbar_to_m00_couplers_RVALID,
S_AXI_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
S_AXI_wlast => xbar_to_m00_couplers_WLAST(0),
S_AXI_wready => xbar_to_m00_couplers_WREADY,
S_AXI_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0),
S_AXI_wvalid => xbar_to_m00_couplers_WVALID(0)
);
m01_couplers: entity work.m01_couplers_imp_11WP2HX
port map (
M_ACLK => M01_ACLK_1,
M_ARESETN => M01_ARESETN_1,
M_AXI_araddr(31 downto 0) => m01_couplers_to_ps7_0_axi_periph_ARADDR(31 downto 0),
M_AXI_arburst(1 downto 0) => m01_couplers_to_ps7_0_axi_periph_ARBURST(1 downto 0),
M_AXI_arcache(3 downto 0) => m01_couplers_to_ps7_0_axi_periph_ARCACHE(3 downto 0),
M_AXI_arid(11 downto 0) => m01_couplers_to_ps7_0_axi_periph_ARID(11 downto 0),
M_AXI_arlen(7 downto 0) => m01_couplers_to_ps7_0_axi_periph_ARLEN(7 downto 0),
M_AXI_arlock(0) => m01_couplers_to_ps7_0_axi_periph_ARLOCK(0),
M_AXI_arprot(2 downto 0) => m01_couplers_to_ps7_0_axi_periph_ARPROT(2 downto 0),
M_AXI_arready(0) => m01_couplers_to_ps7_0_axi_periph_ARREADY(0),
M_AXI_arsize(2 downto 0) => m01_couplers_to_ps7_0_axi_periph_ARSIZE(2 downto 0),
M_AXI_arvalid(0) => m01_couplers_to_ps7_0_axi_periph_ARVALID(0),
M_AXI_awaddr(31 downto 0) => m01_couplers_to_ps7_0_axi_periph_AWADDR(31 downto 0),
M_AXI_awburst(1 downto 0) => m01_couplers_to_ps7_0_axi_periph_AWBURST(1 downto 0),
M_AXI_awcache(3 downto 0) => m01_couplers_to_ps7_0_axi_periph_AWCACHE(3 downto 0),
M_AXI_awid(11 downto 0) => m01_couplers_to_ps7_0_axi_periph_AWID(11 downto 0),
M_AXI_awlen(7 downto 0) => m01_couplers_to_ps7_0_axi_periph_AWLEN(7 downto 0),
M_AXI_awlock(0) => m01_couplers_to_ps7_0_axi_periph_AWLOCK(0),
M_AXI_awprot(2 downto 0) => m01_couplers_to_ps7_0_axi_periph_AWPROT(2 downto 0),
M_AXI_awready(0) => m01_couplers_to_ps7_0_axi_periph_AWREADY(0),
M_AXI_awsize(2 downto 0) => m01_couplers_to_ps7_0_axi_periph_AWSIZE(2 downto 0),
M_AXI_awvalid(0) => m01_couplers_to_ps7_0_axi_periph_AWVALID(0),
M_AXI_bid(11 downto 0) => m01_couplers_to_ps7_0_axi_periph_BID(11 downto 0),
M_AXI_bready(0) => m01_couplers_to_ps7_0_axi_periph_BREADY(0),
M_AXI_bresp(1 downto 0) => m01_couplers_to_ps7_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid(0) => m01_couplers_to_ps7_0_axi_periph_BVALID(0),
M_AXI_rdata(31 downto 0) => m01_couplers_to_ps7_0_axi_periph_RDATA(31 downto 0),
M_AXI_rid(11 downto 0) => m01_couplers_to_ps7_0_axi_periph_RID(11 downto 0),
M_AXI_rlast(0) => m01_couplers_to_ps7_0_axi_periph_RLAST(0),
M_AXI_rready(0) => m01_couplers_to_ps7_0_axi_periph_RREADY(0),
M_AXI_rresp(1 downto 0) => m01_couplers_to_ps7_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid(0) => m01_couplers_to_ps7_0_axi_periph_RVALID(0),
M_AXI_wdata(31 downto 0) => m01_couplers_to_ps7_0_axi_periph_WDATA(31 downto 0),
M_AXI_wlast(0) => m01_couplers_to_ps7_0_axi_periph_WLAST(0),
M_AXI_wready(0) => m01_couplers_to_ps7_0_axi_periph_WREADY(0),
M_AXI_wstrb(3 downto 0) => m01_couplers_to_ps7_0_axi_periph_WSTRB(3 downto 0),
M_AXI_wvalid(0) => m01_couplers_to_ps7_0_axi_periph_WVALID(0),
S_ACLK => ps7_0_axi_periph_ACLK_net,
S_ARESETN => ps7_0_axi_periph_ARESETN_net,
S_AXI_araddr(31 downto 0) => xbar_to_m01_couplers_ARADDR(63 downto 32),
S_AXI_arburst(1 downto 0) => xbar_to_m01_couplers_ARBURST(3 downto 2),
S_AXI_arcache(3 downto 0) => xbar_to_m01_couplers_ARCACHE(7 downto 4),
S_AXI_arid(11 downto 0) => xbar_to_m01_couplers_ARID(23 downto 12),
S_AXI_arlen(7 downto 0) => xbar_to_m01_couplers_ARLEN(15 downto 8),
S_AXI_arlock(0) => xbar_to_m01_couplers_ARLOCK(1),
S_AXI_arprot(2 downto 0) => xbar_to_m01_couplers_ARPROT(5 downto 3),
S_AXI_arready(0) => xbar_to_m01_couplers_ARREADY(0),
S_AXI_arsize(2 downto 0) => xbar_to_m01_couplers_ARSIZE(5 downto 3),
S_AXI_arvalid(0) => xbar_to_m01_couplers_ARVALID(1),
S_AXI_awaddr(31 downto 0) => xbar_to_m01_couplers_AWADDR(63 downto 32),
S_AXI_awburst(1 downto 0) => xbar_to_m01_couplers_AWBURST(3 downto 2),
S_AXI_awcache(3 downto 0) => xbar_to_m01_couplers_AWCACHE(7 downto 4),
S_AXI_awid(11 downto 0) => xbar_to_m01_couplers_AWID(23 downto 12),
S_AXI_awlen(7 downto 0) => xbar_to_m01_couplers_AWLEN(15 downto 8),
S_AXI_awlock(0) => xbar_to_m01_couplers_AWLOCK(1),
S_AXI_awprot(2 downto 0) => xbar_to_m01_couplers_AWPROT(5 downto 3),
S_AXI_awready(0) => xbar_to_m01_couplers_AWREADY(0),
S_AXI_awsize(2 downto 0) => xbar_to_m01_couplers_AWSIZE(5 downto 3),
S_AXI_awvalid(0) => xbar_to_m01_couplers_AWVALID(1),
S_AXI_bid(11 downto 0) => xbar_to_m01_couplers_BID(11 downto 0),
S_AXI_bready(0) => xbar_to_m01_couplers_BREADY(1),
S_AXI_bresp(1 downto 0) => xbar_to_m01_couplers_BRESP(1 downto 0),
S_AXI_bvalid(0) => xbar_to_m01_couplers_BVALID(0),
S_AXI_rdata(31 downto 0) => xbar_to_m01_couplers_RDATA(31 downto 0),
S_AXI_rid(11 downto 0) => xbar_to_m01_couplers_RID(11 downto 0),
S_AXI_rlast(0) => xbar_to_m01_couplers_RLAST(0),
S_AXI_rready(0) => xbar_to_m01_couplers_RREADY(1),
S_AXI_rresp(1 downto 0) => xbar_to_m01_couplers_RRESP(1 downto 0),
S_AXI_rvalid(0) => xbar_to_m01_couplers_RVALID(0),
S_AXI_wdata(31 downto 0) => xbar_to_m01_couplers_WDATA(63 downto 32),
S_AXI_wlast(0) => xbar_to_m01_couplers_WLAST(1),
S_AXI_wready(0) => xbar_to_m01_couplers_WREADY(0),
S_AXI_wstrb(3 downto 0) => xbar_to_m01_couplers_WSTRB(7 downto 4),
S_AXI_wvalid(0) => xbar_to_m01_couplers_WVALID(1)
);
s00_couplers: entity work.s00_couplers_imp_1JB4A1T
port map (
M_ACLK => ps7_0_axi_periph_ACLK_net,
M_ARESETN => ps7_0_axi_periph_ARESETN_net,
M_AXI_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
M_AXI_arburst(1 downto 0) => s00_couplers_to_xbar_ARBURST(1 downto 0),
M_AXI_arcache(3 downto 0) => s00_couplers_to_xbar_ARCACHE(3 downto 0),
M_AXI_arid(11 downto 0) => s00_couplers_to_xbar_ARID(11 downto 0),
M_AXI_arlen(7 downto 0) => s00_couplers_to_xbar_ARLEN(7 downto 0),
M_AXI_arlock(0) => s00_couplers_to_xbar_ARLOCK(0),
M_AXI_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
M_AXI_arqos(3 downto 0) => s00_couplers_to_xbar_ARQOS(3 downto 0),
M_AXI_arready => s00_couplers_to_xbar_ARREADY(0),
M_AXI_arsize(2 downto 0) => s00_couplers_to_xbar_ARSIZE(2 downto 0),
M_AXI_arvalid => s00_couplers_to_xbar_ARVALID,
M_AXI_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0),
M_AXI_awburst(1 downto 0) => s00_couplers_to_xbar_AWBURST(1 downto 0),
M_AXI_awcache(3 downto 0) => s00_couplers_to_xbar_AWCACHE(3 downto 0),
M_AXI_awid(11 downto 0) => s00_couplers_to_xbar_AWID(11 downto 0),
M_AXI_awlen(7 downto 0) => s00_couplers_to_xbar_AWLEN(7 downto 0),
M_AXI_awlock(0) => s00_couplers_to_xbar_AWLOCK(0),
M_AXI_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0),
M_AXI_awqos(3 downto 0) => s00_couplers_to_xbar_AWQOS(3 downto 0),
M_AXI_awready => s00_couplers_to_xbar_AWREADY(0),
M_AXI_awsize(2 downto 0) => s00_couplers_to_xbar_AWSIZE(2 downto 0),
M_AXI_awvalid => s00_couplers_to_xbar_AWVALID,
M_AXI_bid(11 downto 0) => s00_couplers_to_xbar_BID(11 downto 0),
M_AXI_bready => s00_couplers_to_xbar_BREADY,
M_AXI_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0),
M_AXI_bvalid => s00_couplers_to_xbar_BVALID(0),
M_AXI_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
M_AXI_rid(11 downto 0) => s00_couplers_to_xbar_RID(11 downto 0),
M_AXI_rlast => s00_couplers_to_xbar_RLAST(0),
M_AXI_rready => s00_couplers_to_xbar_RREADY,
M_AXI_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
M_AXI_rvalid => s00_couplers_to_xbar_RVALID(0),
M_AXI_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0),
M_AXI_wlast => s00_couplers_to_xbar_WLAST,
M_AXI_wready => s00_couplers_to_xbar_WREADY(0),
M_AXI_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0),
M_AXI_wvalid => s00_couplers_to_xbar_WVALID,
S_ACLK => S00_ACLK_1,
S_ARESETN => S00_ARESETN_1,
S_AXI_araddr(31 downto 0) => ps7_0_axi_periph_to_s00_couplers_ARADDR(31 downto 0),
S_AXI_arburst(1 downto 0) => ps7_0_axi_periph_to_s00_couplers_ARBURST(1 downto 0),
S_AXI_arcache(3 downto 0) => ps7_0_axi_periph_to_s00_couplers_ARCACHE(3 downto 0),
S_AXI_arid(11 downto 0) => ps7_0_axi_periph_to_s00_couplers_ARID(11 downto 0),
S_AXI_arlen(3 downto 0) => ps7_0_axi_periph_to_s00_couplers_ARLEN(3 downto 0),
S_AXI_arlock(1 downto 0) => ps7_0_axi_periph_to_s00_couplers_ARLOCK(1 downto 0),
S_AXI_arprot(2 downto 0) => ps7_0_axi_periph_to_s00_couplers_ARPROT(2 downto 0),
S_AXI_arqos(3 downto 0) => ps7_0_axi_periph_to_s00_couplers_ARQOS(3 downto 0),
S_AXI_arready => ps7_0_axi_periph_to_s00_couplers_ARREADY,
S_AXI_arsize(2 downto 0) => ps7_0_axi_periph_to_s00_couplers_ARSIZE(2 downto 0),
S_AXI_arvalid => ps7_0_axi_periph_to_s00_couplers_ARVALID,
S_AXI_awaddr(31 downto 0) => ps7_0_axi_periph_to_s00_couplers_AWADDR(31 downto 0),
S_AXI_awburst(1 downto 0) => ps7_0_axi_periph_to_s00_couplers_AWBURST(1 downto 0),
S_AXI_awcache(3 downto 0) => ps7_0_axi_periph_to_s00_couplers_AWCACHE(3 downto 0),
S_AXI_awid(11 downto 0) => ps7_0_axi_periph_to_s00_couplers_AWID(11 downto 0),
S_AXI_awlen(3 downto 0) => ps7_0_axi_periph_to_s00_couplers_AWLEN(3 downto 0),
S_AXI_awlock(1 downto 0) => ps7_0_axi_periph_to_s00_couplers_AWLOCK(1 downto 0),
S_AXI_awprot(2 downto 0) => ps7_0_axi_periph_to_s00_couplers_AWPROT(2 downto 0),
S_AXI_awqos(3 downto 0) => ps7_0_axi_periph_to_s00_couplers_AWQOS(3 downto 0),
S_AXI_awready => ps7_0_axi_periph_to_s00_couplers_AWREADY,
S_AXI_awsize(2 downto 0) => ps7_0_axi_periph_to_s00_couplers_AWSIZE(2 downto 0),
S_AXI_awvalid => ps7_0_axi_periph_to_s00_couplers_AWVALID,
S_AXI_bid(11 downto 0) => ps7_0_axi_periph_to_s00_couplers_BID(11 downto 0),
S_AXI_bready => ps7_0_axi_periph_to_s00_couplers_BREADY,
S_AXI_bresp(1 downto 0) => ps7_0_axi_periph_to_s00_couplers_BRESP(1 downto 0),
S_AXI_bvalid => ps7_0_axi_periph_to_s00_couplers_BVALID,
S_AXI_rdata(31 downto 0) => ps7_0_axi_periph_to_s00_couplers_RDATA(31 downto 0),
S_AXI_rid(11 downto 0) => ps7_0_axi_periph_to_s00_couplers_RID(11 downto 0),
S_AXI_rlast => ps7_0_axi_periph_to_s00_couplers_RLAST,
S_AXI_rready => ps7_0_axi_periph_to_s00_couplers_RREADY,
S_AXI_rresp(1 downto 0) => ps7_0_axi_periph_to_s00_couplers_RRESP(1 downto 0),
S_AXI_rvalid => ps7_0_axi_periph_to_s00_couplers_RVALID,
S_AXI_wdata(31 downto 0) => ps7_0_axi_periph_to_s00_couplers_WDATA(31 downto 0),
S_AXI_wid(11 downto 0) => ps7_0_axi_periph_to_s00_couplers_WID(11 downto 0),
S_AXI_wlast => ps7_0_axi_periph_to_s00_couplers_WLAST,
S_AXI_wready => ps7_0_axi_periph_to_s00_couplers_WREADY,
S_AXI_wstrb(3 downto 0) => ps7_0_axi_periph_to_s00_couplers_WSTRB(3 downto 0),
S_AXI_wvalid => ps7_0_axi_periph_to_s00_couplers_WVALID
);
xbar: component base_zynq_xbar_0
port map (
aclk => ps7_0_axi_periph_ACLK_net,
aresetn => ps7_0_axi_periph_ARESETN_net,
m_axi_araddr(63 downto 32) => xbar_to_m01_couplers_ARADDR(63 downto 32),
m_axi_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0),
m_axi_arburst(3 downto 2) => xbar_to_m01_couplers_ARBURST(3 downto 2),
m_axi_arburst(1 downto 0) => xbar_to_m00_couplers_ARBURST(1 downto 0),
m_axi_arcache(7 downto 4) => xbar_to_m01_couplers_ARCACHE(7 downto 4),
m_axi_arcache(3 downto 0) => xbar_to_m00_couplers_ARCACHE(3 downto 0),
m_axi_arid(23 downto 12) => xbar_to_m01_couplers_ARID(23 downto 12),
m_axi_arid(11 downto 0) => xbar_to_m00_couplers_ARID(11 downto 0),
m_axi_arlen(15 downto 8) => xbar_to_m01_couplers_ARLEN(15 downto 8),
m_axi_arlen(7 downto 0) => xbar_to_m00_couplers_ARLEN(7 downto 0),
m_axi_arlock(1) => xbar_to_m01_couplers_ARLOCK(1),
m_axi_arlock(0) => xbar_to_m00_couplers_ARLOCK(0),
m_axi_arprot(5 downto 3) => xbar_to_m01_couplers_ARPROT(5 downto 3),
m_axi_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0),
m_axi_arqos(7 downto 4) => NLW_xbar_m_axi_arqos_UNCONNECTED(7 downto 4),
m_axi_arqos(3 downto 0) => xbar_to_m00_couplers_ARQOS(3 downto 0),
m_axi_arready(1) => xbar_to_m01_couplers_ARREADY(0),
m_axi_arready(0) => xbar_to_m00_couplers_ARREADY,
m_axi_arregion(7 downto 4) => NLW_xbar_m_axi_arregion_UNCONNECTED(7 downto 4),
m_axi_arregion(3 downto 0) => xbar_to_m00_couplers_ARREGION(3 downto 0),
m_axi_arsize(5 downto 3) => xbar_to_m01_couplers_ARSIZE(5 downto 3),
m_axi_arsize(2 downto 0) => xbar_to_m00_couplers_ARSIZE(2 downto 0),
m_axi_arvalid(1) => xbar_to_m01_couplers_ARVALID(1),
m_axi_arvalid(0) => xbar_to_m00_couplers_ARVALID(0),
m_axi_awaddr(63 downto 32) => xbar_to_m01_couplers_AWADDR(63 downto 32),
m_axi_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0),
m_axi_awburst(3 downto 2) => xbar_to_m01_couplers_AWBURST(3 downto 2),
m_axi_awburst(1 downto 0) => xbar_to_m00_couplers_AWBURST(1 downto 0),
m_axi_awcache(7 downto 4) => xbar_to_m01_couplers_AWCACHE(7 downto 4),
m_axi_awcache(3 downto 0) => xbar_to_m00_couplers_AWCACHE(3 downto 0),
m_axi_awid(23 downto 12) => xbar_to_m01_couplers_AWID(23 downto 12),
m_axi_awid(11 downto 0) => xbar_to_m00_couplers_AWID(11 downto 0),
m_axi_awlen(15 downto 8) => xbar_to_m01_couplers_AWLEN(15 downto 8),
m_axi_awlen(7 downto 0) => xbar_to_m00_couplers_AWLEN(7 downto 0),
m_axi_awlock(1) => xbar_to_m01_couplers_AWLOCK(1),
m_axi_awlock(0) => xbar_to_m00_couplers_AWLOCK(0),
m_axi_awprot(5 downto 3) => xbar_to_m01_couplers_AWPROT(5 downto 3),
m_axi_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0),
m_axi_awqos(7 downto 4) => NLW_xbar_m_axi_awqos_UNCONNECTED(7 downto 4),
m_axi_awqos(3 downto 0) => xbar_to_m00_couplers_AWQOS(3 downto 0),
m_axi_awready(1) => xbar_to_m01_couplers_AWREADY(0),
m_axi_awready(0) => xbar_to_m00_couplers_AWREADY,
m_axi_awregion(7 downto 4) => NLW_xbar_m_axi_awregion_UNCONNECTED(7 downto 4),
m_axi_awregion(3 downto 0) => xbar_to_m00_couplers_AWREGION(3 downto 0),
m_axi_awsize(5 downto 3) => xbar_to_m01_couplers_AWSIZE(5 downto 3),
m_axi_awsize(2 downto 0) => xbar_to_m00_couplers_AWSIZE(2 downto 0),
m_axi_awvalid(1) => xbar_to_m01_couplers_AWVALID(1),
m_axi_awvalid(0) => xbar_to_m00_couplers_AWVALID(0),
m_axi_bid(23 downto 12) => xbar_to_m01_couplers_BID(11 downto 0),
m_axi_bid(11 downto 0) => xbar_to_m00_couplers_BID(11 downto 0),
m_axi_bready(1) => xbar_to_m01_couplers_BREADY(1),
m_axi_bready(0) => xbar_to_m00_couplers_BREADY(0),
m_axi_bresp(3 downto 2) => xbar_to_m01_couplers_BRESP(1 downto 0),
m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
m_axi_bvalid(1) => xbar_to_m01_couplers_BVALID(0),
m_axi_bvalid(0) => xbar_to_m00_couplers_BVALID,
m_axi_rdata(63 downto 32) => xbar_to_m01_couplers_RDATA(31 downto 0),
m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
m_axi_rid(23 downto 12) => xbar_to_m01_couplers_RID(11 downto 0),
m_axi_rid(11 downto 0) => xbar_to_m00_couplers_RID(11 downto 0),
m_axi_rlast(1) => xbar_to_m01_couplers_RLAST(0),
m_axi_rlast(0) => xbar_to_m00_couplers_RLAST,
m_axi_rready(1) => xbar_to_m01_couplers_RREADY(1),
m_axi_rready(0) => xbar_to_m00_couplers_RREADY(0),
m_axi_rresp(3 downto 2) => xbar_to_m01_couplers_RRESP(1 downto 0),
m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
m_axi_rvalid(1) => xbar_to_m01_couplers_RVALID(0),
m_axi_rvalid(0) => xbar_to_m00_couplers_RVALID,
m_axi_wdata(63 downto 32) => xbar_to_m01_couplers_WDATA(63 downto 32),
m_axi_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
m_axi_wlast(1) => xbar_to_m01_couplers_WLAST(1),
m_axi_wlast(0) => xbar_to_m00_couplers_WLAST(0),
m_axi_wready(1) => xbar_to_m01_couplers_WREADY(0),
m_axi_wready(0) => xbar_to_m00_couplers_WREADY,
m_axi_wstrb(7 downto 4) => xbar_to_m01_couplers_WSTRB(7 downto 4),
m_axi_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0),
m_axi_wvalid(1) => xbar_to_m01_couplers_WVALID(1),
m_axi_wvalid(0) => xbar_to_m00_couplers_WVALID(0),
s_axi_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
s_axi_arburst(1 downto 0) => s00_couplers_to_xbar_ARBURST(1 downto 0),
s_axi_arcache(3 downto 0) => s00_couplers_to_xbar_ARCACHE(3 downto 0),
s_axi_arid(11 downto 0) => s00_couplers_to_xbar_ARID(11 downto 0),
s_axi_arlen(7 downto 0) => s00_couplers_to_xbar_ARLEN(7 downto 0),
s_axi_arlock(0) => s00_couplers_to_xbar_ARLOCK(0),
s_axi_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
s_axi_arqos(3 downto 0) => s00_couplers_to_xbar_ARQOS(3 downto 0),
s_axi_arready(0) => s00_couplers_to_xbar_ARREADY(0),
s_axi_arsize(2 downto 0) => s00_couplers_to_xbar_ARSIZE(2 downto 0),
s_axi_arvalid(0) => s00_couplers_to_xbar_ARVALID,
s_axi_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0),
s_axi_awburst(1 downto 0) => s00_couplers_to_xbar_AWBURST(1 downto 0),
s_axi_awcache(3 downto 0) => s00_couplers_to_xbar_AWCACHE(3 downto 0),
s_axi_awid(11 downto 0) => s00_couplers_to_xbar_AWID(11 downto 0),
s_axi_awlen(7 downto 0) => s00_couplers_to_xbar_AWLEN(7 downto 0),
s_axi_awlock(0) => s00_couplers_to_xbar_AWLOCK(0),
s_axi_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0),
s_axi_awqos(3 downto 0) => s00_couplers_to_xbar_AWQOS(3 downto 0),
s_axi_awready(0) => s00_couplers_to_xbar_AWREADY(0),
s_axi_awsize(2 downto 0) => s00_couplers_to_xbar_AWSIZE(2 downto 0),
s_axi_awvalid(0) => s00_couplers_to_xbar_AWVALID,
s_axi_bid(11 downto 0) => s00_couplers_to_xbar_BID(11 downto 0),
s_axi_bready(0) => s00_couplers_to_xbar_BREADY,
s_axi_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0),
s_axi_bvalid(0) => s00_couplers_to_xbar_BVALID(0),
s_axi_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
s_axi_rid(11 downto 0) => s00_couplers_to_xbar_RID(11 downto 0),
s_axi_rlast(0) => s00_couplers_to_xbar_RLAST(0),
s_axi_rready(0) => s00_couplers_to_xbar_RREADY,
s_axi_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
s_axi_rvalid(0) => s00_couplers_to_xbar_RVALID(0),
s_axi_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0),
s_axi_wlast(0) => s00_couplers_to_xbar_WLAST,
s_axi_wready(0) => s00_couplers_to_xbar_WREADY(0),
s_axi_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0),
s_axi_wvalid(0) => s00_couplers_to_xbar_WVALID
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity base_zynq is
port (
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_cas_n : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC;
leds_4bits_tri_o : out STD_LOGIC_VECTOR ( 3 downto 0 )
);
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of base_zynq : entity is "base_zynq,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=base_zynq,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=12,numReposBlks=8,numNonXlnxBlks=0,numHierBlks=4,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,da_axi4_cnt=2,da_ps7_cnt=1,synth_mode=OOC_per_IP}";
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of base_zynq : entity is "base_zynq.hwdef";
end base_zynq;
architecture STRUCTURE of base_zynq is
component base_zynq_processing_system7_0_0 is
port (
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
end component base_zynq_processing_system7_0_0;
component base_zynq_axi_gpio_0_0 is
port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
gpio_io_o : out STD_LOGIC_VECTOR ( 3 downto 0 )
);
end component base_zynq_axi_gpio_0_0;
component base_zynq_blk_mem_gen_0_0 is
port (
clka : in STD_LOGIC;
rsta : in STD_LOGIC;
ena : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 3 downto 0 );
addra : in STD_LOGIC_VECTOR ( 31 downto 0 );
dina : in STD_LOGIC_VECTOR ( 31 downto 0 );
douta : out STD_LOGIC_VECTOR ( 31 downto 0 );
rsta_busy : out STD_LOGIC
);
end component base_zynq_blk_mem_gen_0_0;
component base_zynq_axi_bram_ctrl_0_0 is
port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 12 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC;
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 12 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC;
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
bram_rst_a : out STD_LOGIC;
bram_clk_a : out STD_LOGIC;
bram_en_a : out STD_LOGIC;
bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 );
bram_addr_a : out STD_LOGIC_VECTOR ( 12 downto 0 );
bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 );
bram_rddata_a : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component base_zynq_axi_bram_ctrl_0_0;
component base_zynq_rst_ps7_0_50M_0 is
port (
slowest_sync_clk : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_reset : out STD_LOGIC;
bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end component base_zynq_rst_ps7_0_50M_0;
signal axi_bram_ctrl_0_BRAM_PORTA_ADDR : STD_LOGIC_VECTOR ( 12 downto 0 );
signal axi_bram_ctrl_0_BRAM_PORTA_CLK : STD_LOGIC;
signal axi_bram_ctrl_0_BRAM_PORTA_DIN : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_bram_ctrl_0_BRAM_PORTA_DOUT : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_bram_ctrl_0_BRAM_PORTA_EN : STD_LOGIC;
signal axi_bram_ctrl_0_BRAM_PORTA_RST : STD_LOGIC;
signal axi_bram_ctrl_0_BRAM_PORTA_WE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_gpio_0_GPIO_TRI_O : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_DDR_ADDR : STD_LOGIC_VECTOR ( 14 downto 0 );
signal processing_system7_0_DDR_BA : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_DDR_CAS_N : STD_LOGIC;
signal processing_system7_0_DDR_CKE : STD_LOGIC;
signal processing_system7_0_DDR_CK_N : STD_LOGIC;
signal processing_system7_0_DDR_CK_P : STD_LOGIC;
signal processing_system7_0_DDR_CS_N : STD_LOGIC;
signal processing_system7_0_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_DDR_DQS_N : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_DDR_DQS_P : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_DDR_ODT : STD_LOGIC;
signal processing_system7_0_DDR_RAS_N : STD_LOGIC;
signal processing_system7_0_DDR_RESET_N : STD_LOGIC;
signal processing_system7_0_DDR_WE_N : STD_LOGIC;
signal processing_system7_0_FCLK_CLK0 : STD_LOGIC;
signal processing_system7_0_FCLK_RESET0_N : STD_LOGIC;
signal processing_system7_0_FIXED_IO_DDR_VRN : STD_LOGIC;
signal processing_system7_0_FIXED_IO_DDR_VRP : STD_LOGIC;
signal processing_system7_0_FIXED_IO_MIO : STD_LOGIC_VECTOR ( 53 downto 0 );
signal processing_system7_0_FIXED_IO_PS_CLK : STD_LOGIC;
signal processing_system7_0_FIXED_IO_PS_PORB : STD_LOGIC;
signal processing_system7_0_FIXED_IO_PS_SRSTB : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARVALID : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWVALID : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_BREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_BVALID : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_M_AXI_GP0_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_RLAST : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_RREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_RVALID : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_M_AXI_GP0_WID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_WLAST : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_WREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_WVALID : STD_LOGIC;
signal ps7_0_axi_periph_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ps7_0_axi_periph_M00_AXI_ARREADY : STD_LOGIC;
signal ps7_0_axi_periph_M00_AXI_ARVALID : STD_LOGIC;
signal ps7_0_axi_periph_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ps7_0_axi_periph_M00_AXI_AWREADY : STD_LOGIC;
signal ps7_0_axi_periph_M00_AXI_AWVALID : STD_LOGIC;
signal ps7_0_axi_periph_M00_AXI_BREADY : STD_LOGIC;
signal ps7_0_axi_periph_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ps7_0_axi_periph_M00_AXI_BVALID : STD_LOGIC;
signal ps7_0_axi_periph_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ps7_0_axi_periph_M00_AXI_RREADY : STD_LOGIC;
signal ps7_0_axi_periph_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ps7_0_axi_periph_M00_AXI_RVALID : STD_LOGIC;
signal ps7_0_axi_periph_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ps7_0_axi_periph_M00_AXI_WREADY : STD_LOGIC;
signal ps7_0_axi_periph_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ps7_0_axi_periph_M00_AXI_WVALID : STD_LOGIC;
signal ps7_0_axi_periph_M01_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ps7_0_axi_periph_M01_AXI_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ps7_0_axi_periph_M01_AXI_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ps7_0_axi_periph_M01_AXI_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal ps7_0_axi_periph_M01_AXI_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal ps7_0_axi_periph_M01_AXI_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal ps7_0_axi_periph_M01_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ps7_0_axi_periph_M01_AXI_ARREADY : STD_LOGIC;
signal ps7_0_axi_periph_M01_AXI_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ps7_0_axi_periph_M01_AXI_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal ps7_0_axi_periph_M01_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ps7_0_axi_periph_M01_AXI_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ps7_0_axi_periph_M01_AXI_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ps7_0_axi_periph_M01_AXI_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal ps7_0_axi_periph_M01_AXI_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal ps7_0_axi_periph_M01_AXI_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal ps7_0_axi_periph_M01_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ps7_0_axi_periph_M01_AXI_AWREADY : STD_LOGIC;
signal ps7_0_axi_periph_M01_AXI_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ps7_0_axi_periph_M01_AXI_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal ps7_0_axi_periph_M01_AXI_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal ps7_0_axi_periph_M01_AXI_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal ps7_0_axi_periph_M01_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ps7_0_axi_periph_M01_AXI_BVALID : STD_LOGIC;
signal ps7_0_axi_periph_M01_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ps7_0_axi_periph_M01_AXI_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal ps7_0_axi_periph_M01_AXI_RLAST : STD_LOGIC;
signal ps7_0_axi_periph_M01_AXI_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal ps7_0_axi_periph_M01_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ps7_0_axi_periph_M01_AXI_RVALID : STD_LOGIC;
signal ps7_0_axi_periph_M01_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal ps7_0_axi_periph_M01_AXI_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal ps7_0_axi_periph_M01_AXI_WREADY : STD_LOGIC;
signal ps7_0_axi_periph_M01_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ps7_0_axi_periph_M01_AXI_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal rst_ps7_0_50M_interconnect_aresetn : STD_LOGIC_VECTOR ( 0 to 0 );
signal rst_ps7_0_50M_peripheral_aresetn : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_blk_mem_gen_0_rsta_busy_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_TTC0_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_TTC0_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_TTC0_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_USB0_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_USB0_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_rst_ps7_0_50M_mb_reset_UNCONNECTED : STD_LOGIC;
signal NLW_rst_ps7_0_50M_bus_struct_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_rst_ps7_0_50M_peripheral_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute BMM_INFO_ADDRESS_SPACE : string;
attribute BMM_INFO_ADDRESS_SPACE of axi_bram_ctrl_0 : label is "byte 0x40000000 32 > base_zynq blk_mem_gen_0";
attribute KEEP_HIERARCHY : string;
attribute KEEP_HIERARCHY of axi_bram_ctrl_0 : label is "yes";
attribute BMM_INFO_PROCESSOR : string;
attribute BMM_INFO_PROCESSOR of processing_system7_0 : label is "arm > base_zynq axi_bram_ctrl_0";
attribute KEEP_HIERARCHY of processing_system7_0 : label is "yes";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of DDR_cas_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CAS_N";
attribute X_INTERFACE_INFO of DDR_ck_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_N";
attribute X_INTERFACE_INFO of DDR_ck_p : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_P";
attribute X_INTERFACE_INFO of DDR_cke : signal is "xilinx.com:interface:ddrx:1.0 DDR CKE";
attribute X_INTERFACE_INFO of DDR_cs_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CS_N";
attribute X_INTERFACE_INFO of DDR_odt : signal is "xilinx.com:interface:ddrx:1.0 DDR ODT";
attribute X_INTERFACE_INFO of DDR_ras_n : signal is "xilinx.com:interface:ddrx:1.0 DDR RAS_N";
attribute X_INTERFACE_INFO of DDR_reset_n : signal is "xilinx.com:interface:ddrx:1.0 DDR RESET_N";
attribute X_INTERFACE_INFO of DDR_we_n : signal is "xilinx.com:interface:ddrx:1.0 DDR WE_N";
attribute X_INTERFACE_INFO of FIXED_IO_ddr_vrn : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRN";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of FIXED_IO_ddr_vrn : signal is "XIL_INTERFACENAME FIXED_IO, CAN_DEBUG false";
attribute X_INTERFACE_INFO of FIXED_IO_ddr_vrp : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRP";
attribute X_INTERFACE_INFO of FIXED_IO_ps_clk : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_CLK";
attribute X_INTERFACE_INFO of FIXED_IO_ps_porb : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_PORB";
attribute X_INTERFACE_INFO of FIXED_IO_ps_srstb : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_SRSTB";
attribute X_INTERFACE_INFO of DDR_addr : signal is "xilinx.com:interface:ddrx:1.0 DDR ADDR";
attribute X_INTERFACE_PARAMETER of DDR_addr : signal is "XIL_INTERFACENAME DDR, AXI_ARBITRATION_SCHEME TDM, BURST_LENGTH 8, CAN_DEBUG false, CAS_LATENCY 11, CAS_WRITE_LATENCY 11, CS_ENABLED true, DATA_MASK_ENABLED true, DATA_WIDTH 8, MEMORY_TYPE COMPONENTS, MEM_ADDR_MAP ROW_COLUMN_BANK, SLOT Single, TIMEPERIOD_PS 1250";
attribute X_INTERFACE_INFO of DDR_ba : signal is "xilinx.com:interface:ddrx:1.0 DDR BA";
attribute X_INTERFACE_INFO of DDR_dm : signal is "xilinx.com:interface:ddrx:1.0 DDR DM";
attribute X_INTERFACE_INFO of DDR_dq : signal is "xilinx.com:interface:ddrx:1.0 DDR DQ";
attribute X_INTERFACE_INFO of DDR_dqs_n : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_N";
attribute X_INTERFACE_INFO of DDR_dqs_p : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_P";
attribute X_INTERFACE_INFO of FIXED_IO_mio : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO MIO";
attribute X_INTERFACE_INFO of leds_4bits_tri_o : signal is "xilinx.com:interface:gpio:1.0 leds_4bits TRI_O";
begin
leds_4bits_tri_o(3 downto 0) <= axi_gpio_0_GPIO_TRI_O(3 downto 0);
axi_bram_ctrl_0: component base_zynq_axi_bram_ctrl_0_0
port map (
bram_addr_a(12 downto 0) => axi_bram_ctrl_0_BRAM_PORTA_ADDR(12 downto 0),
bram_clk_a => axi_bram_ctrl_0_BRAM_PORTA_CLK,
bram_en_a => axi_bram_ctrl_0_BRAM_PORTA_EN,
bram_rddata_a(31 downto 0) => axi_bram_ctrl_0_BRAM_PORTA_DOUT(31 downto 0),
bram_rst_a => axi_bram_ctrl_0_BRAM_PORTA_RST,
bram_we_a(3 downto 0) => axi_bram_ctrl_0_BRAM_PORTA_WE(3 downto 0),
bram_wrdata_a(31 downto 0) => axi_bram_ctrl_0_BRAM_PORTA_DIN(31 downto 0),
s_axi_aclk => processing_system7_0_FCLK_CLK0,
s_axi_araddr(12 downto 0) => ps7_0_axi_periph_M01_AXI_ARADDR(12 downto 0),
s_axi_arburst(1 downto 0) => ps7_0_axi_periph_M01_AXI_ARBURST(1 downto 0),
s_axi_arcache(3 downto 0) => ps7_0_axi_periph_M01_AXI_ARCACHE(3 downto 0),
s_axi_aresetn => rst_ps7_0_50M_peripheral_aresetn(0),
s_axi_arid(11 downto 0) => ps7_0_axi_periph_M01_AXI_ARID(11 downto 0),
s_axi_arlen(7 downto 0) => ps7_0_axi_periph_M01_AXI_ARLEN(7 downto 0),
s_axi_arlock => ps7_0_axi_periph_M01_AXI_ARLOCK(0),
s_axi_arprot(2 downto 0) => ps7_0_axi_periph_M01_AXI_ARPROT(2 downto 0),
s_axi_arready => ps7_0_axi_periph_M01_AXI_ARREADY,
s_axi_arsize(2 downto 0) => ps7_0_axi_periph_M01_AXI_ARSIZE(2 downto 0),
s_axi_arvalid => ps7_0_axi_periph_M01_AXI_ARVALID(0),
s_axi_awaddr(12 downto 0) => ps7_0_axi_periph_M01_AXI_AWADDR(12 downto 0),
s_axi_awburst(1 downto 0) => ps7_0_axi_periph_M01_AXI_AWBURST(1 downto 0),
s_axi_awcache(3 downto 0) => ps7_0_axi_periph_M01_AXI_AWCACHE(3 downto 0),
s_axi_awid(11 downto 0) => ps7_0_axi_periph_M01_AXI_AWID(11 downto 0),
s_axi_awlen(7 downto 0) => ps7_0_axi_periph_M01_AXI_AWLEN(7 downto 0),
s_axi_awlock => ps7_0_axi_periph_M01_AXI_AWLOCK(0),
s_axi_awprot(2 downto 0) => ps7_0_axi_periph_M01_AXI_AWPROT(2 downto 0),
s_axi_awready => ps7_0_axi_periph_M01_AXI_AWREADY,
s_axi_awsize(2 downto 0) => ps7_0_axi_periph_M01_AXI_AWSIZE(2 downto 0),
s_axi_awvalid => ps7_0_axi_periph_M01_AXI_AWVALID(0),
s_axi_bid(11 downto 0) => ps7_0_axi_periph_M01_AXI_BID(11 downto 0),
s_axi_bready => ps7_0_axi_periph_M01_AXI_BREADY(0),
s_axi_bresp(1 downto 0) => ps7_0_axi_periph_M01_AXI_BRESP(1 downto 0),
s_axi_bvalid => ps7_0_axi_periph_M01_AXI_BVALID,
s_axi_rdata(31 downto 0) => ps7_0_axi_periph_M01_AXI_RDATA(31 downto 0),
s_axi_rid(11 downto 0) => ps7_0_axi_periph_M01_AXI_RID(11 downto 0),
s_axi_rlast => ps7_0_axi_periph_M01_AXI_RLAST,
s_axi_rready => ps7_0_axi_periph_M01_AXI_RREADY(0),
s_axi_rresp(1 downto 0) => ps7_0_axi_periph_M01_AXI_RRESP(1 downto 0),
s_axi_rvalid => ps7_0_axi_periph_M01_AXI_RVALID,
s_axi_wdata(31 downto 0) => ps7_0_axi_periph_M01_AXI_WDATA(31 downto 0),
s_axi_wlast => ps7_0_axi_periph_M01_AXI_WLAST(0),
s_axi_wready => ps7_0_axi_periph_M01_AXI_WREADY,
s_axi_wstrb(3 downto 0) => ps7_0_axi_periph_M01_AXI_WSTRB(3 downto 0),
s_axi_wvalid => ps7_0_axi_periph_M01_AXI_WVALID(0)
);
axi_gpio_0: component base_zynq_axi_gpio_0_0
port map (
gpio_io_o(3 downto 0) => axi_gpio_0_GPIO_TRI_O(3 downto 0),
s_axi_aclk => processing_system7_0_FCLK_CLK0,
s_axi_araddr(8 downto 0) => ps7_0_axi_periph_M00_AXI_ARADDR(8 downto 0),
s_axi_aresetn => rst_ps7_0_50M_peripheral_aresetn(0),
s_axi_arready => ps7_0_axi_periph_M00_AXI_ARREADY,
s_axi_arvalid => ps7_0_axi_periph_M00_AXI_ARVALID,
s_axi_awaddr(8 downto 0) => ps7_0_axi_periph_M00_AXI_AWADDR(8 downto 0),
s_axi_awready => ps7_0_axi_periph_M00_AXI_AWREADY,
s_axi_awvalid => ps7_0_axi_periph_M00_AXI_AWVALID,
s_axi_bready => ps7_0_axi_periph_M00_AXI_BREADY,
s_axi_bresp(1 downto 0) => ps7_0_axi_periph_M00_AXI_BRESP(1 downto 0),
s_axi_bvalid => ps7_0_axi_periph_M00_AXI_BVALID,
s_axi_rdata(31 downto 0) => ps7_0_axi_periph_M00_AXI_RDATA(31 downto 0),
s_axi_rready => ps7_0_axi_periph_M00_AXI_RREADY,
s_axi_rresp(1 downto 0) => ps7_0_axi_periph_M00_AXI_RRESP(1 downto 0),
s_axi_rvalid => ps7_0_axi_periph_M00_AXI_RVALID,
s_axi_wdata(31 downto 0) => ps7_0_axi_periph_M00_AXI_WDATA(31 downto 0),
s_axi_wready => ps7_0_axi_periph_M00_AXI_WREADY,
s_axi_wstrb(3 downto 0) => ps7_0_axi_periph_M00_AXI_WSTRB(3 downto 0),
s_axi_wvalid => ps7_0_axi_periph_M00_AXI_WVALID
);
blk_mem_gen_0: component base_zynq_blk_mem_gen_0_0
port map (
addra(31 downto 13) => B"0000000000000000000",
addra(12 downto 0) => axi_bram_ctrl_0_BRAM_PORTA_ADDR(12 downto 0),
clka => axi_bram_ctrl_0_BRAM_PORTA_CLK,
dina(31 downto 0) => axi_bram_ctrl_0_BRAM_PORTA_DIN(31 downto 0),
douta(31 downto 0) => axi_bram_ctrl_0_BRAM_PORTA_DOUT(31 downto 0),
ena => axi_bram_ctrl_0_BRAM_PORTA_EN,
rsta => axi_bram_ctrl_0_BRAM_PORTA_RST,
rsta_busy => NLW_blk_mem_gen_0_rsta_busy_UNCONNECTED,
wea(3 downto 0) => axi_bram_ctrl_0_BRAM_PORTA_WE(3 downto 0)
);
processing_system7_0: component base_zynq_processing_system7_0_0
port map (
DDR_Addr(14 downto 0) => DDR_addr(14 downto 0),
DDR_BankAddr(2 downto 0) => DDR_ba(2 downto 0),
DDR_CAS_n => DDR_cas_n,
DDR_CKE => DDR_cke,
DDR_CS_n => DDR_cs_n,
DDR_Clk => DDR_ck_p,
DDR_Clk_n => DDR_ck_n,
DDR_DM(3 downto 0) => DDR_dm(3 downto 0),
DDR_DQ(31 downto 0) => DDR_dq(31 downto 0),
DDR_DQS(3 downto 0) => DDR_dqs_p(3 downto 0),
DDR_DQS_n(3 downto 0) => DDR_dqs_n(3 downto 0),
DDR_DRSTB => DDR_reset_n,
DDR_ODT => DDR_odt,
DDR_RAS_n => DDR_ras_n,
DDR_VRN => FIXED_IO_ddr_vrn,
DDR_VRP => FIXED_IO_ddr_vrp,
DDR_WEB => DDR_we_n,
FCLK_CLK0 => processing_system7_0_FCLK_CLK0,
FCLK_RESET0_N => processing_system7_0_FCLK_RESET0_N,
MIO(53 downto 0) => FIXED_IO_mio(53 downto 0),
M_AXI_GP0_ACLK => processing_system7_0_FCLK_CLK0,
M_AXI_GP0_ARADDR(31 downto 0) => processing_system7_0_M_AXI_GP0_ARADDR(31 downto 0),
M_AXI_GP0_ARBURST(1 downto 0) => processing_system7_0_M_AXI_GP0_ARBURST(1 downto 0),
M_AXI_GP0_ARCACHE(3 downto 0) => processing_system7_0_M_AXI_GP0_ARCACHE(3 downto 0),
M_AXI_GP0_ARID(11 downto 0) => processing_system7_0_M_AXI_GP0_ARID(11 downto 0),
M_AXI_GP0_ARLEN(3 downto 0) => processing_system7_0_M_AXI_GP0_ARLEN(3 downto 0),
M_AXI_GP0_ARLOCK(1 downto 0) => processing_system7_0_M_AXI_GP0_ARLOCK(1 downto 0),
M_AXI_GP0_ARPROT(2 downto 0) => processing_system7_0_M_AXI_GP0_ARPROT(2 downto 0),
M_AXI_GP0_ARQOS(3 downto 0) => processing_system7_0_M_AXI_GP0_ARQOS(3 downto 0),
M_AXI_GP0_ARREADY => processing_system7_0_M_AXI_GP0_ARREADY,
M_AXI_GP0_ARSIZE(2 downto 0) => processing_system7_0_M_AXI_GP0_ARSIZE(2 downto 0),
M_AXI_GP0_ARVALID => processing_system7_0_M_AXI_GP0_ARVALID,
M_AXI_GP0_AWADDR(31 downto 0) => processing_system7_0_M_AXI_GP0_AWADDR(31 downto 0),
M_AXI_GP0_AWBURST(1 downto 0) => processing_system7_0_M_AXI_GP0_AWBURST(1 downto 0),
M_AXI_GP0_AWCACHE(3 downto 0) => processing_system7_0_M_AXI_GP0_AWCACHE(3 downto 0),
M_AXI_GP0_AWID(11 downto 0) => processing_system7_0_M_AXI_GP0_AWID(11 downto 0),
M_AXI_GP0_AWLEN(3 downto 0) => processing_system7_0_M_AXI_GP0_AWLEN(3 downto 0),
M_AXI_GP0_AWLOCK(1 downto 0) => processing_system7_0_M_AXI_GP0_AWLOCK(1 downto 0),
M_AXI_GP0_AWPROT(2 downto 0) => processing_system7_0_M_AXI_GP0_AWPROT(2 downto 0),
M_AXI_GP0_AWQOS(3 downto 0) => processing_system7_0_M_AXI_GP0_AWQOS(3 downto 0),
M_AXI_GP0_AWREADY => processing_system7_0_M_AXI_GP0_AWREADY,
M_AXI_GP0_AWSIZE(2 downto 0) => processing_system7_0_M_AXI_GP0_AWSIZE(2 downto 0),
M_AXI_GP0_AWVALID => processing_system7_0_M_AXI_GP0_AWVALID,
M_AXI_GP0_BID(11 downto 0) => processing_system7_0_M_AXI_GP0_BID(11 downto 0),
M_AXI_GP0_BREADY => processing_system7_0_M_AXI_GP0_BREADY,
M_AXI_GP0_BRESP(1 downto 0) => processing_system7_0_M_AXI_GP0_BRESP(1 downto 0),
M_AXI_GP0_BVALID => processing_system7_0_M_AXI_GP0_BVALID,
M_AXI_GP0_RDATA(31 downto 0) => processing_system7_0_M_AXI_GP0_RDATA(31 downto 0),
M_AXI_GP0_RID(11 downto 0) => processing_system7_0_M_AXI_GP0_RID(11 downto 0),
M_AXI_GP0_RLAST => processing_system7_0_M_AXI_GP0_RLAST,
M_AXI_GP0_RREADY => processing_system7_0_M_AXI_GP0_RREADY,
M_AXI_GP0_RRESP(1 downto 0) => processing_system7_0_M_AXI_GP0_RRESP(1 downto 0),
M_AXI_GP0_RVALID => processing_system7_0_M_AXI_GP0_RVALID,
M_AXI_GP0_WDATA(31 downto 0) => processing_system7_0_M_AXI_GP0_WDATA(31 downto 0),
M_AXI_GP0_WID(11 downto 0) => processing_system7_0_M_AXI_GP0_WID(11 downto 0),
M_AXI_GP0_WLAST => processing_system7_0_M_AXI_GP0_WLAST,
M_AXI_GP0_WREADY => processing_system7_0_M_AXI_GP0_WREADY,
M_AXI_GP0_WSTRB(3 downto 0) => processing_system7_0_M_AXI_GP0_WSTRB(3 downto 0),
M_AXI_GP0_WVALID => processing_system7_0_M_AXI_GP0_WVALID,
PS_CLK => FIXED_IO_ps_clk,
PS_PORB => FIXED_IO_ps_porb,
PS_SRSTB => FIXED_IO_ps_srstb,
TTC0_WAVE0_OUT => NLW_processing_system7_0_TTC0_WAVE0_OUT_UNCONNECTED,
TTC0_WAVE1_OUT => NLW_processing_system7_0_TTC0_WAVE1_OUT_UNCONNECTED,
TTC0_WAVE2_OUT => NLW_processing_system7_0_TTC0_WAVE2_OUT_UNCONNECTED,
USB0_PORT_INDCTL(1 downto 0) => NLW_processing_system7_0_USB0_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB0_VBUS_PWRFAULT => '0',
USB0_VBUS_PWRSELECT => NLW_processing_system7_0_USB0_VBUS_PWRSELECT_UNCONNECTED
);
ps7_0_axi_periph: entity work.base_zynq_ps7_0_axi_periph_0
port map (
ACLK => processing_system7_0_FCLK_CLK0,
ARESETN => rst_ps7_0_50M_interconnect_aresetn(0),
M00_ACLK => processing_system7_0_FCLK_CLK0,
M00_ARESETN => rst_ps7_0_50M_peripheral_aresetn(0),
M00_AXI_araddr(31 downto 0) => ps7_0_axi_periph_M00_AXI_ARADDR(31 downto 0),
M00_AXI_arready => ps7_0_axi_periph_M00_AXI_ARREADY,
M00_AXI_arvalid => ps7_0_axi_periph_M00_AXI_ARVALID,
M00_AXI_awaddr(31 downto 0) => ps7_0_axi_periph_M00_AXI_AWADDR(31 downto 0),
M00_AXI_awready => ps7_0_axi_periph_M00_AXI_AWREADY,
M00_AXI_awvalid => ps7_0_axi_periph_M00_AXI_AWVALID,
M00_AXI_bready => ps7_0_axi_periph_M00_AXI_BREADY,
M00_AXI_bresp(1 downto 0) => ps7_0_axi_periph_M00_AXI_BRESP(1 downto 0),
M00_AXI_bvalid => ps7_0_axi_periph_M00_AXI_BVALID,
M00_AXI_rdata(31 downto 0) => ps7_0_axi_periph_M00_AXI_RDATA(31 downto 0),
M00_AXI_rready => ps7_0_axi_periph_M00_AXI_RREADY,
M00_AXI_rresp(1 downto 0) => ps7_0_axi_periph_M00_AXI_RRESP(1 downto 0),
M00_AXI_rvalid => ps7_0_axi_periph_M00_AXI_RVALID,
M00_AXI_wdata(31 downto 0) => ps7_0_axi_periph_M00_AXI_WDATA(31 downto 0),
M00_AXI_wready => ps7_0_axi_periph_M00_AXI_WREADY,
M00_AXI_wstrb(3 downto 0) => ps7_0_axi_periph_M00_AXI_WSTRB(3 downto 0),
M00_AXI_wvalid => ps7_0_axi_periph_M00_AXI_WVALID,
M01_ACLK => processing_system7_0_FCLK_CLK0,
M01_ARESETN => rst_ps7_0_50M_peripheral_aresetn(0),
M01_AXI_araddr(31 downto 0) => ps7_0_axi_periph_M01_AXI_ARADDR(31 downto 0),
M01_AXI_arburst(1 downto 0) => ps7_0_axi_periph_M01_AXI_ARBURST(1 downto 0),
M01_AXI_arcache(3 downto 0) => ps7_0_axi_periph_M01_AXI_ARCACHE(3 downto 0),
M01_AXI_arid(11 downto 0) => ps7_0_axi_periph_M01_AXI_ARID(11 downto 0),
M01_AXI_arlen(7 downto 0) => ps7_0_axi_periph_M01_AXI_ARLEN(7 downto 0),
M01_AXI_arlock(0) => ps7_0_axi_periph_M01_AXI_ARLOCK(0),
M01_AXI_arprot(2 downto 0) => ps7_0_axi_periph_M01_AXI_ARPROT(2 downto 0),
M01_AXI_arready(0) => ps7_0_axi_periph_M01_AXI_ARREADY,
M01_AXI_arsize(2 downto 0) => ps7_0_axi_periph_M01_AXI_ARSIZE(2 downto 0),
M01_AXI_arvalid(0) => ps7_0_axi_periph_M01_AXI_ARVALID(0),
M01_AXI_awaddr(31 downto 0) => ps7_0_axi_periph_M01_AXI_AWADDR(31 downto 0),
M01_AXI_awburst(1 downto 0) => ps7_0_axi_periph_M01_AXI_AWBURST(1 downto 0),
M01_AXI_awcache(3 downto 0) => ps7_0_axi_periph_M01_AXI_AWCACHE(3 downto 0),
M01_AXI_awid(11 downto 0) => ps7_0_axi_periph_M01_AXI_AWID(11 downto 0),
M01_AXI_awlen(7 downto 0) => ps7_0_axi_periph_M01_AXI_AWLEN(7 downto 0),
M01_AXI_awlock(0) => ps7_0_axi_periph_M01_AXI_AWLOCK(0),
M01_AXI_awprot(2 downto 0) => ps7_0_axi_periph_M01_AXI_AWPROT(2 downto 0),
M01_AXI_awready(0) => ps7_0_axi_periph_M01_AXI_AWREADY,
M01_AXI_awsize(2 downto 0) => ps7_0_axi_periph_M01_AXI_AWSIZE(2 downto 0),
M01_AXI_awvalid(0) => ps7_0_axi_periph_M01_AXI_AWVALID(0),
M01_AXI_bid(11 downto 0) => ps7_0_axi_periph_M01_AXI_BID(11 downto 0),
M01_AXI_bready(0) => ps7_0_axi_periph_M01_AXI_BREADY(0),
M01_AXI_bresp(1 downto 0) => ps7_0_axi_periph_M01_AXI_BRESP(1 downto 0),
M01_AXI_bvalid(0) => ps7_0_axi_periph_M01_AXI_BVALID,
M01_AXI_rdata(31 downto 0) => ps7_0_axi_periph_M01_AXI_RDATA(31 downto 0),
M01_AXI_rid(11 downto 0) => ps7_0_axi_periph_M01_AXI_RID(11 downto 0),
M01_AXI_rlast(0) => ps7_0_axi_periph_M01_AXI_RLAST,
M01_AXI_rready(0) => ps7_0_axi_periph_M01_AXI_RREADY(0),
M01_AXI_rresp(1 downto 0) => ps7_0_axi_periph_M01_AXI_RRESP(1 downto 0),
M01_AXI_rvalid(0) => ps7_0_axi_periph_M01_AXI_RVALID,
M01_AXI_wdata(31 downto 0) => ps7_0_axi_periph_M01_AXI_WDATA(31 downto 0),
M01_AXI_wlast(0) => ps7_0_axi_periph_M01_AXI_WLAST(0),
M01_AXI_wready(0) => ps7_0_axi_periph_M01_AXI_WREADY,
M01_AXI_wstrb(3 downto 0) => ps7_0_axi_periph_M01_AXI_WSTRB(3 downto 0),
M01_AXI_wvalid(0) => ps7_0_axi_periph_M01_AXI_WVALID(0),
S00_ACLK => processing_system7_0_FCLK_CLK0,
S00_ARESETN => rst_ps7_0_50M_peripheral_aresetn(0),
S00_AXI_araddr(31 downto 0) => processing_system7_0_M_AXI_GP0_ARADDR(31 downto 0),
S00_AXI_arburst(1 downto 0) => processing_system7_0_M_AXI_GP0_ARBURST(1 downto 0),
S00_AXI_arcache(3 downto 0) => processing_system7_0_M_AXI_GP0_ARCACHE(3 downto 0),
S00_AXI_arid(11 downto 0) => processing_system7_0_M_AXI_GP0_ARID(11 downto 0),
S00_AXI_arlen(3 downto 0) => processing_system7_0_M_AXI_GP0_ARLEN(3 downto 0),
S00_AXI_arlock(1 downto 0) => processing_system7_0_M_AXI_GP0_ARLOCK(1 downto 0),
S00_AXI_arprot(2 downto 0) => processing_system7_0_M_AXI_GP0_ARPROT(2 downto 0),
S00_AXI_arqos(3 downto 0) => processing_system7_0_M_AXI_GP0_ARQOS(3 downto 0),
S00_AXI_arready => processing_system7_0_M_AXI_GP0_ARREADY,
S00_AXI_arsize(2 downto 0) => processing_system7_0_M_AXI_GP0_ARSIZE(2 downto 0),
S00_AXI_arvalid => processing_system7_0_M_AXI_GP0_ARVALID,
S00_AXI_awaddr(31 downto 0) => processing_system7_0_M_AXI_GP0_AWADDR(31 downto 0),
S00_AXI_awburst(1 downto 0) => processing_system7_0_M_AXI_GP0_AWBURST(1 downto 0),
S00_AXI_awcache(3 downto 0) => processing_system7_0_M_AXI_GP0_AWCACHE(3 downto 0),
S00_AXI_awid(11 downto 0) => processing_system7_0_M_AXI_GP0_AWID(11 downto 0),
S00_AXI_awlen(3 downto 0) => processing_system7_0_M_AXI_GP0_AWLEN(3 downto 0),
S00_AXI_awlock(1 downto 0) => processing_system7_0_M_AXI_GP0_AWLOCK(1 downto 0),
S00_AXI_awprot(2 downto 0) => processing_system7_0_M_AXI_GP0_AWPROT(2 downto 0),
S00_AXI_awqos(3 downto 0) => processing_system7_0_M_AXI_GP0_AWQOS(3 downto 0),
S00_AXI_awready => processing_system7_0_M_AXI_GP0_AWREADY,
S00_AXI_awsize(2 downto 0) => processing_system7_0_M_AXI_GP0_AWSIZE(2 downto 0),
S00_AXI_awvalid => processing_system7_0_M_AXI_GP0_AWVALID,
S00_AXI_bid(11 downto 0) => processing_system7_0_M_AXI_GP0_BID(11 downto 0),
S00_AXI_bready => processing_system7_0_M_AXI_GP0_BREADY,
S00_AXI_bresp(1 downto 0) => processing_system7_0_M_AXI_GP0_BRESP(1 downto 0),
S00_AXI_bvalid => processing_system7_0_M_AXI_GP0_BVALID,
S00_AXI_rdata(31 downto 0) => processing_system7_0_M_AXI_GP0_RDATA(31 downto 0),
S00_AXI_rid(11 downto 0) => processing_system7_0_M_AXI_GP0_RID(11 downto 0),
S00_AXI_rlast => processing_system7_0_M_AXI_GP0_RLAST,
S00_AXI_rready => processing_system7_0_M_AXI_GP0_RREADY,
S00_AXI_rresp(1 downto 0) => processing_system7_0_M_AXI_GP0_RRESP(1 downto 0),
S00_AXI_rvalid => processing_system7_0_M_AXI_GP0_RVALID,
S00_AXI_wdata(31 downto 0) => processing_system7_0_M_AXI_GP0_WDATA(31 downto 0),
S00_AXI_wid(11 downto 0) => processing_system7_0_M_AXI_GP0_WID(11 downto 0),
S00_AXI_wlast => processing_system7_0_M_AXI_GP0_WLAST,
S00_AXI_wready => processing_system7_0_M_AXI_GP0_WREADY,
S00_AXI_wstrb(3 downto 0) => processing_system7_0_M_AXI_GP0_WSTRB(3 downto 0),
S00_AXI_wvalid => processing_system7_0_M_AXI_GP0_WVALID
);
rst_ps7_0_50M: component base_zynq_rst_ps7_0_50M_0
port map (
aux_reset_in => '1',
bus_struct_reset(0) => NLW_rst_ps7_0_50M_bus_struct_reset_UNCONNECTED(0),
dcm_locked => '1',
ext_reset_in => processing_system7_0_FCLK_RESET0_N,
interconnect_aresetn(0) => rst_ps7_0_50M_interconnect_aresetn(0),
mb_debug_sys_rst => '0',
mb_reset => NLW_rst_ps7_0_50M_mb_reset_UNCONNECTED,
peripheral_aresetn(0) => rst_ps7_0_50M_peripheral_aresetn(0),
peripheral_reset(0) => NLW_rst_ps7_0_50M_peripheral_reset_UNCONNECTED(0),
slowest_sync_clk => processing_system7_0_FCLK_CLK0
);
end STRUCTURE;
| mit | cb2bddbc6331d56a6342a70398de76cf | 0.668191 | 2.748597 | false | false | false | false |
besm6/micro-besm | tests/2910/vhdl/funct_block_alg_beh/components/upc/test_vectors_upc.vhdl | 1 | 5,610 | --------------------------------------------------------------------------------
--
-- AMD 2910 Benchmark (Functional blocks) (Algorithmic Behaviour of Funct blocks)
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Feb 19, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept17, 92 ZYCAD
--------------------------------------------------------------------------------
--library ZYCAD;
use work.types.all;
use work.MVL7_functions.all;
use work.synthesis_types.all;
entity E is
end;
architecture AA of E is
component cupc
port (
clk : in clock;
CI : in MVL7;
clear : in MVL7;
Y_temp : in MVL7_VECTOR(11 downto 0);
uPC : inout MVL7_VECTOR(11 downto 0)
);
end component;
signal clk : clock;
signal CI : MVL7;
signal clear : MVL7;
signal Y_temp : MVL7_VECTOR(11 downto 0);
signal uPC : MVL7_VECTOR(11 downto 0);
for all : cupc use entity work.upc(upc);
begin
CUPC1 : cupc port map(
clk,
CI,
clear,
Y_temp,
uPC
);
process
begin
----------------------
clk <= '0';
wait for 1 ns;
CI <= '0';
clear <= '0';
Y_temp <= "000000000000";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000000000")
report
"Assert 0 : < uPC = 000000000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '0';
clear <= '0';
Y_temp <= "111111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "111111111111")
report
"Assert 1 : < uPC = 111111111111 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '0';
clear <= '1';
Y_temp <= "111111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000000000")
report
"Assert 2 : < uPC = 000000000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000000000";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000000001")
report
"Assert 3 : < uPC = 000000000001 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000000001";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000000010")
report
"Assert 4 : < uPC = 000000000010 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000000011";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000000100")
report
"Assert 5 : < uPC = 000000000100 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000000111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000001000")
report
"Assert 6 : < uPC = 000000001000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000001111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000010000")
report
"Assert 7 : < uPC = 000000010000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000011111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000100000")
report
"Assert 8 : < uPC = 000000100000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000001000000")
report
"Assert 9 : < uPC = 000001000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000001111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000010000000")
report
"Assert 10 : < uPC = 000010000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000011111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000100000000")
report
"Assert 11 : < uPC = 000100000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "001000000000")
report
"Assert 12 : < uPC = 001000000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "001111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "010000000000")
report
"Assert 13 : < uPC = 010000000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "011111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "100000000000")
report
"Assert 14 : < uPC = 100000000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "111111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000000000")
report
"Assert 15 : < uPC = 000000000000 >"
severity warning;
wait for 1 ns;
----------------------
end process;
end AA;
| mit | 4137ff1184afd9dd9ef076d906534264 | 0.523173 | 2.946429 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.srcs/sources_1/bd/gcd_zynq_snick/ip/gcd_zynq_snick_processing_system7_0_0/gcd_zynq_snick_processing_system7_0_0_sim_netlist.vhdl | 1 | 207,390 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Tue Sep 17 19:44:46 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim
-- d:/github/Digital-Hardware-Modelling/xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.srcs/sources_1/bd/gcd_zynq_snick/ip/gcd_zynq_snick_processing_system7_0_0/gcd_zynq_snick_processing_system7_0_0_sim_netlist.vhdl
-- Design : gcd_zynq_snick_processing_system7_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg400-3
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 is
port (
CAN0_PHY_TX : out STD_LOGIC;
CAN0_PHY_RX : in STD_LOGIC;
CAN1_PHY_TX : out STD_LOGIC;
CAN1_PHY_RX : in STD_LOGIC;
ENET0_GMII_TX_EN : out STD_LOGIC;
ENET0_GMII_TX_ER : out STD_LOGIC;
ENET0_MDIO_MDC : out STD_LOGIC;
ENET0_MDIO_O : out STD_LOGIC;
ENET0_MDIO_T : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET0_SOF_RX : out STD_LOGIC;
ENET0_SOF_TX : out STD_LOGIC;
ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET0_GMII_COL : in STD_LOGIC;
ENET0_GMII_CRS : in STD_LOGIC;
ENET0_GMII_RX_CLK : in STD_LOGIC;
ENET0_GMII_RX_DV : in STD_LOGIC;
ENET0_GMII_RX_ER : in STD_LOGIC;
ENET0_GMII_TX_CLK : in STD_LOGIC;
ENET0_MDIO_I : in STD_LOGIC;
ENET0_EXT_INTIN : in STD_LOGIC;
ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_TX_EN : out STD_LOGIC;
ENET1_GMII_TX_ER : out STD_LOGIC;
ENET1_MDIO_MDC : out STD_LOGIC;
ENET1_MDIO_O : out STD_LOGIC;
ENET1_MDIO_T : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET1_SOF_RX : out STD_LOGIC;
ENET1_SOF_TX : out STD_LOGIC;
ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_COL : in STD_LOGIC;
ENET1_GMII_CRS : in STD_LOGIC;
ENET1_GMII_RX_CLK : in STD_LOGIC;
ENET1_GMII_RX_DV : in STD_LOGIC;
ENET1_GMII_RX_ER : in STD_LOGIC;
ENET1_GMII_TX_CLK : in STD_LOGIC;
ENET1_MDIO_I : in STD_LOGIC;
ENET1_EXT_INTIN : in STD_LOGIC;
ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
I2C0_SDA_I : in STD_LOGIC;
I2C0_SDA_O : out STD_LOGIC;
I2C0_SDA_T : out STD_LOGIC;
I2C0_SCL_I : in STD_LOGIC;
I2C0_SCL_O : out STD_LOGIC;
I2C0_SCL_T : out STD_LOGIC;
I2C1_SDA_I : in STD_LOGIC;
I2C1_SDA_O : out STD_LOGIC;
I2C1_SDA_T : out STD_LOGIC;
I2C1_SCL_I : in STD_LOGIC;
I2C1_SCL_O : out STD_LOGIC;
I2C1_SCL_T : out STD_LOGIC;
PJTAG_TCK : in STD_LOGIC;
PJTAG_TMS : in STD_LOGIC;
PJTAG_TDI : in STD_LOGIC;
PJTAG_TDO : out STD_LOGIC;
SDIO0_CLK : out STD_LOGIC;
SDIO0_CLK_FB : in STD_LOGIC;
SDIO0_CMD_O : out STD_LOGIC;
SDIO0_CMD_I : in STD_LOGIC;
SDIO0_CMD_T : out STD_LOGIC;
SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_LED : out STD_LOGIC;
SDIO0_CDN : in STD_LOGIC;
SDIO0_WP : in STD_LOGIC;
SDIO0_BUSPOW : out STD_LOGIC;
SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SDIO1_CLK : out STD_LOGIC;
SDIO1_CLK_FB : in STD_LOGIC;
SDIO1_CMD_O : out STD_LOGIC;
SDIO1_CMD_I : in STD_LOGIC;
SDIO1_CMD_T : out STD_LOGIC;
SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_LED : out STD_LOGIC;
SDIO1_CDN : in STD_LOGIC;
SDIO1_WP : in STD_LOGIC;
SDIO1_BUSPOW : out STD_LOGIC;
SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SPI0_SCLK_I : in STD_LOGIC;
SPI0_SCLK_O : out STD_LOGIC;
SPI0_SCLK_T : out STD_LOGIC;
SPI0_MOSI_I : in STD_LOGIC;
SPI0_MOSI_O : out STD_LOGIC;
SPI0_MOSI_T : out STD_LOGIC;
SPI0_MISO_I : in STD_LOGIC;
SPI0_MISO_O : out STD_LOGIC;
SPI0_MISO_T : out STD_LOGIC;
SPI0_SS_I : in STD_LOGIC;
SPI0_SS_O : out STD_LOGIC;
SPI0_SS1_O : out STD_LOGIC;
SPI0_SS2_O : out STD_LOGIC;
SPI0_SS_T : out STD_LOGIC;
SPI1_SCLK_I : in STD_LOGIC;
SPI1_SCLK_O : out STD_LOGIC;
SPI1_SCLK_T : out STD_LOGIC;
SPI1_MOSI_I : in STD_LOGIC;
SPI1_MOSI_O : out STD_LOGIC;
SPI1_MOSI_T : out STD_LOGIC;
SPI1_MISO_I : in STD_LOGIC;
SPI1_MISO_O : out STD_LOGIC;
SPI1_MISO_T : out STD_LOGIC;
SPI1_SS_I : in STD_LOGIC;
SPI1_SS_O : out STD_LOGIC;
SPI1_SS1_O : out STD_LOGIC;
SPI1_SS2_O : out STD_LOGIC;
SPI1_SS_T : out STD_LOGIC;
UART0_DTRN : out STD_LOGIC;
UART0_RTSN : out STD_LOGIC;
UART0_TX : out STD_LOGIC;
UART0_CTSN : in STD_LOGIC;
UART0_DCDN : in STD_LOGIC;
UART0_DSRN : in STD_LOGIC;
UART0_RIN : in STD_LOGIC;
UART0_RX : in STD_LOGIC;
UART1_DTRN : out STD_LOGIC;
UART1_RTSN : out STD_LOGIC;
UART1_TX : out STD_LOGIC;
UART1_CTSN : in STD_LOGIC;
UART1_DCDN : in STD_LOGIC;
UART1_DSRN : in STD_LOGIC;
UART1_RIN : in STD_LOGIC;
UART1_RX : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
TTC0_CLK0_IN : in STD_LOGIC;
TTC0_CLK1_IN : in STD_LOGIC;
TTC0_CLK2_IN : in STD_LOGIC;
TTC1_WAVE0_OUT : out STD_LOGIC;
TTC1_WAVE1_OUT : out STD_LOGIC;
TTC1_WAVE2_OUT : out STD_LOGIC;
TTC1_CLK0_IN : in STD_LOGIC;
TTC1_CLK1_IN : in STD_LOGIC;
TTC1_CLK2_IN : in STD_LOGIC;
WDT_CLK_IN : in STD_LOGIC;
WDT_RST_OUT : out STD_LOGIC;
TRACE_CLK : in STD_LOGIC;
TRACE_CTL : out STD_LOGIC;
TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 );
TRACE_CLK_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB1_VBUS_PWRSELECT : out STD_LOGIC;
USB1_VBUS_PWRFAULT : in STD_LOGIC;
SRAM_INTIN : in STD_LOGIC;
M_AXI_GP0_ARESETN : out STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARESETN : out STD_LOGIC;
M_AXI_GP1_ARVALID : out STD_LOGIC;
M_AXI_GP1_AWVALID : out STD_LOGIC;
M_AXI_GP1_BREADY : out STD_LOGIC;
M_AXI_GP1_RREADY : out STD_LOGIC;
M_AXI_GP1_WLAST : out STD_LOGIC;
M_AXI_GP1_WVALID : out STD_LOGIC;
M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ACLK : in STD_LOGIC;
M_AXI_GP1_ARREADY : in STD_LOGIC;
M_AXI_GP1_AWREADY : in STD_LOGIC;
M_AXI_GP1_BVALID : in STD_LOGIC;
M_AXI_GP1_RLAST : in STD_LOGIC;
M_AXI_GP1_RVALID : in STD_LOGIC;
M_AXI_GP1_WREADY : in STD_LOGIC;
M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARESETN : out STD_LOGIC;
S_AXI_GP0_ARREADY : out STD_LOGIC;
S_AXI_GP0_AWREADY : out STD_LOGIC;
S_AXI_GP0_BVALID : out STD_LOGIC;
S_AXI_GP0_RLAST : out STD_LOGIC;
S_AXI_GP0_RVALID : out STD_LOGIC;
S_AXI_GP0_WREADY : out STD_LOGIC;
S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_ACLK : in STD_LOGIC;
S_AXI_GP0_ARVALID : in STD_LOGIC;
S_AXI_GP0_AWVALID : in STD_LOGIC;
S_AXI_GP0_BREADY : in STD_LOGIC;
S_AXI_GP0_RREADY : in STD_LOGIC;
S_AXI_GP0_WLAST : in STD_LOGIC;
S_AXI_GP0_WVALID : in STD_LOGIC;
S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ARESETN : out STD_LOGIC;
S_AXI_GP1_ARREADY : out STD_LOGIC;
S_AXI_GP1_AWREADY : out STD_LOGIC;
S_AXI_GP1_BVALID : out STD_LOGIC;
S_AXI_GP1_RLAST : out STD_LOGIC;
S_AXI_GP1_RVALID : out STD_LOGIC;
S_AXI_GP1_WREADY : out STD_LOGIC;
S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ACLK : in STD_LOGIC;
S_AXI_GP1_ARVALID : in STD_LOGIC;
S_AXI_GP1_AWVALID : in STD_LOGIC;
S_AXI_GP1_BREADY : in STD_LOGIC;
S_AXI_GP1_RREADY : in STD_LOGIC;
S_AXI_GP1_WLAST : in STD_LOGIC;
S_AXI_GP1_WVALID : in STD_LOGIC;
S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_ACP_ARESETN : out STD_LOGIC;
S_AXI_ACP_ARREADY : out STD_LOGIC;
S_AXI_ACP_AWREADY : out STD_LOGIC;
S_AXI_ACP_BVALID : out STD_LOGIC;
S_AXI_ACP_RLAST : out STD_LOGIC;
S_AXI_ACP_RVALID : out STD_LOGIC;
S_AXI_ACP_WREADY : out STD_LOGIC;
S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_ACLK : in STD_LOGIC;
S_AXI_ACP_ARVALID : in STD_LOGIC;
S_AXI_ACP_AWVALID : in STD_LOGIC;
S_AXI_ACP_BREADY : in STD_LOGIC;
S_AXI_ACP_RREADY : in STD_LOGIC;
S_AXI_ACP_WLAST : in STD_LOGIC;
S_AXI_ACP_WVALID : in STD_LOGIC;
S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_ARESETN : out STD_LOGIC;
S_AXI_HP0_ARREADY : out STD_LOGIC;
S_AXI_HP0_AWREADY : out STD_LOGIC;
S_AXI_HP0_BVALID : out STD_LOGIC;
S_AXI_HP0_RLAST : out STD_LOGIC;
S_AXI_HP0_RVALID : out STD_LOGIC;
S_AXI_HP0_WREADY : out STD_LOGIC;
S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_ACLK : in STD_LOGIC;
S_AXI_HP0_ARVALID : in STD_LOGIC;
S_AXI_HP0_AWVALID : in STD_LOGIC;
S_AXI_HP0_BREADY : in STD_LOGIC;
S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_RREADY : in STD_LOGIC;
S_AXI_HP0_WLAST : in STD_LOGIC;
S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_WVALID : in STD_LOGIC;
S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_ARESETN : out STD_LOGIC;
S_AXI_HP1_ARREADY : out STD_LOGIC;
S_AXI_HP1_AWREADY : out STD_LOGIC;
S_AXI_HP1_BVALID : out STD_LOGIC;
S_AXI_HP1_RLAST : out STD_LOGIC;
S_AXI_HP1_RVALID : out STD_LOGIC;
S_AXI_HP1_WREADY : out STD_LOGIC;
S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_ACLK : in STD_LOGIC;
S_AXI_HP1_ARVALID : in STD_LOGIC;
S_AXI_HP1_AWVALID : in STD_LOGIC;
S_AXI_HP1_BREADY : in STD_LOGIC;
S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_RREADY : in STD_LOGIC;
S_AXI_HP1_WLAST : in STD_LOGIC;
S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_WVALID : in STD_LOGIC;
S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_ARESETN : out STD_LOGIC;
S_AXI_HP2_ARREADY : out STD_LOGIC;
S_AXI_HP2_AWREADY : out STD_LOGIC;
S_AXI_HP2_BVALID : out STD_LOGIC;
S_AXI_HP2_RLAST : out STD_LOGIC;
S_AXI_HP2_RVALID : out STD_LOGIC;
S_AXI_HP2_WREADY : out STD_LOGIC;
S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_ACLK : in STD_LOGIC;
S_AXI_HP2_ARVALID : in STD_LOGIC;
S_AXI_HP2_AWVALID : in STD_LOGIC;
S_AXI_HP2_BREADY : in STD_LOGIC;
S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_RREADY : in STD_LOGIC;
S_AXI_HP2_WLAST : in STD_LOGIC;
S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_WVALID : in STD_LOGIC;
S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_ARESETN : out STD_LOGIC;
S_AXI_HP3_ARREADY : out STD_LOGIC;
S_AXI_HP3_AWREADY : out STD_LOGIC;
S_AXI_HP3_BVALID : out STD_LOGIC;
S_AXI_HP3_RLAST : out STD_LOGIC;
S_AXI_HP3_RVALID : out STD_LOGIC;
S_AXI_HP3_WREADY : out STD_LOGIC;
S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_ACLK : in STD_LOGIC;
S_AXI_HP3_ARVALID : in STD_LOGIC;
S_AXI_HP3_AWVALID : in STD_LOGIC;
S_AXI_HP3_BREADY : in STD_LOGIC;
S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_RREADY : in STD_LOGIC;
S_AXI_HP3_WLAST : in STD_LOGIC;
S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_WVALID : in STD_LOGIC;
S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
IRQ_P2F_DMAC_ABORT : out STD_LOGIC;
IRQ_P2F_DMAC0 : out STD_LOGIC;
IRQ_P2F_DMAC1 : out STD_LOGIC;
IRQ_P2F_DMAC2 : out STD_LOGIC;
IRQ_P2F_DMAC3 : out STD_LOGIC;
IRQ_P2F_DMAC4 : out STD_LOGIC;
IRQ_P2F_DMAC5 : out STD_LOGIC;
IRQ_P2F_DMAC6 : out STD_LOGIC;
IRQ_P2F_DMAC7 : out STD_LOGIC;
IRQ_P2F_SMC : out STD_LOGIC;
IRQ_P2F_QSPI : out STD_LOGIC;
IRQ_P2F_CTI : out STD_LOGIC;
IRQ_P2F_GPIO : out STD_LOGIC;
IRQ_P2F_USB0 : out STD_LOGIC;
IRQ_P2F_ENET0 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE0 : out STD_LOGIC;
IRQ_P2F_SDIO0 : out STD_LOGIC;
IRQ_P2F_I2C0 : out STD_LOGIC;
IRQ_P2F_SPI0 : out STD_LOGIC;
IRQ_P2F_UART0 : out STD_LOGIC;
IRQ_P2F_CAN0 : out STD_LOGIC;
IRQ_P2F_USB1 : out STD_LOGIC;
IRQ_P2F_ENET1 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE1 : out STD_LOGIC;
IRQ_P2F_SDIO1 : out STD_LOGIC;
IRQ_P2F_I2C1 : out STD_LOGIC;
IRQ_P2F_SPI1 : out STD_LOGIC;
IRQ_P2F_UART1 : out STD_LOGIC;
IRQ_P2F_CAN1 : out STD_LOGIC;
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
Core0_nFIQ : in STD_LOGIC;
Core0_nIRQ : in STD_LOGIC;
Core1_nFIQ : in STD_LOGIC;
Core1_nIRQ : in STD_LOGIC;
DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA0_DAVALID : out STD_LOGIC;
DMA0_DRREADY : out STD_LOGIC;
DMA0_RSTN : out STD_LOGIC;
DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DAVALID : out STD_LOGIC;
DMA1_DRREADY : out STD_LOGIC;
DMA1_RSTN : out STD_LOGIC;
DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DAVALID : out STD_LOGIC;
DMA2_DRREADY : out STD_LOGIC;
DMA2_RSTN : out STD_LOGIC;
DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DAVALID : out STD_LOGIC;
DMA3_DRREADY : out STD_LOGIC;
DMA3_RSTN : out STD_LOGIC;
DMA0_ACLK : in STD_LOGIC;
DMA0_DAREADY : in STD_LOGIC;
DMA0_DRLAST : in STD_LOGIC;
DMA0_DRVALID : in STD_LOGIC;
DMA1_ACLK : in STD_LOGIC;
DMA1_DAREADY : in STD_LOGIC;
DMA1_DRLAST : in STD_LOGIC;
DMA1_DRVALID : in STD_LOGIC;
DMA2_ACLK : in STD_LOGIC;
DMA2_DAREADY : in STD_LOGIC;
DMA2_DRLAST : in STD_LOGIC;
DMA2_DRVALID : in STD_LOGIC;
DMA3_ACLK : in STD_LOGIC;
DMA3_DAREADY : in STD_LOGIC;
DMA3_DRLAST : in STD_LOGIC;
DMA3_DRVALID : in STD_LOGIC;
DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
FCLK_CLK3 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLKTRIG3_N : in STD_LOGIC;
FCLK_CLKTRIG2_N : in STD_LOGIC;
FCLK_CLKTRIG1_N : in STD_LOGIC;
FCLK_CLKTRIG0_N : in STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMD_TRACEIN_VALID : in STD_LOGIC;
FTMD_TRACEIN_CLK : in STD_LOGIC;
FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 );
FTMT_F2P_TRIG_0 : in STD_LOGIC;
FTMT_F2P_TRIGACK_0 : out STD_LOGIC;
FTMT_F2P_TRIG_1 : in STD_LOGIC;
FTMT_F2P_TRIGACK_1 : out STD_LOGIC;
FTMT_F2P_TRIG_2 : in STD_LOGIC;
FTMT_F2P_TRIGACK_2 : out STD_LOGIC;
FTMT_F2P_TRIG_3 : in STD_LOGIC;
FTMT_F2P_TRIGACK_3 : out STD_LOGIC;
FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMT_P2F_TRIGACK_0 : in STD_LOGIC;
FTMT_P2F_TRIG_0 : out STD_LOGIC;
FTMT_P2F_TRIGACK_1 : in STD_LOGIC;
FTMT_P2F_TRIG_1 : out STD_LOGIC;
FTMT_P2F_TRIGACK_2 : in STD_LOGIC;
FTMT_P2F_TRIG_2 : out STD_LOGIC;
FTMT_P2F_TRIGACK_3 : in STD_LOGIC;
FTMT_P2F_TRIG_3 : out STD_LOGIC;
FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 );
FPGA_IDLE_N : in STD_LOGIC;
EVENT_EVENTO : out STD_LOGIC;
EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_EVENTI : in STD_LOGIC;
DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 );
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "gcd_zynq_snick_processing_system7_0_0.hwdef";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "processing_system7_v5_5_processing_system7";
attribute POWER : string;
attribute POWER of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={867} load={0.5} /><MEMORY name={code} memType={LPDDR2} dataWidth={32} clockFreq={400} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={26} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS18} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={SPI} ioStandard={LVCMOS18} bidis={5} ioBank={Vcco_p1} clockFreq={166.666489} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={49.999947} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p0} clockFreq={99.999893} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p1} clockFreq={99.999893} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1733.332} /><PLL domain={Memory} vco={1599.998} /><PLL domain={IO} vco={1999.998} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={49} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
end gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7;
architecture STRUCTURE of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal ENET0_MDIO_T_n : STD_LOGIC;
signal ENET1_MDIO_T_n : STD_LOGIC;
signal FCLK_CLK_unbuffered : STD_LOGIC_VECTOR ( 0 to 0 );
signal I2C0_SCL_T_n : STD_LOGIC;
signal I2C0_SDA_T_n : STD_LOGIC;
signal I2C1_SCL_T_n : STD_LOGIC;
signal I2C1_SDA_T_n : STD_LOGIC;
signal \^m_axi_gp0_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp0_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal SDIO0_CMD_T_n : STD_LOGIC;
signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SDIO1_CMD_T_n : STD_LOGIC;
signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SPI0_MISO_T_n : STD_LOGIC;
signal SPI0_MOSI_T_n : STD_LOGIC;
signal SPI0_SCLK_T_n : STD_LOGIC;
signal SPI0_SS_T_n : STD_LOGIC;
signal SPI1_MISO_T_n : STD_LOGIC;
signal SPI1_MOSI_T_n : STD_LOGIC;
signal SPI1_SCLK_T_n : STD_LOGIC;
signal SPI1_SS_T_n : STD_LOGIC;
signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true";
signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true";
signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true";
signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true";
signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true";
signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true";
signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true";
signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true";
signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true";
signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true";
signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true";
signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true";
signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true";
signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true";
signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true";
signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true";
signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 );
signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 );
signal buffered_DDR_CAS_n : STD_LOGIC;
signal buffered_DDR_CKE : STD_LOGIC;
signal buffered_DDR_CS_n : STD_LOGIC;
signal buffered_DDR_Clk : STD_LOGIC;
signal buffered_DDR_Clk_n : STD_LOGIC;
signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DRSTB : STD_LOGIC;
signal buffered_DDR_ODT : STD_LOGIC;
signal buffered_DDR_RAS_n : STD_LOGIC;
signal buffered_DDR_VRN : STD_LOGIC;
signal buffered_DDR_VRP : STD_LOGIC;
signal buffered_DDR_WEB : STD_LOGIC;
signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 );
signal buffered_PS_CLK : STD_LOGIC;
signal buffered_PS_PORB : STD_LOGIC;
signal buffered_PS_SRSTB : STD_LOGIC;
signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS7_i : label is "PRIMITIVE";
attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
begin
ENET0_GMII_TXD(7) <= \<const0>\;
ENET0_GMII_TXD(6) <= \<const0>\;
ENET0_GMII_TXD(5) <= \<const0>\;
ENET0_GMII_TXD(4) <= \<const0>\;
ENET0_GMII_TXD(3) <= \<const0>\;
ENET0_GMII_TXD(2) <= \<const0>\;
ENET0_GMII_TXD(1) <= \<const0>\;
ENET0_GMII_TXD(0) <= \<const0>\;
ENET0_GMII_TX_EN <= \<const0>\;
ENET0_GMII_TX_ER <= \<const0>\;
ENET1_GMII_TXD(7) <= \<const0>\;
ENET1_GMII_TXD(6) <= \<const0>\;
ENET1_GMII_TXD(5) <= \<const0>\;
ENET1_GMII_TXD(4) <= \<const0>\;
ENET1_GMII_TXD(3) <= \<const0>\;
ENET1_GMII_TXD(2) <= \<const0>\;
ENET1_GMII_TXD(1) <= \<const0>\;
ENET1_GMII_TXD(0) <= \<const0>\;
ENET1_GMII_TX_EN <= \<const0>\;
ENET1_GMII_TX_ER <= \<const0>\;
M_AXI_GP0_ARCACHE(3 downto 2) <= \^m_axi_gp0_arcache\(3 downto 2);
M_AXI_GP0_ARCACHE(1) <= \<const1>\;
M_AXI_GP0_ARCACHE(0) <= \^m_axi_gp0_arcache\(0);
M_AXI_GP0_ARSIZE(2) <= \<const0>\;
M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0);
M_AXI_GP0_AWCACHE(3 downto 2) <= \^m_axi_gp0_awcache\(3 downto 2);
M_AXI_GP0_AWCACHE(1) <= \<const1>\;
M_AXI_GP0_AWCACHE(0) <= \^m_axi_gp0_awcache\(0);
M_AXI_GP0_AWSIZE(2) <= \<const0>\;
M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0);
M_AXI_GP1_ARCACHE(3 downto 2) <= \^m_axi_gp1_arcache\(3 downto 2);
M_AXI_GP1_ARCACHE(1) <= \<const1>\;
M_AXI_GP1_ARCACHE(0) <= \^m_axi_gp1_arcache\(0);
M_AXI_GP1_ARSIZE(2) <= \<const0>\;
M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0);
M_AXI_GP1_AWCACHE(3 downto 2) <= \^m_axi_gp1_awcache\(3 downto 2);
M_AXI_GP1_AWCACHE(1) <= \<const1>\;
M_AXI_GP1_AWCACHE(0) <= \^m_axi_gp1_awcache\(0);
M_AXI_GP1_AWSIZE(2) <= \<const0>\;
M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0);
PJTAG_TDO <= \<const0>\;
TRACE_CLK_OUT <= \<const0>\;
TRACE_CTL <= \TRACE_CTL_PIPE[0]\;
TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0);
DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CAS_n,
PAD => DDR_CAS_n
);
DDR_CKE_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CKE,
PAD => DDR_CKE
);
DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CS_n,
PAD => DDR_CS_n
);
DDR_Clk_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk,
PAD => DDR_Clk
);
DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk_n,
PAD => DDR_Clk_n
);
DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DRSTB,
PAD => DDR_DRSTB
);
DDR_ODT_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_ODT,
PAD => DDR_ODT
);
DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_RAS_n,
PAD => DDR_RAS_n
);
DDR_VRN_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRN,
PAD => DDR_VRN
);
DDR_VRP_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRP,
PAD => DDR_VRP
);
DDR_WEB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_WEB,
PAD => DDR_WEB
);
ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET0_MDIO_T_n,
O => ENET0_MDIO_T
);
ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET1_MDIO_T_n,
O => ENET1_MDIO_T
);
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(0),
O => GPIO_T(0)
);
\GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(10),
O => GPIO_T(10)
);
\GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(11),
O => GPIO_T(11)
);
\GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(12),
O => GPIO_T(12)
);
\GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(13),
O => GPIO_T(13)
);
\GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(14),
O => GPIO_T(14)
);
\GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(15),
O => GPIO_T(15)
);
\GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(16),
O => GPIO_T(16)
);
\GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(17),
O => GPIO_T(17)
);
\GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(18),
O => GPIO_T(18)
);
\GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(19),
O => GPIO_T(19)
);
\GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(1),
O => GPIO_T(1)
);
\GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(20),
O => GPIO_T(20)
);
\GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(21),
O => GPIO_T(21)
);
\GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(22),
O => GPIO_T(22)
);
\GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(23),
O => GPIO_T(23)
);
\GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(24),
O => GPIO_T(24)
);
\GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(25),
O => GPIO_T(25)
);
\GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(26),
O => GPIO_T(26)
);
\GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(27),
O => GPIO_T(27)
);
\GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(28),
O => GPIO_T(28)
);
\GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(29),
O => GPIO_T(29)
);
\GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(2),
O => GPIO_T(2)
);
\GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(30),
O => GPIO_T(30)
);
\GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(31),
O => GPIO_T(31)
);
\GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(32),
O => GPIO_T(32)
);
\GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(33),
O => GPIO_T(33)
);
\GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(34),
O => GPIO_T(34)
);
\GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(35),
O => GPIO_T(35)
);
\GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(36),
O => GPIO_T(36)
);
\GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(37),
O => GPIO_T(37)
);
\GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(38),
O => GPIO_T(38)
);
\GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(39),
O => GPIO_T(39)
);
\GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(3),
O => GPIO_T(3)
);
\GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(40),
O => GPIO_T(40)
);
\GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(41),
O => GPIO_T(41)
);
\GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(42),
O => GPIO_T(42)
);
\GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(43),
O => GPIO_T(43)
);
\GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(44),
O => GPIO_T(44)
);
\GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(45),
O => GPIO_T(45)
);
\GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(46),
O => GPIO_T(46)
);
\GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(47),
O => GPIO_T(47)
);
\GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(48),
O => GPIO_T(48)
);
\GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(49),
O => GPIO_T(49)
);
\GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(4),
O => GPIO_T(4)
);
\GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(50),
O => GPIO_T(50)
);
\GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(51),
O => GPIO_T(51)
);
\GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(52),
O => GPIO_T(52)
);
\GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(53),
O => GPIO_T(53)
);
\GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(54),
O => GPIO_T(54)
);
\GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(55),
O => GPIO_T(55)
);
\GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(56),
O => GPIO_T(56)
);
\GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(57),
O => GPIO_T(57)
);
\GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(58),
O => GPIO_T(58)
);
\GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(59),
O => GPIO_T(59)
);
\GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(5),
O => GPIO_T(5)
);
\GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(60),
O => GPIO_T(60)
);
\GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(61),
O => GPIO_T(61)
);
\GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(62),
O => GPIO_T(62)
);
\GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(63),
O => GPIO_T(63)
);
\GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(6),
O => GPIO_T(6)
);
\GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(7),
O => GPIO_T(7)
);
\GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(8),
O => GPIO_T(8)
);
\GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(9),
O => GPIO_T(9)
);
I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SCL_T_n,
O => I2C0_SCL_T
);
I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SDA_T_n,
O => I2C0_SDA_T
);
I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SCL_T_n,
O => I2C1_SCL_T
);
I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SDA_T_n,
O => I2C1_SDA_T
);
PS7_i: unisim.vcomponents.PS7
port map (
DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0),
DDRARB(3 downto 0) => DDR_ARB(3 downto 0),
DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0),
DDRCASB => buffered_DDR_CAS_n,
DDRCKE => buffered_DDR_CKE,
DDRCKN => buffered_DDR_Clk_n,
DDRCKP => buffered_DDR_Clk,
DDRCSB => buffered_DDR_CS_n,
DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0),
DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0),
DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0),
DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0),
DDRDRSTB => buffered_DDR_DRSTB,
DDRODT => buffered_DDR_ODT,
DDRRASB => buffered_DDR_RAS_n,
DDRVRN => buffered_DDR_VRN,
DDRVRP => buffered_DDR_VRP,
DDRWEB => buffered_DDR_WEB,
DMA0ACLK => DMA0_ACLK,
DMA0DAREADY => DMA0_DAREADY,
DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0),
DMA0DAVALID => DMA0_DAVALID,
DMA0DRLAST => DMA0_DRLAST,
DMA0DRREADY => DMA0_DRREADY,
DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0),
DMA0DRVALID => DMA0_DRVALID,
DMA0RSTN => DMA0_RSTN,
DMA1ACLK => DMA1_ACLK,
DMA1DAREADY => DMA1_DAREADY,
DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0),
DMA1DAVALID => DMA1_DAVALID,
DMA1DRLAST => DMA1_DRLAST,
DMA1DRREADY => DMA1_DRREADY,
DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0),
DMA1DRVALID => DMA1_DRVALID,
DMA1RSTN => DMA1_RSTN,
DMA2ACLK => DMA2_ACLK,
DMA2DAREADY => DMA2_DAREADY,
DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0),
DMA2DAVALID => DMA2_DAVALID,
DMA2DRLAST => DMA2_DRLAST,
DMA2DRREADY => DMA2_DRREADY,
DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0),
DMA2DRVALID => DMA2_DRVALID,
DMA2RSTN => DMA2_RSTN,
DMA3ACLK => DMA3_ACLK,
DMA3DAREADY => DMA3_DAREADY,
DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0),
DMA3DAVALID => DMA3_DAVALID,
DMA3DRLAST => DMA3_DRLAST,
DMA3DRREADY => DMA3_DRREADY,
DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0),
DMA3DRVALID => DMA3_DRVALID,
DMA3RSTN => DMA3_RSTN,
EMIOCAN0PHYRX => CAN0_PHY_RX,
EMIOCAN0PHYTX => CAN0_PHY_TX,
EMIOCAN1PHYRX => CAN1_PHY_RX,
EMIOCAN1PHYTX => CAN1_PHY_TX,
EMIOENET0EXTINTIN => ENET0_EXT_INTIN,
EMIOENET0GMIICOL => '0',
EMIOENET0GMIICRS => '0',
EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK,
EMIOENET0GMIIRXD(7 downto 0) => B"00000000",
EMIOENET0GMIIRXDV => '0',
EMIOENET0GMIIRXER => '0',
EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK,
EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED,
EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED,
EMIOENET0MDIOI => ENET0_MDIO_I,
EMIOENET0MDIOMDC => ENET0_MDIO_MDC,
EMIOENET0MDIOO => ENET0_MDIO_O,
EMIOENET0MDIOTN => ENET0_MDIO_T_n,
EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX,
EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX,
EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX,
EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX,
EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX,
EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX,
EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX,
EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX,
EMIOENET0SOFRX => ENET0_SOF_RX,
EMIOENET0SOFTX => ENET0_SOF_TX,
EMIOENET1EXTINTIN => ENET1_EXT_INTIN,
EMIOENET1GMIICOL => '0',
EMIOENET1GMIICRS => '0',
EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK,
EMIOENET1GMIIRXD(7 downto 0) => B"00000000",
EMIOENET1GMIIRXDV => '0',
EMIOENET1GMIIRXER => '0',
EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK,
EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED,
EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED,
EMIOENET1MDIOI => ENET1_MDIO_I,
EMIOENET1MDIOMDC => ENET1_MDIO_MDC,
EMIOENET1MDIOO => ENET1_MDIO_O,
EMIOENET1MDIOTN => ENET1_MDIO_T_n,
EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX,
EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX,
EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX,
EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX,
EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX,
EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX,
EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX,
EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX,
EMIOENET1SOFRX => ENET1_SOF_RX,
EMIOENET1SOFTX => ENET1_SOF_TX,
EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0),
EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0),
EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0),
EMIOI2C0SCLI => I2C0_SCL_I,
EMIOI2C0SCLO => I2C0_SCL_O,
EMIOI2C0SCLTN => I2C0_SCL_T_n,
EMIOI2C0SDAI => I2C0_SDA_I,
EMIOI2C0SDAO => I2C0_SDA_O,
EMIOI2C0SDATN => I2C0_SDA_T_n,
EMIOI2C1SCLI => I2C1_SCL_I,
EMIOI2C1SCLO => I2C1_SCL_O,
EMIOI2C1SCLTN => I2C1_SCL_T_n,
EMIOI2C1SDAI => I2C1_SDA_I,
EMIOI2C1SDAO => I2C1_SDA_O,
EMIOI2C1SDATN => I2C1_SDA_T_n,
EMIOPJTAGTCK => PJTAG_TCK,
EMIOPJTAGTDI => PJTAG_TDI,
EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED,
EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED,
EMIOPJTAGTMS => PJTAG_TMS,
EMIOSDIO0BUSPOW => SDIO0_BUSPOW,
EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0),
EMIOSDIO0CDN => SDIO0_CDN,
EMIOSDIO0CLK => SDIO0_CLK,
EMIOSDIO0CLKFB => SDIO0_CLK_FB,
EMIOSDIO0CMDI => SDIO0_CMD_I,
EMIOSDIO0CMDO => SDIO0_CMD_O,
EMIOSDIO0CMDTN => SDIO0_CMD_T_n,
EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0),
EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0),
EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0),
EMIOSDIO0LED => SDIO0_LED,
EMIOSDIO0WP => SDIO0_WP,
EMIOSDIO1BUSPOW => SDIO1_BUSPOW,
EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0),
EMIOSDIO1CDN => SDIO1_CDN,
EMIOSDIO1CLK => SDIO1_CLK,
EMIOSDIO1CLKFB => SDIO1_CLK_FB,
EMIOSDIO1CMDI => SDIO1_CMD_I,
EMIOSDIO1CMDO => SDIO1_CMD_O,
EMIOSDIO1CMDTN => SDIO1_CMD_T_n,
EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0),
EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0),
EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0),
EMIOSDIO1LED => SDIO1_LED,
EMIOSDIO1WP => SDIO1_WP,
EMIOSPI0MI => SPI0_MISO_I,
EMIOSPI0MO => SPI0_MOSI_O,
EMIOSPI0MOTN => SPI0_MOSI_T_n,
EMIOSPI0SCLKI => SPI0_SCLK_I,
EMIOSPI0SCLKO => SPI0_SCLK_O,
EMIOSPI0SCLKTN => SPI0_SCLK_T_n,
EMIOSPI0SI => SPI0_MOSI_I,
EMIOSPI0SO => SPI0_MISO_O,
EMIOSPI0SSIN => SPI0_SS_I,
EMIOSPI0SSNTN => SPI0_SS_T_n,
EMIOSPI0SSON(2) => SPI0_SS2_O,
EMIOSPI0SSON(1) => SPI0_SS1_O,
EMIOSPI0SSON(0) => SPI0_SS_O,
EMIOSPI0STN => SPI0_MISO_T_n,
EMIOSPI1MI => SPI1_MISO_I,
EMIOSPI1MO => SPI1_MOSI_O,
EMIOSPI1MOTN => SPI1_MOSI_T_n,
EMIOSPI1SCLKI => SPI1_SCLK_I,
EMIOSPI1SCLKO => SPI1_SCLK_O,
EMIOSPI1SCLKTN => SPI1_SCLK_T_n,
EMIOSPI1SI => SPI1_MOSI_I,
EMIOSPI1SO => SPI1_MISO_O,
EMIOSPI1SSIN => SPI1_SS_I,
EMIOSPI1SSNTN => SPI1_SS_T_n,
EMIOSPI1SSON(2) => SPI1_SS2_O,
EMIOSPI1SSON(1) => SPI1_SS1_O,
EMIOSPI1SSON(0) => SPI1_SS_O,
EMIOSPI1STN => SPI1_MISO_T_n,
EMIOSRAMINTIN => SRAM_INTIN,
EMIOTRACECLK => TRACE_CLK,
EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED,
EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0),
EMIOTTC0CLKI(2) => TTC0_CLK2_IN,
EMIOTTC0CLKI(1) => TTC0_CLK1_IN,
EMIOTTC0CLKI(0) => TTC0_CLK0_IN,
EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT,
EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT,
EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT,
EMIOTTC1CLKI(2) => TTC1_CLK2_IN,
EMIOTTC1CLKI(1) => TTC1_CLK1_IN,
EMIOTTC1CLKI(0) => TTC1_CLK0_IN,
EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT,
EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT,
EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT,
EMIOUART0CTSN => UART0_CTSN,
EMIOUART0DCDN => UART0_DCDN,
EMIOUART0DSRN => UART0_DSRN,
EMIOUART0DTRN => UART0_DTRN,
EMIOUART0RIN => UART0_RIN,
EMIOUART0RTSN => UART0_RTSN,
EMIOUART0RX => UART0_RX,
EMIOUART0TX => UART0_TX,
EMIOUART1CTSN => UART1_CTSN,
EMIOUART1DCDN => UART1_DCDN,
EMIOUART1DSRN => UART1_DSRN,
EMIOUART1DTRN => UART1_DTRN,
EMIOUART1RIN => UART1_RIN,
EMIOUART1RTSN => UART1_RTSN,
EMIOUART1RX => UART1_RX,
EMIOUART1TX => UART1_TX,
EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0),
EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT,
EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT,
EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0),
EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT,
EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT,
EMIOWDTCLKI => WDT_CLK_IN,
EMIOWDTRSTO => WDT_RST_OUT,
EVENTEVENTI => EVENT_EVENTI,
EVENTEVENTO => EVENT_EVENTO,
EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0),
EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0),
FCLKCLK(3) => FCLK_CLK3,
FCLKCLK(2) => FCLK_CLK2,
FCLKCLK(1) => FCLK_CLK1,
FCLKCLK(0) => FCLK_CLK_unbuffered(0),
FCLKCLKTRIGN(3 downto 0) => B"0000",
FCLKRESETN(3) => FCLK_RESET3_N,
FCLKRESETN(2) => FCLK_RESET2_N,
FCLKRESETN(1) => FCLK_RESET1_N,
FCLKRESETN(0) => FCLK_RESET0_N,
FPGAIDLEN => FPGA_IDLE_N,
FTMDTRACEINATID(3 downto 0) => B"0000",
FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK,
FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000",
FTMDTRACEINVALID => '0',
FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0),
FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3,
FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2,
FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1,
FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0,
FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3,
FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2,
FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1,
FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0,
FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0),
FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3,
FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2,
FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1,
FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0,
FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3,
FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2,
FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1,
FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0,
IRQF2P(19) => Core1_nFIQ,
IRQF2P(18) => Core0_nFIQ,
IRQF2P(17) => Core1_nIRQ,
IRQF2P(16) => Core0_nIRQ,
IRQF2P(15 downto 1) => B"000000000000000",
IRQF2P(0) => IRQ_F2P(0),
IRQP2F(28) => IRQ_P2F_DMAC_ABORT,
IRQP2F(27) => IRQ_P2F_DMAC7,
IRQP2F(26) => IRQ_P2F_DMAC6,
IRQP2F(25) => IRQ_P2F_DMAC5,
IRQP2F(24) => IRQ_P2F_DMAC4,
IRQP2F(23) => IRQ_P2F_DMAC3,
IRQP2F(22) => IRQ_P2F_DMAC2,
IRQP2F(21) => IRQ_P2F_DMAC1,
IRQP2F(20) => IRQ_P2F_DMAC0,
IRQP2F(19) => IRQ_P2F_SMC,
IRQP2F(18) => IRQ_P2F_QSPI,
IRQP2F(17) => IRQ_P2F_CTI,
IRQP2F(16) => IRQ_P2F_GPIO,
IRQP2F(15) => IRQ_P2F_USB0,
IRQP2F(14) => IRQ_P2F_ENET0,
IRQP2F(13) => IRQ_P2F_ENET_WAKE0,
IRQP2F(12) => IRQ_P2F_SDIO0,
IRQP2F(11) => IRQ_P2F_I2C0,
IRQP2F(10) => IRQ_P2F_SPI0,
IRQP2F(9) => IRQ_P2F_UART0,
IRQP2F(8) => IRQ_P2F_CAN0,
IRQP2F(7) => IRQ_P2F_USB1,
IRQP2F(6) => IRQ_P2F_ENET1,
IRQP2F(5) => IRQ_P2F_ENET_WAKE1,
IRQP2F(4) => IRQ_P2F_SDIO1,
IRQP2F(3) => IRQ_P2F_I2C1,
IRQP2F(2) => IRQ_P2F_SPI1,
IRQP2F(1) => IRQ_P2F_UART1,
IRQP2F(0) => IRQ_P2F_CAN1,
MAXIGP0ACLK => M_AXI_GP0_ACLK,
MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
MAXIGP0ARCACHE(3 downto 2) => \^m_axi_gp0_arcache\(3 downto 2),
MAXIGP0ARCACHE(1) => NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED(1),
MAXIGP0ARCACHE(0) => \^m_axi_gp0_arcache\(0),
MAXIGP0ARESETN => M_AXI_GP0_ARESETN,
MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
MAXIGP0ARREADY => M_AXI_GP0_ARREADY,
MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0),
MAXIGP0ARVALID => M_AXI_GP0_ARVALID,
MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
MAXIGP0AWCACHE(3 downto 2) => \^m_axi_gp0_awcache\(3 downto 2),
MAXIGP0AWCACHE(1) => NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED(1),
MAXIGP0AWCACHE(0) => \^m_axi_gp0_awcache\(0),
MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
MAXIGP0AWREADY => M_AXI_GP0_AWREADY,
MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0),
MAXIGP0AWVALID => M_AXI_GP0_AWVALID,
MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
MAXIGP0BREADY => M_AXI_GP0_BREADY,
MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
MAXIGP0BVALID => M_AXI_GP0_BVALID,
MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
MAXIGP0RLAST => M_AXI_GP0_RLAST,
MAXIGP0RREADY => M_AXI_GP0_RREADY,
MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
MAXIGP0RVALID => M_AXI_GP0_RVALID,
MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
MAXIGP0WLAST => M_AXI_GP0_WLAST,
MAXIGP0WREADY => M_AXI_GP0_WREADY,
MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
MAXIGP0WVALID => M_AXI_GP0_WVALID,
MAXIGP1ACLK => M_AXI_GP1_ACLK,
MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0),
MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0),
MAXIGP1ARCACHE(3 downto 2) => \^m_axi_gp1_arcache\(3 downto 2),
MAXIGP1ARCACHE(1) => NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED(1),
MAXIGP1ARCACHE(0) => \^m_axi_gp1_arcache\(0),
MAXIGP1ARESETN => M_AXI_GP1_ARESETN,
MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0),
MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0),
MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0),
MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0),
MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0),
MAXIGP1ARREADY => M_AXI_GP1_ARREADY,
MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0),
MAXIGP1ARVALID => M_AXI_GP1_ARVALID,
MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0),
MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0),
MAXIGP1AWCACHE(3 downto 2) => \^m_axi_gp1_awcache\(3 downto 2),
MAXIGP1AWCACHE(1) => NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED(1),
MAXIGP1AWCACHE(0) => \^m_axi_gp1_awcache\(0),
MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0),
MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0),
MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0),
MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0),
MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0),
MAXIGP1AWREADY => M_AXI_GP1_AWREADY,
MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0),
MAXIGP1AWVALID => M_AXI_GP1_AWVALID,
MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0),
MAXIGP1BREADY => M_AXI_GP1_BREADY,
MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0),
MAXIGP1BVALID => M_AXI_GP1_BVALID,
MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0),
MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0),
MAXIGP1RLAST => M_AXI_GP1_RLAST,
MAXIGP1RREADY => M_AXI_GP1_RREADY,
MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0),
MAXIGP1RVALID => M_AXI_GP1_RVALID,
MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0),
MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0),
MAXIGP1WLAST => M_AXI_GP1_WLAST,
MAXIGP1WREADY => M_AXI_GP1_WREADY,
MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0),
MAXIGP1WVALID => M_AXI_GP1_WVALID,
MIO(53 downto 0) => buffered_MIO(53 downto 0),
PSCLK => buffered_PS_CLK,
PSPORB => buffered_PS_PORB,
PSSRSTB => buffered_PS_SRSTB,
SAXIACPACLK => S_AXI_ACP_ACLK,
SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0),
SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0),
SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0),
SAXIACPARESETN => S_AXI_ACP_ARESETN,
SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0),
SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0),
SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0),
SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0),
SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0),
SAXIACPARREADY => S_AXI_ACP_ARREADY,
SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0),
SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0),
SAXIACPARVALID => S_AXI_ACP_ARVALID,
SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0),
SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0),
SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0),
SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0),
SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0),
SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0),
SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0),
SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0),
SAXIACPAWREADY => S_AXI_ACP_AWREADY,
SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0),
SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0),
SAXIACPAWVALID => S_AXI_ACP_AWVALID,
SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0),
SAXIACPBREADY => S_AXI_ACP_BREADY,
SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0),
SAXIACPBVALID => S_AXI_ACP_BVALID,
SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0),
SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0),
SAXIACPRLAST => S_AXI_ACP_RLAST,
SAXIACPRREADY => S_AXI_ACP_RREADY,
SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0),
SAXIACPRVALID => S_AXI_ACP_RVALID,
SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0),
SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0),
SAXIACPWLAST => S_AXI_ACP_WLAST,
SAXIACPWREADY => S_AXI_ACP_WREADY,
SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0),
SAXIACPWVALID => S_AXI_ACP_WVALID,
SAXIGP0ACLK => S_AXI_GP0_ACLK,
SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0),
SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0),
SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0),
SAXIGP0ARESETN => S_AXI_GP0_ARESETN,
SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0),
SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0),
SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0),
SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0),
SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0),
SAXIGP0ARREADY => S_AXI_GP0_ARREADY,
SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0),
SAXIGP0ARVALID => S_AXI_GP0_ARVALID,
SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0),
SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0),
SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0),
SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0),
SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0),
SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0),
SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0),
SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0),
SAXIGP0AWREADY => S_AXI_GP0_AWREADY,
SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0),
SAXIGP0AWVALID => S_AXI_GP0_AWVALID,
SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0),
SAXIGP0BREADY => S_AXI_GP0_BREADY,
SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0),
SAXIGP0BVALID => S_AXI_GP0_BVALID,
SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0),
SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0),
SAXIGP0RLAST => S_AXI_GP0_RLAST,
SAXIGP0RREADY => S_AXI_GP0_RREADY,
SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0),
SAXIGP0RVALID => S_AXI_GP0_RVALID,
SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0),
SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0),
SAXIGP0WLAST => S_AXI_GP0_WLAST,
SAXIGP0WREADY => S_AXI_GP0_WREADY,
SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0),
SAXIGP0WVALID => S_AXI_GP0_WVALID,
SAXIGP1ACLK => S_AXI_GP1_ACLK,
SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0),
SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0),
SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0),
SAXIGP1ARESETN => S_AXI_GP1_ARESETN,
SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0),
SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0),
SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0),
SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0),
SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0),
SAXIGP1ARREADY => S_AXI_GP1_ARREADY,
SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0),
SAXIGP1ARVALID => S_AXI_GP1_ARVALID,
SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0),
SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0),
SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0),
SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0),
SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0),
SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0),
SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0),
SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0),
SAXIGP1AWREADY => S_AXI_GP1_AWREADY,
SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0),
SAXIGP1AWVALID => S_AXI_GP1_AWVALID,
SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0),
SAXIGP1BREADY => S_AXI_GP1_BREADY,
SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0),
SAXIGP1BVALID => S_AXI_GP1_BVALID,
SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0),
SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0),
SAXIGP1RLAST => S_AXI_GP1_RLAST,
SAXIGP1RREADY => S_AXI_GP1_RREADY,
SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0),
SAXIGP1RVALID => S_AXI_GP1_RVALID,
SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0),
SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0),
SAXIGP1WLAST => S_AXI_GP1_WLAST,
SAXIGP1WREADY => S_AXI_GP1_WREADY,
SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0),
SAXIGP1WVALID => S_AXI_GP1_WVALID,
SAXIHP0ACLK => S_AXI_HP0_ACLK,
SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0),
SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0),
SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0),
SAXIHP0ARESETN => S_AXI_HP0_ARESETN,
SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0),
SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0),
SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0),
SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0),
SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0),
SAXIHP0ARREADY => S_AXI_HP0_ARREADY,
SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0),
SAXIHP0ARVALID => S_AXI_HP0_ARVALID,
SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0),
SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0),
SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0),
SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0),
SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0),
SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0),
SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0),
SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0),
SAXIHP0AWREADY => S_AXI_HP0_AWREADY,
SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0),
SAXIHP0AWVALID => S_AXI_HP0_AWVALID,
SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0),
SAXIHP0BREADY => S_AXI_HP0_BREADY,
SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0),
SAXIHP0BVALID => S_AXI_HP0_BVALID,
SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0),
SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0),
SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0),
SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN,
SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0),
SAXIHP0RLAST => S_AXI_HP0_RLAST,
SAXIHP0RREADY => S_AXI_HP0_RREADY,
SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0),
SAXIHP0RVALID => S_AXI_HP0_RVALID,
SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0),
SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0),
SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0),
SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0),
SAXIHP0WLAST => S_AXI_HP0_WLAST,
SAXIHP0WREADY => S_AXI_HP0_WREADY,
SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN,
SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0),
SAXIHP0WVALID => S_AXI_HP0_WVALID,
SAXIHP1ACLK => S_AXI_HP1_ACLK,
SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0),
SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0),
SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0),
SAXIHP1ARESETN => S_AXI_HP1_ARESETN,
SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0),
SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0),
SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0),
SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0),
SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0),
SAXIHP1ARREADY => S_AXI_HP1_ARREADY,
SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0),
SAXIHP1ARVALID => S_AXI_HP1_ARVALID,
SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0),
SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0),
SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0),
SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0),
SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0),
SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0),
SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0),
SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0),
SAXIHP1AWREADY => S_AXI_HP1_AWREADY,
SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0),
SAXIHP1AWVALID => S_AXI_HP1_AWVALID,
SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0),
SAXIHP1BREADY => S_AXI_HP1_BREADY,
SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0),
SAXIHP1BVALID => S_AXI_HP1_BVALID,
SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0),
SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0),
SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0),
SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN,
SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0),
SAXIHP1RLAST => S_AXI_HP1_RLAST,
SAXIHP1RREADY => S_AXI_HP1_RREADY,
SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0),
SAXIHP1RVALID => S_AXI_HP1_RVALID,
SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0),
SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0),
SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0),
SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0),
SAXIHP1WLAST => S_AXI_HP1_WLAST,
SAXIHP1WREADY => S_AXI_HP1_WREADY,
SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN,
SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0),
SAXIHP1WVALID => S_AXI_HP1_WVALID,
SAXIHP2ACLK => S_AXI_HP2_ACLK,
SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0),
SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0),
SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0),
SAXIHP2ARESETN => S_AXI_HP2_ARESETN,
SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0),
SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0),
SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0),
SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0),
SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0),
SAXIHP2ARREADY => S_AXI_HP2_ARREADY,
SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0),
SAXIHP2ARVALID => S_AXI_HP2_ARVALID,
SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0),
SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0),
SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0),
SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0),
SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0),
SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0),
SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0),
SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0),
SAXIHP2AWREADY => S_AXI_HP2_AWREADY,
SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0),
SAXIHP2AWVALID => S_AXI_HP2_AWVALID,
SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0),
SAXIHP2BREADY => S_AXI_HP2_BREADY,
SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0),
SAXIHP2BVALID => S_AXI_HP2_BVALID,
SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0),
SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0),
SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0),
SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN,
SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0),
SAXIHP2RLAST => S_AXI_HP2_RLAST,
SAXIHP2RREADY => S_AXI_HP2_RREADY,
SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0),
SAXIHP2RVALID => S_AXI_HP2_RVALID,
SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0),
SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0),
SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0),
SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0),
SAXIHP2WLAST => S_AXI_HP2_WLAST,
SAXIHP2WREADY => S_AXI_HP2_WREADY,
SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN,
SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0),
SAXIHP2WVALID => S_AXI_HP2_WVALID,
SAXIHP3ACLK => S_AXI_HP3_ACLK,
SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0),
SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0),
SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0),
SAXIHP3ARESETN => S_AXI_HP3_ARESETN,
SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0),
SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0),
SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0),
SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0),
SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0),
SAXIHP3ARREADY => S_AXI_HP3_ARREADY,
SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0),
SAXIHP3ARVALID => S_AXI_HP3_ARVALID,
SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0),
SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0),
SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0),
SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0),
SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0),
SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0),
SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0),
SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0),
SAXIHP3AWREADY => S_AXI_HP3_AWREADY,
SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0),
SAXIHP3AWVALID => S_AXI_HP3_AWVALID,
SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0),
SAXIHP3BREADY => S_AXI_HP3_BREADY,
SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0),
SAXIHP3BVALID => S_AXI_HP3_BVALID,
SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0),
SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0),
SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0),
SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN,
SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0),
SAXIHP3RLAST => S_AXI_HP3_RLAST,
SAXIHP3RREADY => S_AXI_HP3_RREADY,
SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0),
SAXIHP3RVALID => S_AXI_HP3_RVALID,
SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0),
SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0),
SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0),
SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0),
SAXIHP3WLAST => S_AXI_HP3_WLAST,
SAXIHP3WREADY => S_AXI_HP3_WREADY,
SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN,
SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0),
SAXIHP3WVALID => S_AXI_HP3_WVALID
);
PS_CLK_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_CLK,
PAD => PS_CLK
);
PS_PORB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_PORB,
PAD => PS_PORB
);
PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_SRSTB,
PAD => PS_SRSTB
);
SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_CMD_T_n,
O => SDIO0_CMD_T
);
\SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(0),
O => SDIO0_DATA_T(0)
);
\SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(1),
O => SDIO0_DATA_T(1)
);
\SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(2),
O => SDIO0_DATA_T(2)
);
\SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(3),
O => SDIO0_DATA_T(3)
);
SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_CMD_T_n,
O => SDIO1_CMD_T
);
\SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(0),
O => SDIO1_DATA_T(0)
);
\SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(1),
O => SDIO1_DATA_T(1)
);
\SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(2),
O => SDIO1_DATA_T(2)
);
\SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(3),
O => SDIO1_DATA_T(3)
);
SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MISO_T_n,
O => SPI0_MISO_T
);
SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MOSI_T_n,
O => SPI0_MOSI_T
);
SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SCLK_T_n,
O => SPI0_SCLK_T
);
SPI0_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SS_T_n,
O => SPI0_SS_T
);
SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MISO_T_n,
O => SPI1_MISO_T
);
SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MOSI_T_n,
O => SPI1_MOSI_T
);
SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SCLK_T_n,
O => SPI1_SCLK_T
);
SPI1_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SS_T_n,
O => SPI1_SS_T
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\buffer_fclk_clk_0.FCLK_CLK_0_BUFG\: unisim.vcomponents.BUFG
port map (
I => FCLK_CLK_unbuffered(0),
O => FCLK_CLK0
);
\genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(0),
PAD => MIO(0)
);
\genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(10),
PAD => MIO(10)
);
\genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(11),
PAD => MIO(11)
);
\genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(12),
PAD => MIO(12)
);
\genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(13),
PAD => MIO(13)
);
\genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(14),
PAD => MIO(14)
);
\genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(15),
PAD => MIO(15)
);
\genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(16),
PAD => MIO(16)
);
\genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(17),
PAD => MIO(17)
);
\genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(18),
PAD => MIO(18)
);
\genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(19),
PAD => MIO(19)
);
\genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(1),
PAD => MIO(1)
);
\genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(20),
PAD => MIO(20)
);
\genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(21),
PAD => MIO(21)
);
\genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(22),
PAD => MIO(22)
);
\genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(23),
PAD => MIO(23)
);
\genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(24),
PAD => MIO(24)
);
\genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(25),
PAD => MIO(25)
);
\genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(26),
PAD => MIO(26)
);
\genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(27),
PAD => MIO(27)
);
\genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(28),
PAD => MIO(28)
);
\genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(29),
PAD => MIO(29)
);
\genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(2),
PAD => MIO(2)
);
\genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(30),
PAD => MIO(30)
);
\genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(31),
PAD => MIO(31)
);
\genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(32),
PAD => MIO(32)
);
\genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(33),
PAD => MIO(33)
);
\genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(34),
PAD => MIO(34)
);
\genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(35),
PAD => MIO(35)
);
\genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(36),
PAD => MIO(36)
);
\genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(37),
PAD => MIO(37)
);
\genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(38),
PAD => MIO(38)
);
\genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(39),
PAD => MIO(39)
);
\genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(3),
PAD => MIO(3)
);
\genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(40),
PAD => MIO(40)
);
\genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(41),
PAD => MIO(41)
);
\genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(42),
PAD => MIO(42)
);
\genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(43),
PAD => MIO(43)
);
\genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(44),
PAD => MIO(44)
);
\genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(45),
PAD => MIO(45)
);
\genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(46),
PAD => MIO(46)
);
\genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(47),
PAD => MIO(47)
);
\genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(48),
PAD => MIO(48)
);
\genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(49),
PAD => MIO(49)
);
\genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(4),
PAD => MIO(4)
);
\genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(50),
PAD => MIO(50)
);
\genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(51),
PAD => MIO(51)
);
\genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(52),
PAD => MIO(52)
);
\genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(53),
PAD => MIO(53)
);
\genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(5),
PAD => MIO(5)
);
\genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(6),
PAD => MIO(6)
);
\genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(7),
PAD => MIO(7)
);
\genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(8),
PAD => MIO(8)
);
\genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(9),
PAD => MIO(9)
);
\genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(0),
PAD => DDR_BankAddr(0)
);
\genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(1),
PAD => DDR_BankAddr(1)
);
\genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(2),
PAD => DDR_BankAddr(2)
);
\genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(0),
PAD => DDR_Addr(0)
);
\genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(10),
PAD => DDR_Addr(10)
);
\genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(11),
PAD => DDR_Addr(11)
);
\genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(12),
PAD => DDR_Addr(12)
);
\genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(13),
PAD => DDR_Addr(13)
);
\genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(14),
PAD => DDR_Addr(14)
);
\genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(1),
PAD => DDR_Addr(1)
);
\genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(2),
PAD => DDR_Addr(2)
);
\genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(3),
PAD => DDR_Addr(3)
);
\genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(4),
PAD => DDR_Addr(4)
);
\genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(5),
PAD => DDR_Addr(5)
);
\genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(6),
PAD => DDR_Addr(6)
);
\genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(7),
PAD => DDR_Addr(7)
);
\genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(8),
PAD => DDR_Addr(8)
);
\genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(9),
PAD => DDR_Addr(9)
);
\genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(0),
PAD => DDR_DM(0)
);
\genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(1),
PAD => DDR_DM(1)
);
\genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(2),
PAD => DDR_DM(2)
);
\genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(3),
PAD => DDR_DM(3)
);
\genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(0),
PAD => DDR_DQ(0)
);
\genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(10),
PAD => DDR_DQ(10)
);
\genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(11),
PAD => DDR_DQ(11)
);
\genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(12),
PAD => DDR_DQ(12)
);
\genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(13),
PAD => DDR_DQ(13)
);
\genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(14),
PAD => DDR_DQ(14)
);
\genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(15),
PAD => DDR_DQ(15)
);
\genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(16),
PAD => DDR_DQ(16)
);
\genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(17),
PAD => DDR_DQ(17)
);
\genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(18),
PAD => DDR_DQ(18)
);
\genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(19),
PAD => DDR_DQ(19)
);
\genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(1),
PAD => DDR_DQ(1)
);
\genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(20),
PAD => DDR_DQ(20)
);
\genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(21),
PAD => DDR_DQ(21)
);
\genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(22),
PAD => DDR_DQ(22)
);
\genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(23),
PAD => DDR_DQ(23)
);
\genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(24),
PAD => DDR_DQ(24)
);
\genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(25),
PAD => DDR_DQ(25)
);
\genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(26),
PAD => DDR_DQ(26)
);
\genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(27),
PAD => DDR_DQ(27)
);
\genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(28),
PAD => DDR_DQ(28)
);
\genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(29),
PAD => DDR_DQ(29)
);
\genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(2),
PAD => DDR_DQ(2)
);
\genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(30),
PAD => DDR_DQ(30)
);
\genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(31),
PAD => DDR_DQ(31)
);
\genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(3),
PAD => DDR_DQ(3)
);
\genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(4),
PAD => DDR_DQ(4)
);
\genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(5),
PAD => DDR_DQ(5)
);
\genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(6),
PAD => DDR_DQ(6)
);
\genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(7),
PAD => DDR_DQ(7)
);
\genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(8),
PAD => DDR_DQ(8)
);
\genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(9),
PAD => DDR_DQ(9)
);
\genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(0),
PAD => DDR_DQS_n(0)
);
\genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(1),
PAD => DDR_DQS_n(1)
);
\genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(2),
PAD => DDR_DQS_n(2)
);
\genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(3),
PAD => DDR_DQS_n(3)
);
\genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(0),
PAD => DDR_DQS(0)
);
\genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(1),
PAD => DDR_DQS(1)
);
\genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(2),
PAD => DDR_DQS(2)
);
\genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(3),
PAD => DDR_DQS(3)
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[0]\
);
i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(1)
);
i_10: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(1)
);
i_11: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(0)
);
i_12: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(1)
);
i_13: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(0)
);
i_14: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(1)
);
i_15: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(0)
);
i_16: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(1)
);
i_17: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(0)
);
i_18: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(1)
);
i_19: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(0)
);
i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(0)
);
i_20: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(1)
);
i_21: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(0)
);
i_22: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(1)
);
i_23: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(0)
);
i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[7]\
);
i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[6]\
);
i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[5]\
);
i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[4]\
);
i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[3]\
);
i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[2]\
);
i_9: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[1]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_processing_system7_0_0 is
port (
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK3 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of gcd_zynq_snick_processing_system7_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of gcd_zynq_snick_processing_system7_0_0 : entity is "gcd_zynq_snick_processing_system7_0_0,processing_system7_v5_5_processing_system7,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of gcd_zynq_snick_processing_system7_0_0 : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of gcd_zynq_snick_processing_system7_0_0 : entity is "processing_system7_v5_5_processing_system7,Vivado 2018.2";
end gcd_zynq_snick_processing_system7_0_0;
architecture STRUCTURE of gcd_zynq_snick_processing_system7_0_0 is
signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB0_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB0_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of inst : label is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of inst : label is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of inst : label is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of inst : label is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of inst : label is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of inst : label is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of inst : label is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of inst : label is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of inst : label is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of inst : label is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 1;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 1;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of inst : label is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of inst : label is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of inst : label is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of inst : label is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of inst : label is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of inst : label is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of inst : label is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of inst : label is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of inst : label is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of inst : label is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of inst : label is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of inst : label is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of inst : label is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of inst : label is "gcd_zynq_snick_processing_system7_0_0.hwdef";
attribute POWER : string;
attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={867} load={0.5} /><MEMORY name={code} memType={LPDDR2} dataWidth={32} clockFreq={400} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={26} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS18} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={SPI} ioStandard={LVCMOS18} bidis={5} ioBank={Vcco_p1} clockFreq={166.666489} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={49.999947} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p0} clockFreq={99.999893} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p1} clockFreq={99.999893} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1733.332} /><PLL domain={Memory} vco={1599.998} /><PLL domain={IO} vco={1999.998} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={49} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0;
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of DDR_CAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CAS_N";
attribute X_INTERFACE_INFO of DDR_CKE : signal is "xilinx.com:interface:ddrx:1.0 DDR CKE";
attribute X_INTERFACE_INFO of DDR_CS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CS_N";
attribute X_INTERFACE_INFO of DDR_Clk : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_P";
attribute X_INTERFACE_INFO of DDR_Clk_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_N";
attribute X_INTERFACE_INFO of DDR_DRSTB : signal is "xilinx.com:interface:ddrx:1.0 DDR RESET_N";
attribute X_INTERFACE_INFO of DDR_ODT : signal is "xilinx.com:interface:ddrx:1.0 DDR ODT";
attribute X_INTERFACE_INFO of DDR_RAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR RAS_N";
attribute X_INTERFACE_INFO of DDR_VRN : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRN";
attribute X_INTERFACE_INFO of DDR_VRP : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRP";
attribute X_INTERFACE_INFO of DDR_WEB : signal is "xilinx.com:interface:ddrx:1.0 DDR WE_N";
attribute X_INTERFACE_INFO of FCLK_CLK0 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK0 CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of FCLK_CLK0 : signal is "XIL_INTERFACENAME FCLK_CLK0, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of FCLK_CLK1 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK1 CLK";
attribute X_INTERFACE_PARAMETER of FCLK_CLK1 : signal is "XIL_INTERFACENAME FCLK_CLK1, FREQ_HZ 99999893, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK1";
attribute X_INTERFACE_INFO of FCLK_CLK2 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK2 CLK";
attribute X_INTERFACE_PARAMETER of FCLK_CLK2 : signal is "XIL_INTERFACENAME FCLK_CLK2, FREQ_HZ 153845993, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK2";
attribute X_INTERFACE_INFO of FCLK_CLK3 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK3 CLK";
attribute X_INTERFACE_PARAMETER of FCLK_CLK3 : signal is "XIL_INTERFACENAME FCLK_CLK3, FREQ_HZ 199999786, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK3";
attribute X_INTERFACE_INFO of FCLK_RESET0_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET0_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET0_N : signal is "XIL_INTERFACENAME FCLK_RESET0_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of FCLK_RESET1_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET1_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET1_N : signal is "XIL_INTERFACENAME FCLK_RESET1_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of FCLK_RESET2_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET2_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET2_N : signal is "XIL_INTERFACENAME FCLK_RESET2_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of FCLK_RESET3_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET3_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET3_N : signal is "XIL_INTERFACENAME FCLK_RESET3_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of M_AXI_GP0_ACLK : signal is "xilinx.com:signal:clock:1.0 M_AXI_GP0_ACLK CLK";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_ACLK : signal is "XIL_INTERFACENAME M_AXI_GP0_ACLK, ASSOCIATED_BUSIF M_AXI_GP0, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_BVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_RREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_RVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_WREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_WVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WVALID";
attribute X_INTERFACE_INFO of PS_CLK : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_CLK";
attribute X_INTERFACE_INFO of PS_PORB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_PORB";
attribute X_INTERFACE_PARAMETER of PS_PORB : signal is "XIL_INTERFACENAME FIXED_IO, CAN_DEBUG false";
attribute X_INTERFACE_INFO of PS_SRSTB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_SRSTB";
attribute X_INTERFACE_INFO of DDR_Addr : signal is "xilinx.com:interface:ddrx:1.0 DDR ADDR";
attribute X_INTERFACE_INFO of DDR_BankAddr : signal is "xilinx.com:interface:ddrx:1.0 DDR BA";
attribute X_INTERFACE_INFO of DDR_DM : signal is "xilinx.com:interface:ddrx:1.0 DDR DM";
attribute X_INTERFACE_INFO of DDR_DQ : signal is "xilinx.com:interface:ddrx:1.0 DDR DQ";
attribute X_INTERFACE_INFO of DDR_DQS : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_P";
attribute X_INTERFACE_PARAMETER of DDR_DQS : signal is "XIL_INTERFACENAME DDR, CAN_DEBUG false, TIMEPERIOD_PS 1250, MEMORY_TYPE COMPONENTS, DATA_WIDTH 8, CS_ENABLED true, DATA_MASK_ENABLED true, SLOT Single, MEM_ADDR_MAP ROW_COLUMN_BANK, BURST_LENGTH 8, AXI_ARBITRATION_SCHEME TDM, CAS_LATENCY 11, CAS_WRITE_LATENCY 11";
attribute X_INTERFACE_INFO of DDR_DQS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_N";
attribute X_INTERFACE_INFO of GPIO_I : signal is "xilinx.com:interface:gpio:1.0 GPIO_0 TRI_I";
attribute X_INTERFACE_INFO of GPIO_O : signal is "xilinx.com:interface:gpio:1.0 GPIO_0 TRI_O";
attribute X_INTERFACE_INFO of GPIO_T : signal is "xilinx.com:interface:gpio:1.0 GPIO_0 TRI_T";
attribute X_INTERFACE_INFO of IRQ_F2P : signal is "xilinx.com:signal:interrupt:1.0 IRQ_F2P INTERRUPT";
attribute X_INTERFACE_PARAMETER of IRQ_F2P : signal is "XIL_INTERFACENAME IRQ_F2P, SENSITIVITY LEVEL_HIGH, PortWidth 1";
attribute X_INTERFACE_INFO of MIO : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO MIO";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARID";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_BID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_RDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RDATA";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_RDATA : signal is "XIL_INTERFACENAME M_AXI_GP0, SUPPORTS_NARROW_BURST 0, NUM_WRITE_OUTSTANDING 8, NUM_READ_OUTSTANDING 8, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 49999947, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of M_AXI_GP0_RID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_WDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WDATA";
attribute X_INTERFACE_INFO of M_AXI_GP0_WID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WSTRB : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WSTRB";
begin
inst: entity work.gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7
port map (
CAN0_PHY_RX => '0',
CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED,
CAN1_PHY_RX => '0',
CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED,
Core0_nFIQ => '0',
Core0_nIRQ => '0',
Core1_nFIQ => '0',
Core1_nIRQ => '0',
DDR_ARB(3 downto 0) => B"0000",
DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0),
DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0),
DDR_CAS_n => DDR_CAS_n,
DDR_CKE => DDR_CKE,
DDR_CS_n => DDR_CS_n,
DDR_Clk => DDR_Clk,
DDR_Clk_n => DDR_Clk_n,
DDR_DM(3 downto 0) => DDR_DM(3 downto 0),
DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0),
DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0),
DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0),
DDR_DRSTB => DDR_DRSTB,
DDR_ODT => DDR_ODT,
DDR_RAS_n => DDR_RAS_n,
DDR_VRN => DDR_VRN,
DDR_VRP => DDR_VRP,
DDR_WEB => DDR_WEB,
DMA0_ACLK => '0',
DMA0_DAREADY => '0',
DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0),
DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED,
DMA0_DRLAST => '0',
DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED,
DMA0_DRTYPE(1 downto 0) => B"00",
DMA0_DRVALID => '0',
DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED,
DMA1_ACLK => '0',
DMA1_DAREADY => '0',
DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0),
DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED,
DMA1_DRLAST => '0',
DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED,
DMA1_DRTYPE(1 downto 0) => B"00",
DMA1_DRVALID => '0',
DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED,
DMA2_ACLK => '0',
DMA2_DAREADY => '0',
DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0),
DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED,
DMA2_DRLAST => '0',
DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED,
DMA2_DRTYPE(1 downto 0) => B"00",
DMA2_DRVALID => '0',
DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED,
DMA3_ACLK => '0',
DMA3_DAREADY => '0',
DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0),
DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED,
DMA3_DRLAST => '0',
DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED,
DMA3_DRTYPE(1 downto 0) => B"00",
DMA3_DRVALID => '0',
DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED,
ENET0_EXT_INTIN => '0',
ENET0_GMII_COL => '0',
ENET0_GMII_CRS => '0',
ENET0_GMII_RXD(7 downto 0) => B"00000000",
ENET0_GMII_RX_CLK => '0',
ENET0_GMII_RX_DV => '0',
ENET0_GMII_RX_ER => '0',
ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0),
ENET0_GMII_TX_CLK => '0',
ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED,
ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED,
ENET0_MDIO_I => '0',
ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED,
ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED,
ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED,
ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED,
ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED,
ENET1_EXT_INTIN => '0',
ENET1_GMII_COL => '0',
ENET1_GMII_CRS => '0',
ENET1_GMII_RXD(7 downto 0) => B"00000000",
ENET1_GMII_RX_CLK => '0',
ENET1_GMII_RX_DV => '0',
ENET1_GMII_RX_ER => '0',
ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0),
ENET1_GMII_TX_CLK => '0',
ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED,
ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED,
ENET1_MDIO_I => '0',
ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED,
ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED,
ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED,
ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED,
ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED,
EVENT_EVENTI => '0',
EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED,
EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0),
EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0),
FCLK_CLK0 => FCLK_CLK0,
FCLK_CLK1 => FCLK_CLK1,
FCLK_CLK2 => FCLK_CLK2,
FCLK_CLK3 => FCLK_CLK3,
FCLK_CLKTRIG0_N => '0',
FCLK_CLKTRIG1_N => '0',
FCLK_CLKTRIG2_N => '0',
FCLK_CLKTRIG3_N => '0',
FCLK_RESET0_N => FCLK_RESET0_N,
FCLK_RESET1_N => FCLK_RESET1_N,
FCLK_RESET2_N => FCLK_RESET2_N,
FCLK_RESET3_N => FCLK_RESET3_N,
FPGA_IDLE_N => '0',
FTMD_TRACEIN_ATID(3 downto 0) => B"0000",
FTMD_TRACEIN_CLK => '0',
FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000",
FTMD_TRACEIN_VALID => '0',
FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000",
FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED,
FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED,
FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED,
FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED,
FTMT_F2P_TRIG_0 => '0',
FTMT_F2P_TRIG_1 => '0',
FTMT_F2P_TRIG_2 => '0',
FTMT_F2P_TRIG_3 => '0',
FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0),
FTMT_P2F_TRIGACK_0 => '0',
FTMT_P2F_TRIGACK_1 => '0',
FTMT_P2F_TRIGACK_2 => '0',
FTMT_P2F_TRIGACK_3 => '0',
FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED,
FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED,
FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED,
FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED,
GPIO_I(63 downto 0) => GPIO_I(63 downto 0),
GPIO_O(63 downto 0) => GPIO_O(63 downto 0),
GPIO_T(63 downto 0) => GPIO_T(63 downto 0),
I2C0_SCL_I => '0',
I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED,
I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED,
I2C0_SDA_I => '0',
I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED,
I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED,
I2C1_SCL_I => '0',
I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED,
I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED,
I2C1_SDA_I => '0',
I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED,
I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED,
IRQ_F2P(0) => IRQ_F2P(0),
IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED,
IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED,
IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED,
IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED,
IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED,
IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED,
IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED,
IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED,
IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED,
IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED,
IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED,
IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED,
IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED,
IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED,
IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED,
IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED,
IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED,
IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED,
IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED,
IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED,
IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED,
IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED,
IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED,
IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED,
IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED,
IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED,
IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED,
IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED,
IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED,
MIO(53 downto 0) => MIO(53 downto 0),
M_AXI_GP0_ACLK => M_AXI_GP0_ACLK,
M_AXI_GP0_ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
M_AXI_GP0_ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
M_AXI_GP0_ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0),
M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED,
M_AXI_GP0_ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
M_AXI_GP0_ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
M_AXI_GP0_ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
M_AXI_GP0_ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
M_AXI_GP0_ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
M_AXI_GP0_ARREADY => M_AXI_GP0_ARREADY,
M_AXI_GP0_ARSIZE(2 downto 0) => M_AXI_GP0_ARSIZE(2 downto 0),
M_AXI_GP0_ARVALID => M_AXI_GP0_ARVALID,
M_AXI_GP0_AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
M_AXI_GP0_AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
M_AXI_GP0_AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0),
M_AXI_GP0_AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
M_AXI_GP0_AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
M_AXI_GP0_AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
M_AXI_GP0_AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
M_AXI_GP0_AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
M_AXI_GP0_AWREADY => M_AXI_GP0_AWREADY,
M_AXI_GP0_AWSIZE(2 downto 0) => M_AXI_GP0_AWSIZE(2 downto 0),
M_AXI_GP0_AWVALID => M_AXI_GP0_AWVALID,
M_AXI_GP0_BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
M_AXI_GP0_BREADY => M_AXI_GP0_BREADY,
M_AXI_GP0_BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
M_AXI_GP0_BVALID => M_AXI_GP0_BVALID,
M_AXI_GP0_RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
M_AXI_GP0_RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
M_AXI_GP0_RLAST => M_AXI_GP0_RLAST,
M_AXI_GP0_RREADY => M_AXI_GP0_RREADY,
M_AXI_GP0_RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
M_AXI_GP0_RVALID => M_AXI_GP0_RVALID,
M_AXI_GP0_WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
M_AXI_GP0_WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
M_AXI_GP0_WLAST => M_AXI_GP0_WLAST,
M_AXI_GP0_WREADY => M_AXI_GP0_WREADY,
M_AXI_GP0_WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
M_AXI_GP0_WVALID => M_AXI_GP0_WVALID,
M_AXI_GP1_ACLK => '0',
M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED,
M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0),
M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARREADY => '0',
M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED,
M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0),
M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWREADY => '0',
M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED,
M_AXI_GP1_BID(11 downto 0) => B"000000000000",
M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED,
M_AXI_GP1_BRESP(1 downto 0) => B"00",
M_AXI_GP1_BVALID => '0',
M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000",
M_AXI_GP1_RID(11 downto 0) => B"000000000000",
M_AXI_GP1_RLAST => '0',
M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED,
M_AXI_GP1_RRESP(1 downto 0) => B"00",
M_AXI_GP1_RVALID => '0',
M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0),
M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0),
M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED,
M_AXI_GP1_WREADY => '0',
M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0),
M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED,
PJTAG_TCK => '0',
PJTAG_TDI => '0',
PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED,
PJTAG_TMS => '0',
PS_CLK => PS_CLK,
PS_PORB => PS_PORB,
PS_SRSTB => PS_SRSTB,
SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED,
SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO0_CDN => '0',
SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED,
SDIO0_CLK_FB => '0',
SDIO0_CMD_I => '0',
SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED,
SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED,
SDIO0_DATA_I(3 downto 0) => B"0000",
SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0),
SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0),
SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED,
SDIO0_WP => '0',
SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED,
SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO1_CDN => '0',
SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED,
SDIO1_CLK_FB => '0',
SDIO1_CMD_I => '0',
SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED,
SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED,
SDIO1_DATA_I(3 downto 0) => B"0000",
SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0),
SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0),
SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED,
SDIO1_WP => '0',
SPI0_MISO_I => '0',
SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED,
SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED,
SPI0_MOSI_I => '0',
SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED,
SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED,
SPI0_SCLK_I => '0',
SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED,
SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED,
SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED,
SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED,
SPI0_SS_I => '0',
SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED,
SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED,
SPI1_MISO_I => '0',
SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED,
SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED,
SPI1_MOSI_I => '0',
SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED,
SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED,
SPI1_SCLK_I => '0',
SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED,
SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED,
SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED,
SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED,
SPI1_SS_I => '0',
SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED,
SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED,
SRAM_INTIN => '0',
S_AXI_ACP_ACLK => '0',
S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_ARBURST(1 downto 0) => B"00",
S_AXI_ACP_ARCACHE(3 downto 0) => B"0000",
S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED,
S_AXI_ACP_ARID(2 downto 0) => B"000",
S_AXI_ACP_ARLEN(3 downto 0) => B"0000",
S_AXI_ACP_ARLOCK(1 downto 0) => B"00",
S_AXI_ACP_ARPROT(2 downto 0) => B"000",
S_AXI_ACP_ARQOS(3 downto 0) => B"0000",
S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED,
S_AXI_ACP_ARSIZE(2 downto 0) => B"000",
S_AXI_ACP_ARUSER(4 downto 0) => B"00000",
S_AXI_ACP_ARVALID => '0',
S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_AWBURST(1 downto 0) => B"00",
S_AXI_ACP_AWCACHE(3 downto 0) => B"0000",
S_AXI_ACP_AWID(2 downto 0) => B"000",
S_AXI_ACP_AWLEN(3 downto 0) => B"0000",
S_AXI_ACP_AWLOCK(1 downto 0) => B"00",
S_AXI_ACP_AWPROT(2 downto 0) => B"000",
S_AXI_ACP_AWQOS(3 downto 0) => B"0000",
S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED,
S_AXI_ACP_AWSIZE(2 downto 0) => B"000",
S_AXI_ACP_AWUSER(4 downto 0) => B"00000",
S_AXI_ACP_AWVALID => '0',
S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0),
S_AXI_ACP_BREADY => '0',
S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED,
S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0),
S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0),
S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED,
S_AXI_ACP_RREADY => '0',
S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED,
S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_ACP_WID(2 downto 0) => B"000",
S_AXI_ACP_WLAST => '0',
S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED,
S_AXI_ACP_WSTRB(7 downto 0) => B"00000000",
S_AXI_ACP_WVALID => '0',
S_AXI_GP0_ACLK => '0',
S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_ARBURST(1 downto 0) => B"00",
S_AXI_GP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED,
S_AXI_GP0_ARID(5 downto 0) => B"000000",
S_AXI_GP0_ARLEN(3 downto 0) => B"0000",
S_AXI_GP0_ARLOCK(1 downto 0) => B"00",
S_AXI_GP0_ARPROT(2 downto 0) => B"000",
S_AXI_GP0_ARQOS(3 downto 0) => B"0000",
S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED,
S_AXI_GP0_ARSIZE(2 downto 0) => B"000",
S_AXI_GP0_ARVALID => '0',
S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_AWBURST(1 downto 0) => B"00",
S_AXI_GP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP0_AWID(5 downto 0) => B"000000",
S_AXI_GP0_AWLEN(3 downto 0) => B"0000",
S_AXI_GP0_AWLOCK(1 downto 0) => B"00",
S_AXI_GP0_AWPROT(2 downto 0) => B"000",
S_AXI_GP0_AWQOS(3 downto 0) => B"0000",
S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED,
S_AXI_GP0_AWSIZE(2 downto 0) => B"000",
S_AXI_GP0_AWVALID => '0',
S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0),
S_AXI_GP0_BREADY => '0',
S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED,
S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0),
S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED,
S_AXI_GP0_RREADY => '0',
S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED,
S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_WID(5 downto 0) => B"000000",
S_AXI_GP0_WLAST => '0',
S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED,
S_AXI_GP0_WSTRB(3 downto 0) => B"0000",
S_AXI_GP0_WVALID => '0',
S_AXI_GP1_ACLK => '0',
S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_ARBURST(1 downto 0) => B"00",
S_AXI_GP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED,
S_AXI_GP1_ARID(5 downto 0) => B"000000",
S_AXI_GP1_ARLEN(3 downto 0) => B"0000",
S_AXI_GP1_ARLOCK(1 downto 0) => B"00",
S_AXI_GP1_ARPROT(2 downto 0) => B"000",
S_AXI_GP1_ARQOS(3 downto 0) => B"0000",
S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED,
S_AXI_GP1_ARSIZE(2 downto 0) => B"000",
S_AXI_GP1_ARVALID => '0',
S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_AWBURST(1 downto 0) => B"00",
S_AXI_GP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP1_AWID(5 downto 0) => B"000000",
S_AXI_GP1_AWLEN(3 downto 0) => B"0000",
S_AXI_GP1_AWLOCK(1 downto 0) => B"00",
S_AXI_GP1_AWPROT(2 downto 0) => B"000",
S_AXI_GP1_AWQOS(3 downto 0) => B"0000",
S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED,
S_AXI_GP1_AWSIZE(2 downto 0) => B"000",
S_AXI_GP1_AWVALID => '0',
S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0),
S_AXI_GP1_BREADY => '0',
S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED,
S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0),
S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED,
S_AXI_GP1_RREADY => '0',
S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED,
S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_WID(5 downto 0) => B"000000",
S_AXI_GP1_WLAST => '0',
S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED,
S_AXI_GP1_WSTRB(3 downto 0) => B"0000",
S_AXI_GP1_WVALID => '0',
S_AXI_HP0_ACLK => '0',
S_AXI_HP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_ARBURST(1 downto 0) => B"00",
S_AXI_HP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED,
S_AXI_HP0_ARID(5 downto 0) => B"000000",
S_AXI_HP0_ARLEN(3 downto 0) => B"0000",
S_AXI_HP0_ARLOCK(1 downto 0) => B"00",
S_AXI_HP0_ARPROT(2 downto 0) => B"000",
S_AXI_HP0_ARQOS(3 downto 0) => B"0000",
S_AXI_HP0_ARREADY => NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED,
S_AXI_HP0_ARSIZE(2 downto 0) => B"000",
S_AXI_HP0_ARVALID => '0',
S_AXI_HP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_AWBURST(1 downto 0) => B"00",
S_AXI_HP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP0_AWID(5 downto 0) => B"000000",
S_AXI_HP0_AWLEN(3 downto 0) => B"0000",
S_AXI_HP0_AWLOCK(1 downto 0) => B"00",
S_AXI_HP0_AWPROT(2 downto 0) => B"000",
S_AXI_HP0_AWQOS(3 downto 0) => B"0000",
S_AXI_HP0_AWREADY => NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED,
S_AXI_HP0_AWSIZE(2 downto 0) => B"000",
S_AXI_HP0_AWVALID => '0',
S_AXI_HP0_BID(5 downto 0) => NLW_inst_S_AXI_HP0_BID_UNCONNECTED(5 downto 0),
S_AXI_HP0_BREADY => '0',
S_AXI_HP0_BRESP(1 downto 0) => NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_BVALID => NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED,
S_AXI_HP0_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP0_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_RDATA(63 downto 0) => NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP0_RDISSUECAP1_EN => '0',
S_AXI_HP0_RID(5 downto 0) => NLW_inst_S_AXI_HP0_RID_UNCONNECTED(5 downto 0),
S_AXI_HP0_RLAST => NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED,
S_AXI_HP0_RREADY => '0',
S_AXI_HP0_RRESP(1 downto 0) => NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_RVALID => NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED,
S_AXI_HP0_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP0_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP0_WID(5 downto 0) => B"000000",
S_AXI_HP0_WLAST => '0',
S_AXI_HP0_WREADY => NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED,
S_AXI_HP0_WRISSUECAP1_EN => '0',
S_AXI_HP0_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP0_WVALID => '0',
S_AXI_HP1_ACLK => '0',
S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_ARBURST(1 downto 0) => B"00",
S_AXI_HP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED,
S_AXI_HP1_ARID(5 downto 0) => B"000000",
S_AXI_HP1_ARLEN(3 downto 0) => B"0000",
S_AXI_HP1_ARLOCK(1 downto 0) => B"00",
S_AXI_HP1_ARPROT(2 downto 0) => B"000",
S_AXI_HP1_ARQOS(3 downto 0) => B"0000",
S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED,
S_AXI_HP1_ARSIZE(2 downto 0) => B"000",
S_AXI_HP1_ARVALID => '0',
S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_AWBURST(1 downto 0) => B"00",
S_AXI_HP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP1_AWID(5 downto 0) => B"000000",
S_AXI_HP1_AWLEN(3 downto 0) => B"0000",
S_AXI_HP1_AWLOCK(1 downto 0) => B"00",
S_AXI_HP1_AWPROT(2 downto 0) => B"000",
S_AXI_HP1_AWQOS(3 downto 0) => B"0000",
S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED,
S_AXI_HP1_AWSIZE(2 downto 0) => B"000",
S_AXI_HP1_AWVALID => '0',
S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0),
S_AXI_HP1_BREADY => '0',
S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED,
S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP1_RDISSUECAP1_EN => '0',
S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0),
S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED,
S_AXI_HP1_RREADY => '0',
S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED,
S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP1_WID(5 downto 0) => B"000000",
S_AXI_HP1_WLAST => '0',
S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED,
S_AXI_HP1_WRISSUECAP1_EN => '0',
S_AXI_HP1_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP1_WVALID => '0',
S_AXI_HP2_ACLK => '0',
S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_ARBURST(1 downto 0) => B"00",
S_AXI_HP2_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED,
S_AXI_HP2_ARID(5 downto 0) => B"000000",
S_AXI_HP2_ARLEN(3 downto 0) => B"0000",
S_AXI_HP2_ARLOCK(1 downto 0) => B"00",
S_AXI_HP2_ARPROT(2 downto 0) => B"000",
S_AXI_HP2_ARQOS(3 downto 0) => B"0000",
S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED,
S_AXI_HP2_ARSIZE(2 downto 0) => B"000",
S_AXI_HP2_ARVALID => '0',
S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_AWBURST(1 downto 0) => B"00",
S_AXI_HP2_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP2_AWID(5 downto 0) => B"000000",
S_AXI_HP2_AWLEN(3 downto 0) => B"0000",
S_AXI_HP2_AWLOCK(1 downto 0) => B"00",
S_AXI_HP2_AWPROT(2 downto 0) => B"000",
S_AXI_HP2_AWQOS(3 downto 0) => B"0000",
S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED,
S_AXI_HP2_AWSIZE(2 downto 0) => B"000",
S_AXI_HP2_AWVALID => '0',
S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0),
S_AXI_HP2_BREADY => '0',
S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED,
S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP2_RDISSUECAP1_EN => '0',
S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0),
S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED,
S_AXI_HP2_RREADY => '0',
S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED,
S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP2_WID(5 downto 0) => B"000000",
S_AXI_HP2_WLAST => '0',
S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED,
S_AXI_HP2_WRISSUECAP1_EN => '0',
S_AXI_HP2_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP2_WVALID => '0',
S_AXI_HP3_ACLK => '0',
S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_ARBURST(1 downto 0) => B"00",
S_AXI_HP3_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED,
S_AXI_HP3_ARID(5 downto 0) => B"000000",
S_AXI_HP3_ARLEN(3 downto 0) => B"0000",
S_AXI_HP3_ARLOCK(1 downto 0) => B"00",
S_AXI_HP3_ARPROT(2 downto 0) => B"000",
S_AXI_HP3_ARQOS(3 downto 0) => B"0000",
S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED,
S_AXI_HP3_ARSIZE(2 downto 0) => B"000",
S_AXI_HP3_ARVALID => '0',
S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_AWBURST(1 downto 0) => B"00",
S_AXI_HP3_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP3_AWID(5 downto 0) => B"000000",
S_AXI_HP3_AWLEN(3 downto 0) => B"0000",
S_AXI_HP3_AWLOCK(1 downto 0) => B"00",
S_AXI_HP3_AWPROT(2 downto 0) => B"000",
S_AXI_HP3_AWQOS(3 downto 0) => B"0000",
S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED,
S_AXI_HP3_AWSIZE(2 downto 0) => B"000",
S_AXI_HP3_AWVALID => '0',
S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0),
S_AXI_HP3_BREADY => '0',
S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED,
S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP3_RDISSUECAP1_EN => '0',
S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0),
S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED,
S_AXI_HP3_RREADY => '0',
S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED,
S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP3_WID(5 downto 0) => B"000000",
S_AXI_HP3_WLAST => '0',
S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED,
S_AXI_HP3_WRISSUECAP1_EN => '0',
S_AXI_HP3_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP3_WVALID => '0',
TRACE_CLK => '0',
TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED,
TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED,
TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0),
TTC0_CLK0_IN => '0',
TTC0_CLK1_IN => '0',
TTC0_CLK2_IN => '0',
TTC0_WAVE0_OUT => NLW_inst_TTC0_WAVE0_OUT_UNCONNECTED,
TTC0_WAVE1_OUT => NLW_inst_TTC0_WAVE1_OUT_UNCONNECTED,
TTC0_WAVE2_OUT => NLW_inst_TTC0_WAVE2_OUT_UNCONNECTED,
TTC1_CLK0_IN => '0',
TTC1_CLK1_IN => '0',
TTC1_CLK2_IN => '0',
TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED,
TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED,
TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED,
UART0_CTSN => '0',
UART0_DCDN => '0',
UART0_DSRN => '0',
UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED,
UART0_RIN => '0',
UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED,
UART0_RX => '1',
UART0_TX => NLW_inst_UART0_TX_UNCONNECTED,
UART1_CTSN => '0',
UART1_DCDN => '0',
UART1_DSRN => '0',
UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED,
UART1_RIN => '0',
UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED,
UART1_RX => '1',
UART1_TX => NLW_inst_UART1_TX_UNCONNECTED,
USB0_PORT_INDCTL(1 downto 0) => NLW_inst_USB0_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB0_VBUS_PWRFAULT => '0',
USB0_VBUS_PWRSELECT => NLW_inst_USB0_VBUS_PWRSELECT_UNCONNECTED,
USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB1_VBUS_PWRFAULT => '0',
USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED,
WDT_CLK_IN => '0',
WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED
);
end STRUCTURE;
| mit | 6edfa4611db8ae2222b98bd1c5893790 | 0.640098 | 2.762438 | false | false | false | false |
astoria-d/super-duper-nes | duper_cartridge/fifo.vhd | 1 | 3,380 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.conv_integer;
use ieee.std_logic_unsigned.all;
entity fifo is
generic (abus_size : integer := 8);
port (
pi_rst_n : in std_logic;
pi_base_clk : in std_logic;
pi_ce_n : in std_logic;
pi_oe_n : in std_logic;
pi_push_n : in std_logic;
pi_pop_n : in std_logic;
pi_data : in std_logic_vector (7 downto 0);
po_data : out std_logic_vector (7 downto 0);
po_stat_empty : out std_logic;
po_stat_full : out std_logic
);
end fifo;
architecture rtl of fifo is
subtype ram_data is std_logic_vector (7 downto 0);
type ram_array is array (0 to 2**abus_size - 1) of ram_data;
constant FIFO_MAX : integer := 2 ** abus_size;
---ram is initialized with 0.
signal work_ram : ram_array := (others => (others => '0'));
--fifo pointer registers.
signal reg_fifo_head : std_logic_vector(abus_size -1 downto 0);
signal reg_fifo_tail : std_logic_vector(abus_size -1 downto 0);
signal reg_fifo_size : integer range 0 to 2 ** abus_size;
signal reg_stat_empty : std_logic;
signal reg_stat_full : std_logic;
begin
--write fifo
p_write : process (pi_rst_n, pi_base_clk)
begin
if (pi_rst_n = '0') then
work_ram <= (others => "11111111");
elsif (rising_edge(pi_base_clk)) then
if (pi_ce_n = '0' and pi_push_n = '0' and reg_fifo_size < FIFO_MAX) then
work_ram(conv_integer(reg_fifo_tail)) <= pi_data;
end if;
end if;
end process;
--read fifo
p_read : process (pi_base_clk)
begin
if (rising_edge(pi_base_clk)) then
if (pi_ce_n = '0' and pi_oe_n = '0') then
po_data <= work_ram(conv_integer(reg_fifo_head));
else
po_data <= (others => 'Z');
end if;
end if;
end process;
--fifo pointer...
po_stat_empty <= reg_stat_empty;
po_stat_full <= reg_stat_full;
p_fifo_pointer : process (pi_rst_n, pi_base_clk)
begin
if (pi_rst_n = '0') then
reg_fifo_tail <= (others => '0');
reg_fifo_head <= (others => '0');
reg_fifo_size <= 0;
reg_stat_empty <= '1';
reg_stat_full <= '0';
elsif (rising_edge(pi_base_clk)) then
if (reg_fifo_size > 0) then
reg_stat_empty <= '0';
else
reg_stat_empty <= '1';
end if;
if (reg_fifo_size < FIFO_MAX) then
reg_stat_full <= '0';
else
reg_stat_full <= '1';
end if;
if (pi_ce_n = '0' and pi_push_n = '0') then
if (reg_fifo_size < FIFO_MAX) then
reg_fifo_tail <= reg_fifo_tail + 1;
reg_fifo_size <= reg_fifo_size + 1;
end if;
elsif (pi_ce_n = '0' and pi_pop_n = '0') then
if (reg_fifo_size > 0) then
reg_fifo_head <= reg_fifo_head + 1;
reg_fifo_size <= reg_fifo_size - 1;
end if;
end if;
end if;
end process;
end rtl;
| apache-2.0 | 8654cf8d119f3cec81a04e1f3c250557 | 0.482544 | 3.356504 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/iir/bq/filter_converter_sp2dp.vhd | 1 | 933 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity filter_converter_sp2dp is
port
(
float : in std_logic_vector( 33 downto 0 );
double : out std_logic_vector( 65 downto 0 )
);
end filter_converter_sp2dp;
architecture behaviour of filter_converter_sp2dp is
begin
-- FloPoCo Control bits
double( 65 downto 64 ) <= float( 33 downto 32 );
-- sign
double( 63 downto 63 ) <= float( 31 downto 31 );
-- exponent
double( 62 ) <= float( 30 );
double( 61 ) <= not float( 30 );
double( 60 ) <= not float( 30 );
double( 59 ) <= not float( 30 );
double( 58 downto 52 ) <= float( 29 downto 23 );
-- mantissa
double( 51 downto 0 ) <= float( 22 downto 0 ) & "00000000000000000000000000000";
end behaviour;
| mit | db324cd1c937b757019b0468f1b08c06 | 0.525188 | 4.056522 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/hls_tutorial_lab1/hls_tutorial_lab1.srcs/sources_1/bd/zybo_zynq_design/ip/zybo_zynq_design_processing_system7_0_0/zybo_zynq_design_processing_system7_0_0_sim_netlist.vhdl | 1 | 204,844 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Sun Sep 22 02:34:38 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim
-- D:/github/Digital-Hardware-Modelling/xilinx-vivado/hls_tutorial_lab1/hls_tutorial_lab1.srcs/sources_1/bd/zybo_zynq_design/ip/zybo_zynq_design_processing_system7_0_0/zybo_zynq_design_processing_system7_0_0_sim_netlist.vhdl
-- Design : zybo_zynq_design_processing_system7_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 is
port (
CAN0_PHY_TX : out STD_LOGIC;
CAN0_PHY_RX : in STD_LOGIC;
CAN1_PHY_TX : out STD_LOGIC;
CAN1_PHY_RX : in STD_LOGIC;
ENET0_GMII_TX_EN : out STD_LOGIC;
ENET0_GMII_TX_ER : out STD_LOGIC;
ENET0_MDIO_MDC : out STD_LOGIC;
ENET0_MDIO_O : out STD_LOGIC;
ENET0_MDIO_T : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET0_SOF_RX : out STD_LOGIC;
ENET0_SOF_TX : out STD_LOGIC;
ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET0_GMII_COL : in STD_LOGIC;
ENET0_GMII_CRS : in STD_LOGIC;
ENET0_GMII_RX_CLK : in STD_LOGIC;
ENET0_GMII_RX_DV : in STD_LOGIC;
ENET0_GMII_RX_ER : in STD_LOGIC;
ENET0_GMII_TX_CLK : in STD_LOGIC;
ENET0_MDIO_I : in STD_LOGIC;
ENET0_EXT_INTIN : in STD_LOGIC;
ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_TX_EN : out STD_LOGIC;
ENET1_GMII_TX_ER : out STD_LOGIC;
ENET1_MDIO_MDC : out STD_LOGIC;
ENET1_MDIO_O : out STD_LOGIC;
ENET1_MDIO_T : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET1_SOF_RX : out STD_LOGIC;
ENET1_SOF_TX : out STD_LOGIC;
ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_COL : in STD_LOGIC;
ENET1_GMII_CRS : in STD_LOGIC;
ENET1_GMII_RX_CLK : in STD_LOGIC;
ENET1_GMII_RX_DV : in STD_LOGIC;
ENET1_GMII_RX_ER : in STD_LOGIC;
ENET1_GMII_TX_CLK : in STD_LOGIC;
ENET1_MDIO_I : in STD_LOGIC;
ENET1_EXT_INTIN : in STD_LOGIC;
ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
I2C0_SDA_I : in STD_LOGIC;
I2C0_SDA_O : out STD_LOGIC;
I2C0_SDA_T : out STD_LOGIC;
I2C0_SCL_I : in STD_LOGIC;
I2C0_SCL_O : out STD_LOGIC;
I2C0_SCL_T : out STD_LOGIC;
I2C1_SDA_I : in STD_LOGIC;
I2C1_SDA_O : out STD_LOGIC;
I2C1_SDA_T : out STD_LOGIC;
I2C1_SCL_I : in STD_LOGIC;
I2C1_SCL_O : out STD_LOGIC;
I2C1_SCL_T : out STD_LOGIC;
PJTAG_TCK : in STD_LOGIC;
PJTAG_TMS : in STD_LOGIC;
PJTAG_TDI : in STD_LOGIC;
PJTAG_TDO : out STD_LOGIC;
SDIO0_CLK : out STD_LOGIC;
SDIO0_CLK_FB : in STD_LOGIC;
SDIO0_CMD_O : out STD_LOGIC;
SDIO0_CMD_I : in STD_LOGIC;
SDIO0_CMD_T : out STD_LOGIC;
SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_LED : out STD_LOGIC;
SDIO0_CDN : in STD_LOGIC;
SDIO0_WP : in STD_LOGIC;
SDIO0_BUSPOW : out STD_LOGIC;
SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SDIO1_CLK : out STD_LOGIC;
SDIO1_CLK_FB : in STD_LOGIC;
SDIO1_CMD_O : out STD_LOGIC;
SDIO1_CMD_I : in STD_LOGIC;
SDIO1_CMD_T : out STD_LOGIC;
SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_LED : out STD_LOGIC;
SDIO1_CDN : in STD_LOGIC;
SDIO1_WP : in STD_LOGIC;
SDIO1_BUSPOW : out STD_LOGIC;
SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SPI0_SCLK_I : in STD_LOGIC;
SPI0_SCLK_O : out STD_LOGIC;
SPI0_SCLK_T : out STD_LOGIC;
SPI0_MOSI_I : in STD_LOGIC;
SPI0_MOSI_O : out STD_LOGIC;
SPI0_MOSI_T : out STD_LOGIC;
SPI0_MISO_I : in STD_LOGIC;
SPI0_MISO_O : out STD_LOGIC;
SPI0_MISO_T : out STD_LOGIC;
SPI0_SS_I : in STD_LOGIC;
SPI0_SS_O : out STD_LOGIC;
SPI0_SS1_O : out STD_LOGIC;
SPI0_SS2_O : out STD_LOGIC;
SPI0_SS_T : out STD_LOGIC;
SPI1_SCLK_I : in STD_LOGIC;
SPI1_SCLK_O : out STD_LOGIC;
SPI1_SCLK_T : out STD_LOGIC;
SPI1_MOSI_I : in STD_LOGIC;
SPI1_MOSI_O : out STD_LOGIC;
SPI1_MOSI_T : out STD_LOGIC;
SPI1_MISO_I : in STD_LOGIC;
SPI1_MISO_O : out STD_LOGIC;
SPI1_MISO_T : out STD_LOGIC;
SPI1_SS_I : in STD_LOGIC;
SPI1_SS_O : out STD_LOGIC;
SPI1_SS1_O : out STD_LOGIC;
SPI1_SS2_O : out STD_LOGIC;
SPI1_SS_T : out STD_LOGIC;
UART0_DTRN : out STD_LOGIC;
UART0_RTSN : out STD_LOGIC;
UART0_TX : out STD_LOGIC;
UART0_CTSN : in STD_LOGIC;
UART0_DCDN : in STD_LOGIC;
UART0_DSRN : in STD_LOGIC;
UART0_RIN : in STD_LOGIC;
UART0_RX : in STD_LOGIC;
UART1_DTRN : out STD_LOGIC;
UART1_RTSN : out STD_LOGIC;
UART1_TX : out STD_LOGIC;
UART1_CTSN : in STD_LOGIC;
UART1_DCDN : in STD_LOGIC;
UART1_DSRN : in STD_LOGIC;
UART1_RIN : in STD_LOGIC;
UART1_RX : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
TTC0_CLK0_IN : in STD_LOGIC;
TTC0_CLK1_IN : in STD_LOGIC;
TTC0_CLK2_IN : in STD_LOGIC;
TTC1_WAVE0_OUT : out STD_LOGIC;
TTC1_WAVE1_OUT : out STD_LOGIC;
TTC1_WAVE2_OUT : out STD_LOGIC;
TTC1_CLK0_IN : in STD_LOGIC;
TTC1_CLK1_IN : in STD_LOGIC;
TTC1_CLK2_IN : in STD_LOGIC;
WDT_CLK_IN : in STD_LOGIC;
WDT_RST_OUT : out STD_LOGIC;
TRACE_CLK : in STD_LOGIC;
TRACE_CTL : out STD_LOGIC;
TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 );
TRACE_CLK_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB1_VBUS_PWRSELECT : out STD_LOGIC;
USB1_VBUS_PWRFAULT : in STD_LOGIC;
SRAM_INTIN : in STD_LOGIC;
M_AXI_GP0_ARESETN : out STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARESETN : out STD_LOGIC;
M_AXI_GP1_ARVALID : out STD_LOGIC;
M_AXI_GP1_AWVALID : out STD_LOGIC;
M_AXI_GP1_BREADY : out STD_LOGIC;
M_AXI_GP1_RREADY : out STD_LOGIC;
M_AXI_GP1_WLAST : out STD_LOGIC;
M_AXI_GP1_WVALID : out STD_LOGIC;
M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ACLK : in STD_LOGIC;
M_AXI_GP1_ARREADY : in STD_LOGIC;
M_AXI_GP1_AWREADY : in STD_LOGIC;
M_AXI_GP1_BVALID : in STD_LOGIC;
M_AXI_GP1_RLAST : in STD_LOGIC;
M_AXI_GP1_RVALID : in STD_LOGIC;
M_AXI_GP1_WREADY : in STD_LOGIC;
M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARESETN : out STD_LOGIC;
S_AXI_GP0_ARREADY : out STD_LOGIC;
S_AXI_GP0_AWREADY : out STD_LOGIC;
S_AXI_GP0_BVALID : out STD_LOGIC;
S_AXI_GP0_RLAST : out STD_LOGIC;
S_AXI_GP0_RVALID : out STD_LOGIC;
S_AXI_GP0_WREADY : out STD_LOGIC;
S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_ACLK : in STD_LOGIC;
S_AXI_GP0_ARVALID : in STD_LOGIC;
S_AXI_GP0_AWVALID : in STD_LOGIC;
S_AXI_GP0_BREADY : in STD_LOGIC;
S_AXI_GP0_RREADY : in STD_LOGIC;
S_AXI_GP0_WLAST : in STD_LOGIC;
S_AXI_GP0_WVALID : in STD_LOGIC;
S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ARESETN : out STD_LOGIC;
S_AXI_GP1_ARREADY : out STD_LOGIC;
S_AXI_GP1_AWREADY : out STD_LOGIC;
S_AXI_GP1_BVALID : out STD_LOGIC;
S_AXI_GP1_RLAST : out STD_LOGIC;
S_AXI_GP1_RVALID : out STD_LOGIC;
S_AXI_GP1_WREADY : out STD_LOGIC;
S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ACLK : in STD_LOGIC;
S_AXI_GP1_ARVALID : in STD_LOGIC;
S_AXI_GP1_AWVALID : in STD_LOGIC;
S_AXI_GP1_BREADY : in STD_LOGIC;
S_AXI_GP1_RREADY : in STD_LOGIC;
S_AXI_GP1_WLAST : in STD_LOGIC;
S_AXI_GP1_WVALID : in STD_LOGIC;
S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_ACP_ARESETN : out STD_LOGIC;
S_AXI_ACP_ARREADY : out STD_LOGIC;
S_AXI_ACP_AWREADY : out STD_LOGIC;
S_AXI_ACP_BVALID : out STD_LOGIC;
S_AXI_ACP_RLAST : out STD_LOGIC;
S_AXI_ACP_RVALID : out STD_LOGIC;
S_AXI_ACP_WREADY : out STD_LOGIC;
S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_ACLK : in STD_LOGIC;
S_AXI_ACP_ARVALID : in STD_LOGIC;
S_AXI_ACP_AWVALID : in STD_LOGIC;
S_AXI_ACP_BREADY : in STD_LOGIC;
S_AXI_ACP_RREADY : in STD_LOGIC;
S_AXI_ACP_WLAST : in STD_LOGIC;
S_AXI_ACP_WVALID : in STD_LOGIC;
S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_ARESETN : out STD_LOGIC;
S_AXI_HP0_ARREADY : out STD_LOGIC;
S_AXI_HP0_AWREADY : out STD_LOGIC;
S_AXI_HP0_BVALID : out STD_LOGIC;
S_AXI_HP0_RLAST : out STD_LOGIC;
S_AXI_HP0_RVALID : out STD_LOGIC;
S_AXI_HP0_WREADY : out STD_LOGIC;
S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_ACLK : in STD_LOGIC;
S_AXI_HP0_ARVALID : in STD_LOGIC;
S_AXI_HP0_AWVALID : in STD_LOGIC;
S_AXI_HP0_BREADY : in STD_LOGIC;
S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_RREADY : in STD_LOGIC;
S_AXI_HP0_WLAST : in STD_LOGIC;
S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_WVALID : in STD_LOGIC;
S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_ARESETN : out STD_LOGIC;
S_AXI_HP1_ARREADY : out STD_LOGIC;
S_AXI_HP1_AWREADY : out STD_LOGIC;
S_AXI_HP1_BVALID : out STD_LOGIC;
S_AXI_HP1_RLAST : out STD_LOGIC;
S_AXI_HP1_RVALID : out STD_LOGIC;
S_AXI_HP1_WREADY : out STD_LOGIC;
S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_ACLK : in STD_LOGIC;
S_AXI_HP1_ARVALID : in STD_LOGIC;
S_AXI_HP1_AWVALID : in STD_LOGIC;
S_AXI_HP1_BREADY : in STD_LOGIC;
S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_RREADY : in STD_LOGIC;
S_AXI_HP1_WLAST : in STD_LOGIC;
S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_WVALID : in STD_LOGIC;
S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_ARESETN : out STD_LOGIC;
S_AXI_HP2_ARREADY : out STD_LOGIC;
S_AXI_HP2_AWREADY : out STD_LOGIC;
S_AXI_HP2_BVALID : out STD_LOGIC;
S_AXI_HP2_RLAST : out STD_LOGIC;
S_AXI_HP2_RVALID : out STD_LOGIC;
S_AXI_HP2_WREADY : out STD_LOGIC;
S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_ACLK : in STD_LOGIC;
S_AXI_HP2_ARVALID : in STD_LOGIC;
S_AXI_HP2_AWVALID : in STD_LOGIC;
S_AXI_HP2_BREADY : in STD_LOGIC;
S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_RREADY : in STD_LOGIC;
S_AXI_HP2_WLAST : in STD_LOGIC;
S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_WVALID : in STD_LOGIC;
S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_ARESETN : out STD_LOGIC;
S_AXI_HP3_ARREADY : out STD_LOGIC;
S_AXI_HP3_AWREADY : out STD_LOGIC;
S_AXI_HP3_BVALID : out STD_LOGIC;
S_AXI_HP3_RLAST : out STD_LOGIC;
S_AXI_HP3_RVALID : out STD_LOGIC;
S_AXI_HP3_WREADY : out STD_LOGIC;
S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_ACLK : in STD_LOGIC;
S_AXI_HP3_ARVALID : in STD_LOGIC;
S_AXI_HP3_AWVALID : in STD_LOGIC;
S_AXI_HP3_BREADY : in STD_LOGIC;
S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_RREADY : in STD_LOGIC;
S_AXI_HP3_WLAST : in STD_LOGIC;
S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_WVALID : in STD_LOGIC;
S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
IRQ_P2F_DMAC_ABORT : out STD_LOGIC;
IRQ_P2F_DMAC0 : out STD_LOGIC;
IRQ_P2F_DMAC1 : out STD_LOGIC;
IRQ_P2F_DMAC2 : out STD_LOGIC;
IRQ_P2F_DMAC3 : out STD_LOGIC;
IRQ_P2F_DMAC4 : out STD_LOGIC;
IRQ_P2F_DMAC5 : out STD_LOGIC;
IRQ_P2F_DMAC6 : out STD_LOGIC;
IRQ_P2F_DMAC7 : out STD_LOGIC;
IRQ_P2F_SMC : out STD_LOGIC;
IRQ_P2F_QSPI : out STD_LOGIC;
IRQ_P2F_CTI : out STD_LOGIC;
IRQ_P2F_GPIO : out STD_LOGIC;
IRQ_P2F_USB0 : out STD_LOGIC;
IRQ_P2F_ENET0 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE0 : out STD_LOGIC;
IRQ_P2F_SDIO0 : out STD_LOGIC;
IRQ_P2F_I2C0 : out STD_LOGIC;
IRQ_P2F_SPI0 : out STD_LOGIC;
IRQ_P2F_UART0 : out STD_LOGIC;
IRQ_P2F_CAN0 : out STD_LOGIC;
IRQ_P2F_USB1 : out STD_LOGIC;
IRQ_P2F_ENET1 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE1 : out STD_LOGIC;
IRQ_P2F_SDIO1 : out STD_LOGIC;
IRQ_P2F_I2C1 : out STD_LOGIC;
IRQ_P2F_SPI1 : out STD_LOGIC;
IRQ_P2F_UART1 : out STD_LOGIC;
IRQ_P2F_CAN1 : out STD_LOGIC;
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
Core0_nFIQ : in STD_LOGIC;
Core0_nIRQ : in STD_LOGIC;
Core1_nFIQ : in STD_LOGIC;
Core1_nIRQ : in STD_LOGIC;
DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA0_DAVALID : out STD_LOGIC;
DMA0_DRREADY : out STD_LOGIC;
DMA0_RSTN : out STD_LOGIC;
DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DAVALID : out STD_LOGIC;
DMA1_DRREADY : out STD_LOGIC;
DMA1_RSTN : out STD_LOGIC;
DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DAVALID : out STD_LOGIC;
DMA2_DRREADY : out STD_LOGIC;
DMA2_RSTN : out STD_LOGIC;
DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DAVALID : out STD_LOGIC;
DMA3_DRREADY : out STD_LOGIC;
DMA3_RSTN : out STD_LOGIC;
DMA0_ACLK : in STD_LOGIC;
DMA0_DAREADY : in STD_LOGIC;
DMA0_DRLAST : in STD_LOGIC;
DMA0_DRVALID : in STD_LOGIC;
DMA1_ACLK : in STD_LOGIC;
DMA1_DAREADY : in STD_LOGIC;
DMA1_DRLAST : in STD_LOGIC;
DMA1_DRVALID : in STD_LOGIC;
DMA2_ACLK : in STD_LOGIC;
DMA2_DAREADY : in STD_LOGIC;
DMA2_DRLAST : in STD_LOGIC;
DMA2_DRVALID : in STD_LOGIC;
DMA3_ACLK : in STD_LOGIC;
DMA3_DAREADY : in STD_LOGIC;
DMA3_DRLAST : in STD_LOGIC;
DMA3_DRVALID : in STD_LOGIC;
DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
FCLK_CLK3 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLKTRIG3_N : in STD_LOGIC;
FCLK_CLKTRIG2_N : in STD_LOGIC;
FCLK_CLKTRIG1_N : in STD_LOGIC;
FCLK_CLKTRIG0_N : in STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMD_TRACEIN_VALID : in STD_LOGIC;
FTMD_TRACEIN_CLK : in STD_LOGIC;
FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 );
FTMT_F2P_TRIG_0 : in STD_LOGIC;
FTMT_F2P_TRIGACK_0 : out STD_LOGIC;
FTMT_F2P_TRIG_1 : in STD_LOGIC;
FTMT_F2P_TRIGACK_1 : out STD_LOGIC;
FTMT_F2P_TRIG_2 : in STD_LOGIC;
FTMT_F2P_TRIGACK_2 : out STD_LOGIC;
FTMT_F2P_TRIG_3 : in STD_LOGIC;
FTMT_F2P_TRIGACK_3 : out STD_LOGIC;
FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMT_P2F_TRIGACK_0 : in STD_LOGIC;
FTMT_P2F_TRIG_0 : out STD_LOGIC;
FTMT_P2F_TRIGACK_1 : in STD_LOGIC;
FTMT_P2F_TRIG_1 : out STD_LOGIC;
FTMT_P2F_TRIGACK_2 : in STD_LOGIC;
FTMT_P2F_TRIG_2 : out STD_LOGIC;
FTMT_P2F_TRIGACK_3 : in STD_LOGIC;
FTMT_P2F_TRIG_3 : out STD_LOGIC;
FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 );
FPGA_IDLE_N : in STD_LOGIC;
EVENT_EVENTO : out STD_LOGIC;
EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_EVENTI : in STD_LOGIC;
DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 );
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "zybo_zynq_design_processing_system7_0_0.hwdef";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "processing_system7_v5_5_processing_system7";
attribute POWER : string;
attribute POWER of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={650} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={525} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={9} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={108.333336} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={HSTL_I_18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={7} ioBank={Vcco_p0} clockFreq={200} usageRate={0.5} /><PLL domain={Processor} vco={1300.000} /><PLL domain={Memory} vco={1050.000} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
end zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7;
architecture STRUCTURE of zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 is
signal \<const0>\ : STD_LOGIC;
signal ENET0_MDIO_T_n : STD_LOGIC;
signal ENET1_MDIO_T_n : STD_LOGIC;
signal FCLK_CLK_unbuffered : STD_LOGIC_VECTOR ( 0 to 0 );
signal I2C0_SCL_T_n : STD_LOGIC;
signal I2C0_SDA_T_n : STD_LOGIC;
signal I2C1_SCL_T_n : STD_LOGIC;
signal I2C1_SDA_T_n : STD_LOGIC;
signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal SDIO0_CMD_T_n : STD_LOGIC;
signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SDIO1_CMD_T_n : STD_LOGIC;
signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SPI0_MISO_T_n : STD_LOGIC;
signal SPI0_MOSI_T_n : STD_LOGIC;
signal SPI0_SCLK_T_n : STD_LOGIC;
signal SPI0_SS_T_n : STD_LOGIC;
signal SPI1_MISO_T_n : STD_LOGIC;
signal SPI1_MOSI_T_n : STD_LOGIC;
signal SPI1_SCLK_T_n : STD_LOGIC;
signal SPI1_SS_T_n : STD_LOGIC;
signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true";
signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true";
signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true";
signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true";
signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true";
signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true";
signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true";
signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true";
signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true";
signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true";
signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true";
signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true";
signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true";
signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true";
signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true";
signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true";
signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 );
signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 );
signal buffered_DDR_CAS_n : STD_LOGIC;
signal buffered_DDR_CKE : STD_LOGIC;
signal buffered_DDR_CS_n : STD_LOGIC;
signal buffered_DDR_Clk : STD_LOGIC;
signal buffered_DDR_Clk_n : STD_LOGIC;
signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DRSTB : STD_LOGIC;
signal buffered_DDR_ODT : STD_LOGIC;
signal buffered_DDR_RAS_n : STD_LOGIC;
signal buffered_DDR_VRN : STD_LOGIC;
signal buffered_DDR_VRP : STD_LOGIC;
signal buffered_DDR_WEB : STD_LOGIC;
signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 );
signal buffered_PS_CLK : STD_LOGIC;
signal buffered_PS_PORB : STD_LOGIC;
signal buffered_PS_SRSTB : STD_LOGIC;
signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS7_i : label is "PRIMITIVE";
attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
begin
ENET0_GMII_TXD(7) <= \<const0>\;
ENET0_GMII_TXD(6) <= \<const0>\;
ENET0_GMII_TXD(5) <= \<const0>\;
ENET0_GMII_TXD(4) <= \<const0>\;
ENET0_GMII_TXD(3) <= \<const0>\;
ENET0_GMII_TXD(2) <= \<const0>\;
ENET0_GMII_TXD(1) <= \<const0>\;
ENET0_GMII_TXD(0) <= \<const0>\;
ENET0_GMII_TX_EN <= \<const0>\;
ENET0_GMII_TX_ER <= \<const0>\;
ENET1_GMII_TXD(7) <= \<const0>\;
ENET1_GMII_TXD(6) <= \<const0>\;
ENET1_GMII_TXD(5) <= \<const0>\;
ENET1_GMII_TXD(4) <= \<const0>\;
ENET1_GMII_TXD(3) <= \<const0>\;
ENET1_GMII_TXD(2) <= \<const0>\;
ENET1_GMII_TXD(1) <= \<const0>\;
ENET1_GMII_TXD(0) <= \<const0>\;
ENET1_GMII_TX_EN <= \<const0>\;
ENET1_GMII_TX_ER <= \<const0>\;
M_AXI_GP0_ARSIZE(2) <= \<const0>\;
M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0);
M_AXI_GP0_AWSIZE(2) <= \<const0>\;
M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0);
M_AXI_GP1_ARSIZE(2) <= \<const0>\;
M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0);
M_AXI_GP1_AWSIZE(2) <= \<const0>\;
M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0);
PJTAG_TDO <= \<const0>\;
TRACE_CLK_OUT <= \<const0>\;
TRACE_CTL <= \TRACE_CTL_PIPE[0]\;
TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0);
DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CAS_n,
PAD => DDR_CAS_n
);
DDR_CKE_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CKE,
PAD => DDR_CKE
);
DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CS_n,
PAD => DDR_CS_n
);
DDR_Clk_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk,
PAD => DDR_Clk
);
DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk_n,
PAD => DDR_Clk_n
);
DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DRSTB,
PAD => DDR_DRSTB
);
DDR_ODT_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_ODT,
PAD => DDR_ODT
);
DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_RAS_n,
PAD => DDR_RAS_n
);
DDR_VRN_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRN,
PAD => DDR_VRN
);
DDR_VRP_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRP,
PAD => DDR_VRP
);
DDR_WEB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_WEB,
PAD => DDR_WEB
);
ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET0_MDIO_T_n,
O => ENET0_MDIO_T
);
ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET1_MDIO_T_n,
O => ENET1_MDIO_T
);
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(0),
O => GPIO_T(0)
);
\GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(10),
O => GPIO_T(10)
);
\GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(11),
O => GPIO_T(11)
);
\GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(12),
O => GPIO_T(12)
);
\GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(13),
O => GPIO_T(13)
);
\GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(14),
O => GPIO_T(14)
);
\GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(15),
O => GPIO_T(15)
);
\GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(16),
O => GPIO_T(16)
);
\GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(17),
O => GPIO_T(17)
);
\GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(18),
O => GPIO_T(18)
);
\GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(19),
O => GPIO_T(19)
);
\GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(1),
O => GPIO_T(1)
);
\GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(20),
O => GPIO_T(20)
);
\GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(21),
O => GPIO_T(21)
);
\GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(22),
O => GPIO_T(22)
);
\GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(23),
O => GPIO_T(23)
);
\GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(24),
O => GPIO_T(24)
);
\GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(25),
O => GPIO_T(25)
);
\GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(26),
O => GPIO_T(26)
);
\GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(27),
O => GPIO_T(27)
);
\GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(28),
O => GPIO_T(28)
);
\GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(29),
O => GPIO_T(29)
);
\GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(2),
O => GPIO_T(2)
);
\GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(30),
O => GPIO_T(30)
);
\GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(31),
O => GPIO_T(31)
);
\GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(32),
O => GPIO_T(32)
);
\GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(33),
O => GPIO_T(33)
);
\GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(34),
O => GPIO_T(34)
);
\GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(35),
O => GPIO_T(35)
);
\GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(36),
O => GPIO_T(36)
);
\GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(37),
O => GPIO_T(37)
);
\GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(38),
O => GPIO_T(38)
);
\GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(39),
O => GPIO_T(39)
);
\GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(3),
O => GPIO_T(3)
);
\GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(40),
O => GPIO_T(40)
);
\GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(41),
O => GPIO_T(41)
);
\GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(42),
O => GPIO_T(42)
);
\GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(43),
O => GPIO_T(43)
);
\GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(44),
O => GPIO_T(44)
);
\GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(45),
O => GPIO_T(45)
);
\GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(46),
O => GPIO_T(46)
);
\GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(47),
O => GPIO_T(47)
);
\GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(48),
O => GPIO_T(48)
);
\GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(49),
O => GPIO_T(49)
);
\GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(4),
O => GPIO_T(4)
);
\GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(50),
O => GPIO_T(50)
);
\GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(51),
O => GPIO_T(51)
);
\GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(52),
O => GPIO_T(52)
);
\GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(53),
O => GPIO_T(53)
);
\GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(54),
O => GPIO_T(54)
);
\GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(55),
O => GPIO_T(55)
);
\GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(56),
O => GPIO_T(56)
);
\GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(57),
O => GPIO_T(57)
);
\GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(58),
O => GPIO_T(58)
);
\GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(59),
O => GPIO_T(59)
);
\GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(5),
O => GPIO_T(5)
);
\GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(60),
O => GPIO_T(60)
);
\GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(61),
O => GPIO_T(61)
);
\GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(62),
O => GPIO_T(62)
);
\GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(63),
O => GPIO_T(63)
);
\GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(6),
O => GPIO_T(6)
);
\GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(7),
O => GPIO_T(7)
);
\GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(8),
O => GPIO_T(8)
);
\GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(9),
O => GPIO_T(9)
);
I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SCL_T_n,
O => I2C0_SCL_T
);
I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SDA_T_n,
O => I2C0_SDA_T
);
I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SCL_T_n,
O => I2C1_SCL_T
);
I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SDA_T_n,
O => I2C1_SDA_T
);
PS7_i: unisim.vcomponents.PS7
port map (
DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0),
DDRARB(3 downto 0) => DDR_ARB(3 downto 0),
DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0),
DDRCASB => buffered_DDR_CAS_n,
DDRCKE => buffered_DDR_CKE,
DDRCKN => buffered_DDR_Clk_n,
DDRCKP => buffered_DDR_Clk,
DDRCSB => buffered_DDR_CS_n,
DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0),
DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0),
DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0),
DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0),
DDRDRSTB => buffered_DDR_DRSTB,
DDRODT => buffered_DDR_ODT,
DDRRASB => buffered_DDR_RAS_n,
DDRVRN => buffered_DDR_VRN,
DDRVRP => buffered_DDR_VRP,
DDRWEB => buffered_DDR_WEB,
DMA0ACLK => DMA0_ACLK,
DMA0DAREADY => DMA0_DAREADY,
DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0),
DMA0DAVALID => DMA0_DAVALID,
DMA0DRLAST => DMA0_DRLAST,
DMA0DRREADY => DMA0_DRREADY,
DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0),
DMA0DRVALID => DMA0_DRVALID,
DMA0RSTN => DMA0_RSTN,
DMA1ACLK => DMA1_ACLK,
DMA1DAREADY => DMA1_DAREADY,
DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0),
DMA1DAVALID => DMA1_DAVALID,
DMA1DRLAST => DMA1_DRLAST,
DMA1DRREADY => DMA1_DRREADY,
DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0),
DMA1DRVALID => DMA1_DRVALID,
DMA1RSTN => DMA1_RSTN,
DMA2ACLK => DMA2_ACLK,
DMA2DAREADY => DMA2_DAREADY,
DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0),
DMA2DAVALID => DMA2_DAVALID,
DMA2DRLAST => DMA2_DRLAST,
DMA2DRREADY => DMA2_DRREADY,
DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0),
DMA2DRVALID => DMA2_DRVALID,
DMA2RSTN => DMA2_RSTN,
DMA3ACLK => DMA3_ACLK,
DMA3DAREADY => DMA3_DAREADY,
DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0),
DMA3DAVALID => DMA3_DAVALID,
DMA3DRLAST => DMA3_DRLAST,
DMA3DRREADY => DMA3_DRREADY,
DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0),
DMA3DRVALID => DMA3_DRVALID,
DMA3RSTN => DMA3_RSTN,
EMIOCAN0PHYRX => CAN0_PHY_RX,
EMIOCAN0PHYTX => CAN0_PHY_TX,
EMIOCAN1PHYRX => CAN1_PHY_RX,
EMIOCAN1PHYTX => CAN1_PHY_TX,
EMIOENET0EXTINTIN => ENET0_EXT_INTIN,
EMIOENET0GMIICOL => '0',
EMIOENET0GMIICRS => '0',
EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK,
EMIOENET0GMIIRXD(7 downto 0) => B"00000000",
EMIOENET0GMIIRXDV => '0',
EMIOENET0GMIIRXER => '0',
EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK,
EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED,
EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED,
EMIOENET0MDIOI => ENET0_MDIO_I,
EMIOENET0MDIOMDC => ENET0_MDIO_MDC,
EMIOENET0MDIOO => ENET0_MDIO_O,
EMIOENET0MDIOTN => ENET0_MDIO_T_n,
EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX,
EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX,
EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX,
EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX,
EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX,
EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX,
EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX,
EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX,
EMIOENET0SOFRX => ENET0_SOF_RX,
EMIOENET0SOFTX => ENET0_SOF_TX,
EMIOENET1EXTINTIN => ENET1_EXT_INTIN,
EMIOENET1GMIICOL => '0',
EMIOENET1GMIICRS => '0',
EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK,
EMIOENET1GMIIRXD(7 downto 0) => B"00000000",
EMIOENET1GMIIRXDV => '0',
EMIOENET1GMIIRXER => '0',
EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK,
EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED,
EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED,
EMIOENET1MDIOI => ENET1_MDIO_I,
EMIOENET1MDIOMDC => ENET1_MDIO_MDC,
EMIOENET1MDIOO => ENET1_MDIO_O,
EMIOENET1MDIOTN => ENET1_MDIO_T_n,
EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX,
EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX,
EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX,
EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX,
EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX,
EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX,
EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX,
EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX,
EMIOENET1SOFRX => ENET1_SOF_RX,
EMIOENET1SOFTX => ENET1_SOF_TX,
EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0),
EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0),
EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0),
EMIOI2C0SCLI => I2C0_SCL_I,
EMIOI2C0SCLO => I2C0_SCL_O,
EMIOI2C0SCLTN => I2C0_SCL_T_n,
EMIOI2C0SDAI => I2C0_SDA_I,
EMIOI2C0SDAO => I2C0_SDA_O,
EMIOI2C0SDATN => I2C0_SDA_T_n,
EMIOI2C1SCLI => I2C1_SCL_I,
EMIOI2C1SCLO => I2C1_SCL_O,
EMIOI2C1SCLTN => I2C1_SCL_T_n,
EMIOI2C1SDAI => I2C1_SDA_I,
EMIOI2C1SDAO => I2C1_SDA_O,
EMIOI2C1SDATN => I2C1_SDA_T_n,
EMIOPJTAGTCK => PJTAG_TCK,
EMIOPJTAGTDI => PJTAG_TDI,
EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED,
EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED,
EMIOPJTAGTMS => PJTAG_TMS,
EMIOSDIO0BUSPOW => SDIO0_BUSPOW,
EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0),
EMIOSDIO0CDN => SDIO0_CDN,
EMIOSDIO0CLK => SDIO0_CLK,
EMIOSDIO0CLKFB => SDIO0_CLK_FB,
EMIOSDIO0CMDI => SDIO0_CMD_I,
EMIOSDIO0CMDO => SDIO0_CMD_O,
EMIOSDIO0CMDTN => SDIO0_CMD_T_n,
EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0),
EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0),
EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0),
EMIOSDIO0LED => SDIO0_LED,
EMIOSDIO0WP => SDIO0_WP,
EMIOSDIO1BUSPOW => SDIO1_BUSPOW,
EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0),
EMIOSDIO1CDN => SDIO1_CDN,
EMIOSDIO1CLK => SDIO1_CLK,
EMIOSDIO1CLKFB => SDIO1_CLK_FB,
EMIOSDIO1CMDI => SDIO1_CMD_I,
EMIOSDIO1CMDO => SDIO1_CMD_O,
EMIOSDIO1CMDTN => SDIO1_CMD_T_n,
EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0),
EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0),
EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0),
EMIOSDIO1LED => SDIO1_LED,
EMIOSDIO1WP => SDIO1_WP,
EMIOSPI0MI => SPI0_MISO_I,
EMIOSPI0MO => SPI0_MOSI_O,
EMIOSPI0MOTN => SPI0_MOSI_T_n,
EMIOSPI0SCLKI => SPI0_SCLK_I,
EMIOSPI0SCLKO => SPI0_SCLK_O,
EMIOSPI0SCLKTN => SPI0_SCLK_T_n,
EMIOSPI0SI => SPI0_MOSI_I,
EMIOSPI0SO => SPI0_MISO_O,
EMIOSPI0SSIN => SPI0_SS_I,
EMIOSPI0SSNTN => SPI0_SS_T_n,
EMIOSPI0SSON(2) => SPI0_SS2_O,
EMIOSPI0SSON(1) => SPI0_SS1_O,
EMIOSPI0SSON(0) => SPI0_SS_O,
EMIOSPI0STN => SPI0_MISO_T_n,
EMIOSPI1MI => SPI1_MISO_I,
EMIOSPI1MO => SPI1_MOSI_O,
EMIOSPI1MOTN => SPI1_MOSI_T_n,
EMIOSPI1SCLKI => SPI1_SCLK_I,
EMIOSPI1SCLKO => SPI1_SCLK_O,
EMIOSPI1SCLKTN => SPI1_SCLK_T_n,
EMIOSPI1SI => SPI1_MOSI_I,
EMIOSPI1SO => SPI1_MISO_O,
EMIOSPI1SSIN => SPI1_SS_I,
EMIOSPI1SSNTN => SPI1_SS_T_n,
EMIOSPI1SSON(2) => SPI1_SS2_O,
EMIOSPI1SSON(1) => SPI1_SS1_O,
EMIOSPI1SSON(0) => SPI1_SS_O,
EMIOSPI1STN => SPI1_MISO_T_n,
EMIOSRAMINTIN => SRAM_INTIN,
EMIOTRACECLK => TRACE_CLK,
EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED,
EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0),
EMIOTTC0CLKI(2) => TTC0_CLK2_IN,
EMIOTTC0CLKI(1) => TTC0_CLK1_IN,
EMIOTTC0CLKI(0) => TTC0_CLK0_IN,
EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT,
EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT,
EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT,
EMIOTTC1CLKI(2) => TTC1_CLK2_IN,
EMIOTTC1CLKI(1) => TTC1_CLK1_IN,
EMIOTTC1CLKI(0) => TTC1_CLK0_IN,
EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT,
EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT,
EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT,
EMIOUART0CTSN => UART0_CTSN,
EMIOUART0DCDN => UART0_DCDN,
EMIOUART0DSRN => UART0_DSRN,
EMIOUART0DTRN => UART0_DTRN,
EMIOUART0RIN => UART0_RIN,
EMIOUART0RTSN => UART0_RTSN,
EMIOUART0RX => UART0_RX,
EMIOUART0TX => UART0_TX,
EMIOUART1CTSN => UART1_CTSN,
EMIOUART1DCDN => UART1_DCDN,
EMIOUART1DSRN => UART1_DSRN,
EMIOUART1DTRN => UART1_DTRN,
EMIOUART1RIN => UART1_RIN,
EMIOUART1RTSN => UART1_RTSN,
EMIOUART1RX => UART1_RX,
EMIOUART1TX => UART1_TX,
EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0),
EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT,
EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT,
EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0),
EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT,
EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT,
EMIOWDTCLKI => WDT_CLK_IN,
EMIOWDTRSTO => WDT_RST_OUT,
EVENTEVENTI => EVENT_EVENTI,
EVENTEVENTO => EVENT_EVENTO,
EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0),
EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0),
FCLKCLK(3) => FCLK_CLK3,
FCLKCLK(2) => FCLK_CLK2,
FCLKCLK(1) => FCLK_CLK1,
FCLKCLK(0) => FCLK_CLK_unbuffered(0),
FCLKCLKTRIGN(3 downto 0) => B"0000",
FCLKRESETN(3) => FCLK_RESET3_N,
FCLKRESETN(2) => FCLK_RESET2_N,
FCLKRESETN(1) => FCLK_RESET1_N,
FCLKRESETN(0) => FCLK_RESET0_N,
FPGAIDLEN => FPGA_IDLE_N,
FTMDTRACEINATID(3 downto 0) => B"0000",
FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK,
FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000",
FTMDTRACEINVALID => '0',
FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0),
FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3,
FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2,
FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1,
FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0,
FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3,
FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2,
FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1,
FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0,
FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0),
FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3,
FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2,
FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1,
FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0,
FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3,
FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2,
FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1,
FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0,
IRQF2P(19) => Core1_nFIQ,
IRQF2P(18) => Core0_nFIQ,
IRQF2P(17) => Core1_nIRQ,
IRQF2P(16) => Core0_nIRQ,
IRQF2P(15 downto 1) => B"000000000000000",
IRQF2P(0) => IRQ_F2P(0),
IRQP2F(28) => IRQ_P2F_DMAC_ABORT,
IRQP2F(27) => IRQ_P2F_DMAC7,
IRQP2F(26) => IRQ_P2F_DMAC6,
IRQP2F(25) => IRQ_P2F_DMAC5,
IRQP2F(24) => IRQ_P2F_DMAC4,
IRQP2F(23) => IRQ_P2F_DMAC3,
IRQP2F(22) => IRQ_P2F_DMAC2,
IRQP2F(21) => IRQ_P2F_DMAC1,
IRQP2F(20) => IRQ_P2F_DMAC0,
IRQP2F(19) => IRQ_P2F_SMC,
IRQP2F(18) => IRQ_P2F_QSPI,
IRQP2F(17) => IRQ_P2F_CTI,
IRQP2F(16) => IRQ_P2F_GPIO,
IRQP2F(15) => IRQ_P2F_USB0,
IRQP2F(14) => IRQ_P2F_ENET0,
IRQP2F(13) => IRQ_P2F_ENET_WAKE0,
IRQP2F(12) => IRQ_P2F_SDIO0,
IRQP2F(11) => IRQ_P2F_I2C0,
IRQP2F(10) => IRQ_P2F_SPI0,
IRQP2F(9) => IRQ_P2F_UART0,
IRQP2F(8) => IRQ_P2F_CAN0,
IRQP2F(7) => IRQ_P2F_USB1,
IRQP2F(6) => IRQ_P2F_ENET1,
IRQP2F(5) => IRQ_P2F_ENET_WAKE1,
IRQP2F(4) => IRQ_P2F_SDIO1,
IRQP2F(3) => IRQ_P2F_I2C1,
IRQP2F(2) => IRQ_P2F_SPI1,
IRQP2F(1) => IRQ_P2F_UART1,
IRQP2F(0) => IRQ_P2F_CAN1,
MAXIGP0ACLK => M_AXI_GP0_ACLK,
MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
MAXIGP0ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0),
MAXIGP0ARESETN => M_AXI_GP0_ARESETN,
MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
MAXIGP0ARREADY => M_AXI_GP0_ARREADY,
MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0),
MAXIGP0ARVALID => M_AXI_GP0_ARVALID,
MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
MAXIGP0AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0),
MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
MAXIGP0AWREADY => M_AXI_GP0_AWREADY,
MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0),
MAXIGP0AWVALID => M_AXI_GP0_AWVALID,
MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
MAXIGP0BREADY => M_AXI_GP0_BREADY,
MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
MAXIGP0BVALID => M_AXI_GP0_BVALID,
MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
MAXIGP0RLAST => M_AXI_GP0_RLAST,
MAXIGP0RREADY => M_AXI_GP0_RREADY,
MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
MAXIGP0RVALID => M_AXI_GP0_RVALID,
MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
MAXIGP0WLAST => M_AXI_GP0_WLAST,
MAXIGP0WREADY => M_AXI_GP0_WREADY,
MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
MAXIGP0WVALID => M_AXI_GP0_WVALID,
MAXIGP1ACLK => M_AXI_GP1_ACLK,
MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0),
MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0),
MAXIGP1ARCACHE(3 downto 0) => M_AXI_GP1_ARCACHE(3 downto 0),
MAXIGP1ARESETN => M_AXI_GP1_ARESETN,
MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0),
MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0),
MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0),
MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0),
MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0),
MAXIGP1ARREADY => M_AXI_GP1_ARREADY,
MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0),
MAXIGP1ARVALID => M_AXI_GP1_ARVALID,
MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0),
MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0),
MAXIGP1AWCACHE(3 downto 0) => M_AXI_GP1_AWCACHE(3 downto 0),
MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0),
MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0),
MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0),
MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0),
MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0),
MAXIGP1AWREADY => M_AXI_GP1_AWREADY,
MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0),
MAXIGP1AWVALID => M_AXI_GP1_AWVALID,
MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0),
MAXIGP1BREADY => M_AXI_GP1_BREADY,
MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0),
MAXIGP1BVALID => M_AXI_GP1_BVALID,
MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0),
MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0),
MAXIGP1RLAST => M_AXI_GP1_RLAST,
MAXIGP1RREADY => M_AXI_GP1_RREADY,
MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0),
MAXIGP1RVALID => M_AXI_GP1_RVALID,
MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0),
MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0),
MAXIGP1WLAST => M_AXI_GP1_WLAST,
MAXIGP1WREADY => M_AXI_GP1_WREADY,
MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0),
MAXIGP1WVALID => M_AXI_GP1_WVALID,
MIO(53 downto 0) => buffered_MIO(53 downto 0),
PSCLK => buffered_PS_CLK,
PSPORB => buffered_PS_PORB,
PSSRSTB => buffered_PS_SRSTB,
SAXIACPACLK => S_AXI_ACP_ACLK,
SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0),
SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0),
SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0),
SAXIACPARESETN => S_AXI_ACP_ARESETN,
SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0),
SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0),
SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0),
SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0),
SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0),
SAXIACPARREADY => S_AXI_ACP_ARREADY,
SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0),
SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0),
SAXIACPARVALID => S_AXI_ACP_ARVALID,
SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0),
SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0),
SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0),
SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0),
SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0),
SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0),
SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0),
SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0),
SAXIACPAWREADY => S_AXI_ACP_AWREADY,
SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0),
SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0),
SAXIACPAWVALID => S_AXI_ACP_AWVALID,
SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0),
SAXIACPBREADY => S_AXI_ACP_BREADY,
SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0),
SAXIACPBVALID => S_AXI_ACP_BVALID,
SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0),
SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0),
SAXIACPRLAST => S_AXI_ACP_RLAST,
SAXIACPRREADY => S_AXI_ACP_RREADY,
SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0),
SAXIACPRVALID => S_AXI_ACP_RVALID,
SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0),
SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0),
SAXIACPWLAST => S_AXI_ACP_WLAST,
SAXIACPWREADY => S_AXI_ACP_WREADY,
SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0),
SAXIACPWVALID => S_AXI_ACP_WVALID,
SAXIGP0ACLK => S_AXI_GP0_ACLK,
SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0),
SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0),
SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0),
SAXIGP0ARESETN => S_AXI_GP0_ARESETN,
SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0),
SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0),
SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0),
SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0),
SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0),
SAXIGP0ARREADY => S_AXI_GP0_ARREADY,
SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0),
SAXIGP0ARVALID => S_AXI_GP0_ARVALID,
SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0),
SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0),
SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0),
SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0),
SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0),
SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0),
SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0),
SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0),
SAXIGP0AWREADY => S_AXI_GP0_AWREADY,
SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0),
SAXIGP0AWVALID => S_AXI_GP0_AWVALID,
SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0),
SAXIGP0BREADY => S_AXI_GP0_BREADY,
SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0),
SAXIGP0BVALID => S_AXI_GP0_BVALID,
SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0),
SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0),
SAXIGP0RLAST => S_AXI_GP0_RLAST,
SAXIGP0RREADY => S_AXI_GP0_RREADY,
SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0),
SAXIGP0RVALID => S_AXI_GP0_RVALID,
SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0),
SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0),
SAXIGP0WLAST => S_AXI_GP0_WLAST,
SAXIGP0WREADY => S_AXI_GP0_WREADY,
SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0),
SAXIGP0WVALID => S_AXI_GP0_WVALID,
SAXIGP1ACLK => S_AXI_GP1_ACLK,
SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0),
SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0),
SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0),
SAXIGP1ARESETN => S_AXI_GP1_ARESETN,
SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0),
SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0),
SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0),
SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0),
SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0),
SAXIGP1ARREADY => S_AXI_GP1_ARREADY,
SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0),
SAXIGP1ARVALID => S_AXI_GP1_ARVALID,
SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0),
SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0),
SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0),
SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0),
SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0),
SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0),
SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0),
SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0),
SAXIGP1AWREADY => S_AXI_GP1_AWREADY,
SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0),
SAXIGP1AWVALID => S_AXI_GP1_AWVALID,
SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0),
SAXIGP1BREADY => S_AXI_GP1_BREADY,
SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0),
SAXIGP1BVALID => S_AXI_GP1_BVALID,
SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0),
SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0),
SAXIGP1RLAST => S_AXI_GP1_RLAST,
SAXIGP1RREADY => S_AXI_GP1_RREADY,
SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0),
SAXIGP1RVALID => S_AXI_GP1_RVALID,
SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0),
SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0),
SAXIGP1WLAST => S_AXI_GP1_WLAST,
SAXIGP1WREADY => S_AXI_GP1_WREADY,
SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0),
SAXIGP1WVALID => S_AXI_GP1_WVALID,
SAXIHP0ACLK => S_AXI_HP0_ACLK,
SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0),
SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0),
SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0),
SAXIHP0ARESETN => S_AXI_HP0_ARESETN,
SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0),
SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0),
SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0),
SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0),
SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0),
SAXIHP0ARREADY => S_AXI_HP0_ARREADY,
SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0),
SAXIHP0ARVALID => S_AXI_HP0_ARVALID,
SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0),
SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0),
SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0),
SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0),
SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0),
SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0),
SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0),
SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0),
SAXIHP0AWREADY => S_AXI_HP0_AWREADY,
SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0),
SAXIHP0AWVALID => S_AXI_HP0_AWVALID,
SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0),
SAXIHP0BREADY => S_AXI_HP0_BREADY,
SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0),
SAXIHP0BVALID => S_AXI_HP0_BVALID,
SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0),
SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0),
SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0),
SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN,
SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0),
SAXIHP0RLAST => S_AXI_HP0_RLAST,
SAXIHP0RREADY => S_AXI_HP0_RREADY,
SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0),
SAXIHP0RVALID => S_AXI_HP0_RVALID,
SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0),
SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0),
SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0),
SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0),
SAXIHP0WLAST => S_AXI_HP0_WLAST,
SAXIHP0WREADY => S_AXI_HP0_WREADY,
SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN,
SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0),
SAXIHP0WVALID => S_AXI_HP0_WVALID,
SAXIHP1ACLK => S_AXI_HP1_ACLK,
SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0),
SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0),
SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0),
SAXIHP1ARESETN => S_AXI_HP1_ARESETN,
SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0),
SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0),
SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0),
SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0),
SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0),
SAXIHP1ARREADY => S_AXI_HP1_ARREADY,
SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0),
SAXIHP1ARVALID => S_AXI_HP1_ARVALID,
SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0),
SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0),
SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0),
SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0),
SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0),
SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0),
SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0),
SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0),
SAXIHP1AWREADY => S_AXI_HP1_AWREADY,
SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0),
SAXIHP1AWVALID => S_AXI_HP1_AWVALID,
SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0),
SAXIHP1BREADY => S_AXI_HP1_BREADY,
SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0),
SAXIHP1BVALID => S_AXI_HP1_BVALID,
SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0),
SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0),
SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0),
SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN,
SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0),
SAXIHP1RLAST => S_AXI_HP1_RLAST,
SAXIHP1RREADY => S_AXI_HP1_RREADY,
SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0),
SAXIHP1RVALID => S_AXI_HP1_RVALID,
SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0),
SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0),
SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0),
SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0),
SAXIHP1WLAST => S_AXI_HP1_WLAST,
SAXIHP1WREADY => S_AXI_HP1_WREADY,
SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN,
SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0),
SAXIHP1WVALID => S_AXI_HP1_WVALID,
SAXIHP2ACLK => S_AXI_HP2_ACLK,
SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0),
SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0),
SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0),
SAXIHP2ARESETN => S_AXI_HP2_ARESETN,
SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0),
SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0),
SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0),
SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0),
SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0),
SAXIHP2ARREADY => S_AXI_HP2_ARREADY,
SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0),
SAXIHP2ARVALID => S_AXI_HP2_ARVALID,
SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0),
SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0),
SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0),
SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0),
SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0),
SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0),
SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0),
SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0),
SAXIHP2AWREADY => S_AXI_HP2_AWREADY,
SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0),
SAXIHP2AWVALID => S_AXI_HP2_AWVALID,
SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0),
SAXIHP2BREADY => S_AXI_HP2_BREADY,
SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0),
SAXIHP2BVALID => S_AXI_HP2_BVALID,
SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0),
SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0),
SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0),
SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN,
SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0),
SAXIHP2RLAST => S_AXI_HP2_RLAST,
SAXIHP2RREADY => S_AXI_HP2_RREADY,
SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0),
SAXIHP2RVALID => S_AXI_HP2_RVALID,
SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0),
SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0),
SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0),
SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0),
SAXIHP2WLAST => S_AXI_HP2_WLAST,
SAXIHP2WREADY => S_AXI_HP2_WREADY,
SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN,
SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0),
SAXIHP2WVALID => S_AXI_HP2_WVALID,
SAXIHP3ACLK => S_AXI_HP3_ACLK,
SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0),
SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0),
SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0),
SAXIHP3ARESETN => S_AXI_HP3_ARESETN,
SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0),
SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0),
SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0),
SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0),
SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0),
SAXIHP3ARREADY => S_AXI_HP3_ARREADY,
SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0),
SAXIHP3ARVALID => S_AXI_HP3_ARVALID,
SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0),
SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0),
SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0),
SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0),
SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0),
SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0),
SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0),
SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0),
SAXIHP3AWREADY => S_AXI_HP3_AWREADY,
SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0),
SAXIHP3AWVALID => S_AXI_HP3_AWVALID,
SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0),
SAXIHP3BREADY => S_AXI_HP3_BREADY,
SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0),
SAXIHP3BVALID => S_AXI_HP3_BVALID,
SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0),
SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0),
SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0),
SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN,
SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0),
SAXIHP3RLAST => S_AXI_HP3_RLAST,
SAXIHP3RREADY => S_AXI_HP3_RREADY,
SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0),
SAXIHP3RVALID => S_AXI_HP3_RVALID,
SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0),
SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0),
SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0),
SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0),
SAXIHP3WLAST => S_AXI_HP3_WLAST,
SAXIHP3WREADY => S_AXI_HP3_WREADY,
SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN,
SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0),
SAXIHP3WVALID => S_AXI_HP3_WVALID
);
PS_CLK_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_CLK,
PAD => PS_CLK
);
PS_PORB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_PORB,
PAD => PS_PORB
);
PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_SRSTB,
PAD => PS_SRSTB
);
SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_CMD_T_n,
O => SDIO0_CMD_T
);
\SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(0),
O => SDIO0_DATA_T(0)
);
\SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(1),
O => SDIO0_DATA_T(1)
);
\SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(2),
O => SDIO0_DATA_T(2)
);
\SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(3),
O => SDIO0_DATA_T(3)
);
SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_CMD_T_n,
O => SDIO1_CMD_T
);
\SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(0),
O => SDIO1_DATA_T(0)
);
\SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(1),
O => SDIO1_DATA_T(1)
);
\SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(2),
O => SDIO1_DATA_T(2)
);
\SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(3),
O => SDIO1_DATA_T(3)
);
SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MISO_T_n,
O => SPI0_MISO_T
);
SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MOSI_T_n,
O => SPI0_MOSI_T
);
SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SCLK_T_n,
O => SPI0_SCLK_T
);
SPI0_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SS_T_n,
O => SPI0_SS_T
);
SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MISO_T_n,
O => SPI1_MISO_T
);
SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MOSI_T_n,
O => SPI1_MOSI_T
);
SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SCLK_T_n,
O => SPI1_SCLK_T
);
SPI1_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SS_T_n,
O => SPI1_SS_T
);
\buffer_fclk_clk_0.FCLK_CLK_0_BUFG\: unisim.vcomponents.BUFG
port map (
I => FCLK_CLK_unbuffered(0),
O => FCLK_CLK0
);
\genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(0),
PAD => MIO(0)
);
\genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(10),
PAD => MIO(10)
);
\genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(11),
PAD => MIO(11)
);
\genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(12),
PAD => MIO(12)
);
\genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(13),
PAD => MIO(13)
);
\genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(14),
PAD => MIO(14)
);
\genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(15),
PAD => MIO(15)
);
\genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(16),
PAD => MIO(16)
);
\genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(17),
PAD => MIO(17)
);
\genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(18),
PAD => MIO(18)
);
\genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(19),
PAD => MIO(19)
);
\genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(1),
PAD => MIO(1)
);
\genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(20),
PAD => MIO(20)
);
\genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(21),
PAD => MIO(21)
);
\genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(22),
PAD => MIO(22)
);
\genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(23),
PAD => MIO(23)
);
\genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(24),
PAD => MIO(24)
);
\genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(25),
PAD => MIO(25)
);
\genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(26),
PAD => MIO(26)
);
\genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(27),
PAD => MIO(27)
);
\genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(28),
PAD => MIO(28)
);
\genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(29),
PAD => MIO(29)
);
\genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(2),
PAD => MIO(2)
);
\genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(30),
PAD => MIO(30)
);
\genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(31),
PAD => MIO(31)
);
\genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(32),
PAD => MIO(32)
);
\genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(33),
PAD => MIO(33)
);
\genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(34),
PAD => MIO(34)
);
\genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(35),
PAD => MIO(35)
);
\genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(36),
PAD => MIO(36)
);
\genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(37),
PAD => MIO(37)
);
\genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(38),
PAD => MIO(38)
);
\genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(39),
PAD => MIO(39)
);
\genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(3),
PAD => MIO(3)
);
\genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(40),
PAD => MIO(40)
);
\genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(41),
PAD => MIO(41)
);
\genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(42),
PAD => MIO(42)
);
\genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(43),
PAD => MIO(43)
);
\genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(44),
PAD => MIO(44)
);
\genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(45),
PAD => MIO(45)
);
\genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(46),
PAD => MIO(46)
);
\genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(47),
PAD => MIO(47)
);
\genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(48),
PAD => MIO(48)
);
\genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(49),
PAD => MIO(49)
);
\genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(4),
PAD => MIO(4)
);
\genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(50),
PAD => MIO(50)
);
\genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(51),
PAD => MIO(51)
);
\genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(52),
PAD => MIO(52)
);
\genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(53),
PAD => MIO(53)
);
\genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(5),
PAD => MIO(5)
);
\genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(6),
PAD => MIO(6)
);
\genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(7),
PAD => MIO(7)
);
\genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(8),
PAD => MIO(8)
);
\genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(9),
PAD => MIO(9)
);
\genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(0),
PAD => DDR_BankAddr(0)
);
\genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(1),
PAD => DDR_BankAddr(1)
);
\genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(2),
PAD => DDR_BankAddr(2)
);
\genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(0),
PAD => DDR_Addr(0)
);
\genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(10),
PAD => DDR_Addr(10)
);
\genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(11),
PAD => DDR_Addr(11)
);
\genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(12),
PAD => DDR_Addr(12)
);
\genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(13),
PAD => DDR_Addr(13)
);
\genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(14),
PAD => DDR_Addr(14)
);
\genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(1),
PAD => DDR_Addr(1)
);
\genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(2),
PAD => DDR_Addr(2)
);
\genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(3),
PAD => DDR_Addr(3)
);
\genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(4),
PAD => DDR_Addr(4)
);
\genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(5),
PAD => DDR_Addr(5)
);
\genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(6),
PAD => DDR_Addr(6)
);
\genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(7),
PAD => DDR_Addr(7)
);
\genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(8),
PAD => DDR_Addr(8)
);
\genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(9),
PAD => DDR_Addr(9)
);
\genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(0),
PAD => DDR_DM(0)
);
\genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(1),
PAD => DDR_DM(1)
);
\genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(2),
PAD => DDR_DM(2)
);
\genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(3),
PAD => DDR_DM(3)
);
\genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(0),
PAD => DDR_DQ(0)
);
\genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(10),
PAD => DDR_DQ(10)
);
\genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(11),
PAD => DDR_DQ(11)
);
\genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(12),
PAD => DDR_DQ(12)
);
\genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(13),
PAD => DDR_DQ(13)
);
\genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(14),
PAD => DDR_DQ(14)
);
\genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(15),
PAD => DDR_DQ(15)
);
\genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(16),
PAD => DDR_DQ(16)
);
\genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(17),
PAD => DDR_DQ(17)
);
\genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(18),
PAD => DDR_DQ(18)
);
\genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(19),
PAD => DDR_DQ(19)
);
\genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(1),
PAD => DDR_DQ(1)
);
\genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(20),
PAD => DDR_DQ(20)
);
\genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(21),
PAD => DDR_DQ(21)
);
\genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(22),
PAD => DDR_DQ(22)
);
\genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(23),
PAD => DDR_DQ(23)
);
\genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(24),
PAD => DDR_DQ(24)
);
\genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(25),
PAD => DDR_DQ(25)
);
\genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(26),
PAD => DDR_DQ(26)
);
\genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(27),
PAD => DDR_DQ(27)
);
\genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(28),
PAD => DDR_DQ(28)
);
\genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(29),
PAD => DDR_DQ(29)
);
\genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(2),
PAD => DDR_DQ(2)
);
\genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(30),
PAD => DDR_DQ(30)
);
\genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(31),
PAD => DDR_DQ(31)
);
\genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(3),
PAD => DDR_DQ(3)
);
\genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(4),
PAD => DDR_DQ(4)
);
\genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(5),
PAD => DDR_DQ(5)
);
\genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(6),
PAD => DDR_DQ(6)
);
\genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(7),
PAD => DDR_DQ(7)
);
\genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(8),
PAD => DDR_DQ(8)
);
\genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(9),
PAD => DDR_DQ(9)
);
\genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(0),
PAD => DDR_DQS_n(0)
);
\genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(1),
PAD => DDR_DQS_n(1)
);
\genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(2),
PAD => DDR_DQS_n(2)
);
\genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(3),
PAD => DDR_DQS_n(3)
);
\genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(0),
PAD => DDR_DQS(0)
);
\genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(1),
PAD => DDR_DQS(1)
);
\genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(2),
PAD => DDR_DQS(2)
);
\genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(3),
PAD => DDR_DQS(3)
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[0]\
);
i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(1)
);
i_10: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(1)
);
i_11: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(0)
);
i_12: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(1)
);
i_13: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(0)
);
i_14: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(1)
);
i_15: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(0)
);
i_16: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(1)
);
i_17: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(0)
);
i_18: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(1)
);
i_19: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(0)
);
i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(0)
);
i_20: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(1)
);
i_21: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(0)
);
i_22: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(1)
);
i_23: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(0)
);
i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[7]\
);
i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[6]\
);
i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[5]\
);
i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[4]\
);
i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[3]\
);
i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[2]\
);
i_9: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[1]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zybo_zynq_design_processing_system7_0_0 is
port (
SDIO0_WP : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of zybo_zynq_design_processing_system7_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of zybo_zynq_design_processing_system7_0_0 : entity is "zybo_zynq_design_processing_system7_0_0,processing_system7_v5_5_processing_system7,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of zybo_zynq_design_processing_system7_0_0 : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of zybo_zynq_design_processing_system7_0_0 : entity is "processing_system7_v5_5_processing_system7,Vivado 2018.2";
end zybo_zynq_design_processing_system7_0_0;
architecture STRUCTURE of zybo_zynq_design_processing_system7_0_0 is
signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET1_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET2_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET3_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_GPIO_O_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_GPIO_T_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of inst : label is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of inst : label is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of inst : label is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of inst : label is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of inst : label is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of inst : label is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of inst : label is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of inst : label is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of inst : label is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of inst : label is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 0;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 0;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of inst : label is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of inst : label is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of inst : label is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of inst : label is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of inst : label is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of inst : label is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of inst : label is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of inst : label is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of inst : label is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of inst : label is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of inst : label is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of inst : label is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of inst : label is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of inst : label is "zybo_zynq_design_processing_system7_0_0.hwdef";
attribute POWER : string;
attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={650} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={525} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={9} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={108.333336} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={HSTL_I_18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={7} ioBank={Vcco_p0} clockFreq={200} usageRate={0.5} /><PLL domain={Processor} vco={1300.000} /><PLL domain={Memory} vco={1050.000} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0;
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of DDR_CAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CAS_N";
attribute X_INTERFACE_INFO of DDR_CKE : signal is "xilinx.com:interface:ddrx:1.0 DDR CKE";
attribute X_INTERFACE_INFO of DDR_CS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CS_N";
attribute X_INTERFACE_INFO of DDR_Clk : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_P";
attribute X_INTERFACE_INFO of DDR_Clk_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_N";
attribute X_INTERFACE_INFO of DDR_DRSTB : signal is "xilinx.com:interface:ddrx:1.0 DDR RESET_N";
attribute X_INTERFACE_INFO of DDR_ODT : signal is "xilinx.com:interface:ddrx:1.0 DDR ODT";
attribute X_INTERFACE_INFO of DDR_RAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR RAS_N";
attribute X_INTERFACE_INFO of DDR_VRN : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRN";
attribute X_INTERFACE_INFO of DDR_VRP : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRP";
attribute X_INTERFACE_INFO of DDR_WEB : signal is "xilinx.com:interface:ddrx:1.0 DDR WE_N";
attribute X_INTERFACE_INFO of FCLK_CLK0 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK0 CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of FCLK_CLK0 : signal is "XIL_INTERFACENAME FCLK_CLK0, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN zybo_zynq_design_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of FCLK_RESET0_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET0_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET0_N : signal is "XIL_INTERFACENAME FCLK_RESET0_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of M_AXI_GP0_ACLK : signal is "xilinx.com:signal:clock:1.0 M_AXI_GP0_ACLK CLK";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_ACLK : signal is "XIL_INTERFACENAME M_AXI_GP0_ACLK, ASSOCIATED_BUSIF M_AXI_GP0, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN zybo_zynq_design_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_BVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_RREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_RVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_WREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_WVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WVALID";
attribute X_INTERFACE_INFO of PS_CLK : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_CLK";
attribute X_INTERFACE_INFO of PS_PORB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_PORB";
attribute X_INTERFACE_PARAMETER of PS_PORB : signal is "XIL_INTERFACENAME FIXED_IO, CAN_DEBUG false";
attribute X_INTERFACE_INFO of PS_SRSTB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_SRSTB";
attribute X_INTERFACE_INFO of SDIO0_WP : signal is "xilinx.com:interface:sdio:1.0 SDIO_0 WP";
attribute X_INTERFACE_INFO of USB0_VBUS_PWRFAULT : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 VBUS_PWRFAULT";
attribute X_INTERFACE_INFO of USB0_VBUS_PWRSELECT : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 VBUS_PWRSELECT";
attribute X_INTERFACE_INFO of DDR_Addr : signal is "xilinx.com:interface:ddrx:1.0 DDR ADDR";
attribute X_INTERFACE_INFO of DDR_BankAddr : signal is "xilinx.com:interface:ddrx:1.0 DDR BA";
attribute X_INTERFACE_INFO of DDR_DM : signal is "xilinx.com:interface:ddrx:1.0 DDR DM";
attribute X_INTERFACE_INFO of DDR_DQ : signal is "xilinx.com:interface:ddrx:1.0 DDR DQ";
attribute X_INTERFACE_INFO of DDR_DQS : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_P";
attribute X_INTERFACE_PARAMETER of DDR_DQS : signal is "XIL_INTERFACENAME DDR, CAN_DEBUG false, TIMEPERIOD_PS 1250, MEMORY_TYPE COMPONENTS, DATA_WIDTH 8, CS_ENABLED true, DATA_MASK_ENABLED true, SLOT Single, MEM_ADDR_MAP ROW_COLUMN_BANK, BURST_LENGTH 8, AXI_ARBITRATION_SCHEME TDM, CAS_LATENCY 11, CAS_WRITE_LATENCY 11";
attribute X_INTERFACE_INFO of DDR_DQS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_N";
attribute X_INTERFACE_INFO of IRQ_F2P : signal is "xilinx.com:signal:interrupt:1.0 IRQ_F2P INTERRUPT";
attribute X_INTERFACE_PARAMETER of IRQ_F2P : signal is "XIL_INTERFACENAME IRQ_F2P, SENSITIVITY LEVEL_HIGH, PortWidth 1";
attribute X_INTERFACE_INFO of MIO : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO MIO";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARID";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_BID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_RDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RDATA";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_RDATA : signal is "XIL_INTERFACENAME M_AXI_GP0, SUPPORTS_NARROW_BURST 0, NUM_WRITE_OUTSTANDING 8, NUM_READ_OUTSTANDING 8, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 100000000, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN zybo_zynq_design_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of M_AXI_GP0_RID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_WDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WDATA";
attribute X_INTERFACE_INFO of M_AXI_GP0_WID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WSTRB : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WSTRB";
attribute X_INTERFACE_INFO of USB0_PORT_INDCTL : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 PORT_INDCTL";
begin
inst: entity work.zybo_zynq_design_processing_system7_0_0_processing_system7_v5_5_processing_system7
port map (
CAN0_PHY_RX => '0',
CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED,
CAN1_PHY_RX => '0',
CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED,
Core0_nFIQ => '0',
Core0_nIRQ => '0',
Core1_nFIQ => '0',
Core1_nIRQ => '0',
DDR_ARB(3 downto 0) => B"0000",
DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0),
DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0),
DDR_CAS_n => DDR_CAS_n,
DDR_CKE => DDR_CKE,
DDR_CS_n => DDR_CS_n,
DDR_Clk => DDR_Clk,
DDR_Clk_n => DDR_Clk_n,
DDR_DM(3 downto 0) => DDR_DM(3 downto 0),
DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0),
DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0),
DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0),
DDR_DRSTB => DDR_DRSTB,
DDR_ODT => DDR_ODT,
DDR_RAS_n => DDR_RAS_n,
DDR_VRN => DDR_VRN,
DDR_VRP => DDR_VRP,
DDR_WEB => DDR_WEB,
DMA0_ACLK => '0',
DMA0_DAREADY => '0',
DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0),
DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED,
DMA0_DRLAST => '0',
DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED,
DMA0_DRTYPE(1 downto 0) => B"00",
DMA0_DRVALID => '0',
DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED,
DMA1_ACLK => '0',
DMA1_DAREADY => '0',
DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0),
DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED,
DMA1_DRLAST => '0',
DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED,
DMA1_DRTYPE(1 downto 0) => B"00",
DMA1_DRVALID => '0',
DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED,
DMA2_ACLK => '0',
DMA2_DAREADY => '0',
DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0),
DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED,
DMA2_DRLAST => '0',
DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED,
DMA2_DRTYPE(1 downto 0) => B"00",
DMA2_DRVALID => '0',
DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED,
DMA3_ACLK => '0',
DMA3_DAREADY => '0',
DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0),
DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED,
DMA3_DRLAST => '0',
DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED,
DMA3_DRTYPE(1 downto 0) => B"00",
DMA3_DRVALID => '0',
DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED,
ENET0_EXT_INTIN => '0',
ENET0_GMII_COL => '0',
ENET0_GMII_CRS => '0',
ENET0_GMII_RXD(7 downto 0) => B"00000000",
ENET0_GMII_RX_CLK => '0',
ENET0_GMII_RX_DV => '0',
ENET0_GMII_RX_ER => '0',
ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0),
ENET0_GMII_TX_CLK => '0',
ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED,
ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED,
ENET0_MDIO_I => '0',
ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED,
ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED,
ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED,
ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED,
ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED,
ENET1_EXT_INTIN => '0',
ENET1_GMII_COL => '0',
ENET1_GMII_CRS => '0',
ENET1_GMII_RXD(7 downto 0) => B"00000000",
ENET1_GMII_RX_CLK => '0',
ENET1_GMII_RX_DV => '0',
ENET1_GMII_RX_ER => '0',
ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0),
ENET1_GMII_TX_CLK => '0',
ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED,
ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED,
ENET1_MDIO_I => '0',
ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED,
ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED,
ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED,
ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED,
ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED,
EVENT_EVENTI => '0',
EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED,
EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0),
EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0),
FCLK_CLK0 => FCLK_CLK0,
FCLK_CLK1 => NLW_inst_FCLK_CLK1_UNCONNECTED,
FCLK_CLK2 => NLW_inst_FCLK_CLK2_UNCONNECTED,
FCLK_CLK3 => NLW_inst_FCLK_CLK3_UNCONNECTED,
FCLK_CLKTRIG0_N => '0',
FCLK_CLKTRIG1_N => '0',
FCLK_CLKTRIG2_N => '0',
FCLK_CLKTRIG3_N => '0',
FCLK_RESET0_N => FCLK_RESET0_N,
FCLK_RESET1_N => NLW_inst_FCLK_RESET1_N_UNCONNECTED,
FCLK_RESET2_N => NLW_inst_FCLK_RESET2_N_UNCONNECTED,
FCLK_RESET3_N => NLW_inst_FCLK_RESET3_N_UNCONNECTED,
FPGA_IDLE_N => '0',
FTMD_TRACEIN_ATID(3 downto 0) => B"0000",
FTMD_TRACEIN_CLK => '0',
FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000",
FTMD_TRACEIN_VALID => '0',
FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000",
FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED,
FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED,
FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED,
FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED,
FTMT_F2P_TRIG_0 => '0',
FTMT_F2P_TRIG_1 => '0',
FTMT_F2P_TRIG_2 => '0',
FTMT_F2P_TRIG_3 => '0',
FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0),
FTMT_P2F_TRIGACK_0 => '0',
FTMT_P2F_TRIGACK_1 => '0',
FTMT_P2F_TRIGACK_2 => '0',
FTMT_P2F_TRIGACK_3 => '0',
FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED,
FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED,
FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED,
FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED,
GPIO_I(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
GPIO_O(63 downto 0) => NLW_inst_GPIO_O_UNCONNECTED(63 downto 0),
GPIO_T(63 downto 0) => NLW_inst_GPIO_T_UNCONNECTED(63 downto 0),
I2C0_SCL_I => '0',
I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED,
I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED,
I2C0_SDA_I => '0',
I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED,
I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED,
I2C1_SCL_I => '0',
I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED,
I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED,
I2C1_SDA_I => '0',
I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED,
I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED,
IRQ_F2P(0) => IRQ_F2P(0),
IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED,
IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED,
IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED,
IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED,
IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED,
IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED,
IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED,
IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED,
IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED,
IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED,
IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED,
IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED,
IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED,
IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED,
IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED,
IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED,
IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED,
IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED,
IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED,
IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED,
IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED,
IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED,
IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED,
IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED,
IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED,
IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED,
IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED,
IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED,
IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED,
MIO(53 downto 0) => MIO(53 downto 0),
M_AXI_GP0_ACLK => M_AXI_GP0_ACLK,
M_AXI_GP0_ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
M_AXI_GP0_ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
M_AXI_GP0_ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0),
M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED,
M_AXI_GP0_ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
M_AXI_GP0_ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
M_AXI_GP0_ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
M_AXI_GP0_ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
M_AXI_GP0_ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
M_AXI_GP0_ARREADY => M_AXI_GP0_ARREADY,
M_AXI_GP0_ARSIZE(2 downto 0) => M_AXI_GP0_ARSIZE(2 downto 0),
M_AXI_GP0_ARVALID => M_AXI_GP0_ARVALID,
M_AXI_GP0_AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
M_AXI_GP0_AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
M_AXI_GP0_AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0),
M_AXI_GP0_AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
M_AXI_GP0_AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
M_AXI_GP0_AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
M_AXI_GP0_AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
M_AXI_GP0_AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
M_AXI_GP0_AWREADY => M_AXI_GP0_AWREADY,
M_AXI_GP0_AWSIZE(2 downto 0) => M_AXI_GP0_AWSIZE(2 downto 0),
M_AXI_GP0_AWVALID => M_AXI_GP0_AWVALID,
M_AXI_GP0_BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
M_AXI_GP0_BREADY => M_AXI_GP0_BREADY,
M_AXI_GP0_BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
M_AXI_GP0_BVALID => M_AXI_GP0_BVALID,
M_AXI_GP0_RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
M_AXI_GP0_RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
M_AXI_GP0_RLAST => M_AXI_GP0_RLAST,
M_AXI_GP0_RREADY => M_AXI_GP0_RREADY,
M_AXI_GP0_RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
M_AXI_GP0_RVALID => M_AXI_GP0_RVALID,
M_AXI_GP0_WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
M_AXI_GP0_WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
M_AXI_GP0_WLAST => M_AXI_GP0_WLAST,
M_AXI_GP0_WREADY => M_AXI_GP0_WREADY,
M_AXI_GP0_WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
M_AXI_GP0_WVALID => M_AXI_GP0_WVALID,
M_AXI_GP1_ACLK => '0',
M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED,
M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0),
M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARREADY => '0',
M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED,
M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0),
M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWREADY => '0',
M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED,
M_AXI_GP1_BID(11 downto 0) => B"000000000000",
M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED,
M_AXI_GP1_BRESP(1 downto 0) => B"00",
M_AXI_GP1_BVALID => '0',
M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000",
M_AXI_GP1_RID(11 downto 0) => B"000000000000",
M_AXI_GP1_RLAST => '0',
M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED,
M_AXI_GP1_RRESP(1 downto 0) => B"00",
M_AXI_GP1_RVALID => '0',
M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0),
M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0),
M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED,
M_AXI_GP1_WREADY => '0',
M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0),
M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED,
PJTAG_TCK => '0',
PJTAG_TDI => '0',
PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED,
PJTAG_TMS => '0',
PS_CLK => PS_CLK,
PS_PORB => PS_PORB,
PS_SRSTB => PS_SRSTB,
SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED,
SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO0_CDN => '0',
SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED,
SDIO0_CLK_FB => '0',
SDIO0_CMD_I => '0',
SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED,
SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED,
SDIO0_DATA_I(3 downto 0) => B"0000",
SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0),
SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0),
SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED,
SDIO0_WP => SDIO0_WP,
SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED,
SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO1_CDN => '0',
SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED,
SDIO1_CLK_FB => '0',
SDIO1_CMD_I => '0',
SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED,
SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED,
SDIO1_DATA_I(3 downto 0) => B"0000",
SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0),
SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0),
SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED,
SDIO1_WP => '0',
SPI0_MISO_I => '0',
SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED,
SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED,
SPI0_MOSI_I => '0',
SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED,
SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED,
SPI0_SCLK_I => '0',
SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED,
SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED,
SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED,
SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED,
SPI0_SS_I => '0',
SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED,
SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED,
SPI1_MISO_I => '0',
SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED,
SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED,
SPI1_MOSI_I => '0',
SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED,
SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED,
SPI1_SCLK_I => '0',
SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED,
SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED,
SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED,
SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED,
SPI1_SS_I => '0',
SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED,
SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED,
SRAM_INTIN => '0',
S_AXI_ACP_ACLK => '0',
S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_ARBURST(1 downto 0) => B"00",
S_AXI_ACP_ARCACHE(3 downto 0) => B"0000",
S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED,
S_AXI_ACP_ARID(2 downto 0) => B"000",
S_AXI_ACP_ARLEN(3 downto 0) => B"0000",
S_AXI_ACP_ARLOCK(1 downto 0) => B"00",
S_AXI_ACP_ARPROT(2 downto 0) => B"000",
S_AXI_ACP_ARQOS(3 downto 0) => B"0000",
S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED,
S_AXI_ACP_ARSIZE(2 downto 0) => B"000",
S_AXI_ACP_ARUSER(4 downto 0) => B"00000",
S_AXI_ACP_ARVALID => '0',
S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_AWBURST(1 downto 0) => B"00",
S_AXI_ACP_AWCACHE(3 downto 0) => B"0000",
S_AXI_ACP_AWID(2 downto 0) => B"000",
S_AXI_ACP_AWLEN(3 downto 0) => B"0000",
S_AXI_ACP_AWLOCK(1 downto 0) => B"00",
S_AXI_ACP_AWPROT(2 downto 0) => B"000",
S_AXI_ACP_AWQOS(3 downto 0) => B"0000",
S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED,
S_AXI_ACP_AWSIZE(2 downto 0) => B"000",
S_AXI_ACP_AWUSER(4 downto 0) => B"00000",
S_AXI_ACP_AWVALID => '0',
S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0),
S_AXI_ACP_BREADY => '0',
S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED,
S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0),
S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0),
S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED,
S_AXI_ACP_RREADY => '0',
S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED,
S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_ACP_WID(2 downto 0) => B"000",
S_AXI_ACP_WLAST => '0',
S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED,
S_AXI_ACP_WSTRB(7 downto 0) => B"00000000",
S_AXI_ACP_WVALID => '0',
S_AXI_GP0_ACLK => '0',
S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_ARBURST(1 downto 0) => B"00",
S_AXI_GP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED,
S_AXI_GP0_ARID(5 downto 0) => B"000000",
S_AXI_GP0_ARLEN(3 downto 0) => B"0000",
S_AXI_GP0_ARLOCK(1 downto 0) => B"00",
S_AXI_GP0_ARPROT(2 downto 0) => B"000",
S_AXI_GP0_ARQOS(3 downto 0) => B"0000",
S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED,
S_AXI_GP0_ARSIZE(2 downto 0) => B"000",
S_AXI_GP0_ARVALID => '0',
S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_AWBURST(1 downto 0) => B"00",
S_AXI_GP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP0_AWID(5 downto 0) => B"000000",
S_AXI_GP0_AWLEN(3 downto 0) => B"0000",
S_AXI_GP0_AWLOCK(1 downto 0) => B"00",
S_AXI_GP0_AWPROT(2 downto 0) => B"000",
S_AXI_GP0_AWQOS(3 downto 0) => B"0000",
S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED,
S_AXI_GP0_AWSIZE(2 downto 0) => B"000",
S_AXI_GP0_AWVALID => '0',
S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0),
S_AXI_GP0_BREADY => '0',
S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED,
S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0),
S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED,
S_AXI_GP0_RREADY => '0',
S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED,
S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_WID(5 downto 0) => B"000000",
S_AXI_GP0_WLAST => '0',
S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED,
S_AXI_GP0_WSTRB(3 downto 0) => B"0000",
S_AXI_GP0_WVALID => '0',
S_AXI_GP1_ACLK => '0',
S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_ARBURST(1 downto 0) => B"00",
S_AXI_GP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED,
S_AXI_GP1_ARID(5 downto 0) => B"000000",
S_AXI_GP1_ARLEN(3 downto 0) => B"0000",
S_AXI_GP1_ARLOCK(1 downto 0) => B"00",
S_AXI_GP1_ARPROT(2 downto 0) => B"000",
S_AXI_GP1_ARQOS(3 downto 0) => B"0000",
S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED,
S_AXI_GP1_ARSIZE(2 downto 0) => B"000",
S_AXI_GP1_ARVALID => '0',
S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_AWBURST(1 downto 0) => B"00",
S_AXI_GP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP1_AWID(5 downto 0) => B"000000",
S_AXI_GP1_AWLEN(3 downto 0) => B"0000",
S_AXI_GP1_AWLOCK(1 downto 0) => B"00",
S_AXI_GP1_AWPROT(2 downto 0) => B"000",
S_AXI_GP1_AWQOS(3 downto 0) => B"0000",
S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED,
S_AXI_GP1_AWSIZE(2 downto 0) => B"000",
S_AXI_GP1_AWVALID => '0',
S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0),
S_AXI_GP1_BREADY => '0',
S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED,
S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0),
S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED,
S_AXI_GP1_RREADY => '0',
S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED,
S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_WID(5 downto 0) => B"000000",
S_AXI_GP1_WLAST => '0',
S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED,
S_AXI_GP1_WSTRB(3 downto 0) => B"0000",
S_AXI_GP1_WVALID => '0',
S_AXI_HP0_ACLK => '0',
S_AXI_HP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_ARBURST(1 downto 0) => B"00",
S_AXI_HP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED,
S_AXI_HP0_ARID(5 downto 0) => B"000000",
S_AXI_HP0_ARLEN(3 downto 0) => B"0000",
S_AXI_HP0_ARLOCK(1 downto 0) => B"00",
S_AXI_HP0_ARPROT(2 downto 0) => B"000",
S_AXI_HP0_ARQOS(3 downto 0) => B"0000",
S_AXI_HP0_ARREADY => NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED,
S_AXI_HP0_ARSIZE(2 downto 0) => B"000",
S_AXI_HP0_ARVALID => '0',
S_AXI_HP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_AWBURST(1 downto 0) => B"00",
S_AXI_HP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP0_AWID(5 downto 0) => B"000000",
S_AXI_HP0_AWLEN(3 downto 0) => B"0000",
S_AXI_HP0_AWLOCK(1 downto 0) => B"00",
S_AXI_HP0_AWPROT(2 downto 0) => B"000",
S_AXI_HP0_AWQOS(3 downto 0) => B"0000",
S_AXI_HP0_AWREADY => NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED,
S_AXI_HP0_AWSIZE(2 downto 0) => B"000",
S_AXI_HP0_AWVALID => '0',
S_AXI_HP0_BID(5 downto 0) => NLW_inst_S_AXI_HP0_BID_UNCONNECTED(5 downto 0),
S_AXI_HP0_BREADY => '0',
S_AXI_HP0_BRESP(1 downto 0) => NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_BVALID => NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED,
S_AXI_HP0_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP0_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_RDATA(63 downto 0) => NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP0_RDISSUECAP1_EN => '0',
S_AXI_HP0_RID(5 downto 0) => NLW_inst_S_AXI_HP0_RID_UNCONNECTED(5 downto 0),
S_AXI_HP0_RLAST => NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED,
S_AXI_HP0_RREADY => '0',
S_AXI_HP0_RRESP(1 downto 0) => NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_RVALID => NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED,
S_AXI_HP0_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP0_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP0_WID(5 downto 0) => B"000000",
S_AXI_HP0_WLAST => '0',
S_AXI_HP0_WREADY => NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED,
S_AXI_HP0_WRISSUECAP1_EN => '0',
S_AXI_HP0_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP0_WVALID => '0',
S_AXI_HP1_ACLK => '0',
S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_ARBURST(1 downto 0) => B"00",
S_AXI_HP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED,
S_AXI_HP1_ARID(5 downto 0) => B"000000",
S_AXI_HP1_ARLEN(3 downto 0) => B"0000",
S_AXI_HP1_ARLOCK(1 downto 0) => B"00",
S_AXI_HP1_ARPROT(2 downto 0) => B"000",
S_AXI_HP1_ARQOS(3 downto 0) => B"0000",
S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED,
S_AXI_HP1_ARSIZE(2 downto 0) => B"000",
S_AXI_HP1_ARVALID => '0',
S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_AWBURST(1 downto 0) => B"00",
S_AXI_HP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP1_AWID(5 downto 0) => B"000000",
S_AXI_HP1_AWLEN(3 downto 0) => B"0000",
S_AXI_HP1_AWLOCK(1 downto 0) => B"00",
S_AXI_HP1_AWPROT(2 downto 0) => B"000",
S_AXI_HP1_AWQOS(3 downto 0) => B"0000",
S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED,
S_AXI_HP1_AWSIZE(2 downto 0) => B"000",
S_AXI_HP1_AWVALID => '0',
S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0),
S_AXI_HP1_BREADY => '0',
S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED,
S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP1_RDISSUECAP1_EN => '0',
S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0),
S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED,
S_AXI_HP1_RREADY => '0',
S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED,
S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP1_WID(5 downto 0) => B"000000",
S_AXI_HP1_WLAST => '0',
S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED,
S_AXI_HP1_WRISSUECAP1_EN => '0',
S_AXI_HP1_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP1_WVALID => '0',
S_AXI_HP2_ACLK => '0',
S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_ARBURST(1 downto 0) => B"00",
S_AXI_HP2_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED,
S_AXI_HP2_ARID(5 downto 0) => B"000000",
S_AXI_HP2_ARLEN(3 downto 0) => B"0000",
S_AXI_HP2_ARLOCK(1 downto 0) => B"00",
S_AXI_HP2_ARPROT(2 downto 0) => B"000",
S_AXI_HP2_ARQOS(3 downto 0) => B"0000",
S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED,
S_AXI_HP2_ARSIZE(2 downto 0) => B"000",
S_AXI_HP2_ARVALID => '0',
S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_AWBURST(1 downto 0) => B"00",
S_AXI_HP2_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP2_AWID(5 downto 0) => B"000000",
S_AXI_HP2_AWLEN(3 downto 0) => B"0000",
S_AXI_HP2_AWLOCK(1 downto 0) => B"00",
S_AXI_HP2_AWPROT(2 downto 0) => B"000",
S_AXI_HP2_AWQOS(3 downto 0) => B"0000",
S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED,
S_AXI_HP2_AWSIZE(2 downto 0) => B"000",
S_AXI_HP2_AWVALID => '0',
S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0),
S_AXI_HP2_BREADY => '0',
S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED,
S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP2_RDISSUECAP1_EN => '0',
S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0),
S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED,
S_AXI_HP2_RREADY => '0',
S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED,
S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP2_WID(5 downto 0) => B"000000",
S_AXI_HP2_WLAST => '0',
S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED,
S_AXI_HP2_WRISSUECAP1_EN => '0',
S_AXI_HP2_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP2_WVALID => '0',
S_AXI_HP3_ACLK => '0',
S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_ARBURST(1 downto 0) => B"00",
S_AXI_HP3_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED,
S_AXI_HP3_ARID(5 downto 0) => B"000000",
S_AXI_HP3_ARLEN(3 downto 0) => B"0000",
S_AXI_HP3_ARLOCK(1 downto 0) => B"00",
S_AXI_HP3_ARPROT(2 downto 0) => B"000",
S_AXI_HP3_ARQOS(3 downto 0) => B"0000",
S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED,
S_AXI_HP3_ARSIZE(2 downto 0) => B"000",
S_AXI_HP3_ARVALID => '0',
S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_AWBURST(1 downto 0) => B"00",
S_AXI_HP3_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP3_AWID(5 downto 0) => B"000000",
S_AXI_HP3_AWLEN(3 downto 0) => B"0000",
S_AXI_HP3_AWLOCK(1 downto 0) => B"00",
S_AXI_HP3_AWPROT(2 downto 0) => B"000",
S_AXI_HP3_AWQOS(3 downto 0) => B"0000",
S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED,
S_AXI_HP3_AWSIZE(2 downto 0) => B"000",
S_AXI_HP3_AWVALID => '0',
S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0),
S_AXI_HP3_BREADY => '0',
S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED,
S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP3_RDISSUECAP1_EN => '0',
S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0),
S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED,
S_AXI_HP3_RREADY => '0',
S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED,
S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP3_WID(5 downto 0) => B"000000",
S_AXI_HP3_WLAST => '0',
S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED,
S_AXI_HP3_WRISSUECAP1_EN => '0',
S_AXI_HP3_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP3_WVALID => '0',
TRACE_CLK => '0',
TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED,
TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED,
TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0),
TTC0_CLK0_IN => '0',
TTC0_CLK1_IN => '0',
TTC0_CLK2_IN => '0',
TTC0_WAVE0_OUT => TTC0_WAVE0_OUT,
TTC0_WAVE1_OUT => TTC0_WAVE1_OUT,
TTC0_WAVE2_OUT => TTC0_WAVE2_OUT,
TTC1_CLK0_IN => '0',
TTC1_CLK1_IN => '0',
TTC1_CLK2_IN => '0',
TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED,
TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED,
TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED,
UART0_CTSN => '0',
UART0_DCDN => '0',
UART0_DSRN => '0',
UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED,
UART0_RIN => '0',
UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED,
UART0_RX => '1',
UART0_TX => NLW_inst_UART0_TX_UNCONNECTED,
UART1_CTSN => '0',
UART1_DCDN => '0',
UART1_DSRN => '0',
UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED,
UART1_RIN => '0',
UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED,
UART1_RX => '1',
UART1_TX => NLW_inst_UART1_TX_UNCONNECTED,
USB0_PORT_INDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0),
USB0_VBUS_PWRFAULT => USB0_VBUS_PWRFAULT,
USB0_VBUS_PWRSELECT => USB0_VBUS_PWRSELECT,
USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB1_VBUS_PWRFAULT => '0',
USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED,
WDT_CLK_IN => '0',
WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED
);
end STRUCTURE;
| mit | dc3204930a4afa6be093e600166fd010 | 0.640029 | 2.768237 | false | false | false | false |
MartinCura/SistDig-TP4 | old/Video_RAM/dual_port_ram.vhd | 1 | 1,931 | library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- De los puertos A y B, uso el A para escribir y B para leer (uso esta opcion de las 4 posibles de la dual port ram)
entity dual_port_ram is
generic(
data_width : natural := 1;
addr_width : natural := 18
);
port(
clock: in std_logic;
write_enable : in std_logic;
barrido: in std_logic;
addr_A : in std_logic_vector(addr_width-1 downto 0);
addr_B : in std_logic_vector(addr_width-1 downto 0);
data_A : in std_logic_vector(data_width-1 downto 0);
data_B : out std_logic_vector(data_width-1 downto 0)
);
end entity dual_port_ram;
architecture dual_port_ram_arch of dual_port_ram is
--Creo un array donde tengo 2^(addr_width) vectores de largo data_width (posiciones en la memoria).
constant memo_size : natural := 2**(addr_width) -1;
type ram_type is array(0 to memo_size)
of std_logic_vector(data_width-1 downto 0);
signal ram: ram_type := (others => (others => '0'));
signal addr_A_int : integer := 0;
signal addr_B_int : integer := 0;
begin
--Paso a integer los vectores addr_A y addr_B para ubicarme en la posicion de la memoria ram deseada
--y escribir (si clock=1 y write_enable=1) o leer (si clock=1 y write_enable=0)
addr_A_int <= to_integer(unsigned(addr_A));
addr_B_int <= to_integer(unsigned(addr_B));
process(clock, barrido)
begin
-- Reseteo
if (barrido = '1') then
---Ya que addrB sale de la VGA, podríamos escribir 0 en addrB, y en suficiente tiempo se haría el barrido
---ram(addr_B_int) <= (others => '0');
ram <= (others => (others => '0'));
---
data_B <= (others => '0');
elsif (rising_edge(clock)) then
if(write_enable = '1') then
ram(addr_A_int) <= data_A;
end if;
data_B <= ram(addr_B_int);
end if;
end process;
end dual_port_ram_arch;
| gpl-3.0 | ac31cb0e1c7dddeb1206e6f7436e6c01 | 0.622084 | 2.972265 | false | false | false | false |
jakubcabal/uart-for-fpga | examples/uart2wb/uart2wb_fpga_cyc1000.vhd | 2 | 3,186 | --------------------------------------------------------------------------------
-- PROJECT: SIMPLE UART FOR FPGA
--------------------------------------------------------------------------------
-- AUTHORS: Jakub Cabal <[email protected]>
-- LICENSE: The MIT License, please read LICENSE file
-- WEBSITE: https://github.com/jakubcabal/uart-for-fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
-- TOP MODULE OF UART 2 WISHBONE EXAMPLE FOR CYC1000 BOARD
-- =======================================================
entity UART2WB_FPGA_CYC1000 is
Port (
CLK_12M : in std_logic; -- system clock 12 MHz
RST_BTN_N : in std_logic; -- low active reset button
-- UART INTERFACE
UART_RXD : in std_logic;
UART_TXD : out std_logic
);
end entity;
architecture RTL of UART2WB_FPGA_CYC1000 is
signal rst_btn : std_logic;
signal reset : std_logic;
signal wb_cyc : std_logic;
signal wb_stb : std_logic;
signal wb_we : std_logic;
signal wb_addr : std_logic_vector(15 downto 0);
signal wb_dout : std_logic_vector(31 downto 0);
signal wb_stall : std_logic;
signal wb_ack : std_logic;
signal wb_din : std_logic_vector(31 downto 0);
signal debug_reg_sel : std_logic;
signal debug_reg_we : std_logic;
signal debug_reg : std_logic_vector(31 downto 0);
begin
rst_btn <= not RST_BTN_N;
rst_sync_i : entity work.RST_SYNC
port map (
CLK => CLK_12M,
ASYNC_RST => rst_btn,
SYNCED_RST => reset
);
uart2wbm_i : entity work.UART2WBM
generic map (
CLK_FREQ => 12e6,
BAUD_RATE => 9600
)
port map (
CLK => CLK_12M,
RST => reset,
-- UART INTERFACE
UART_TXD => UART_TXD,
UART_RXD => UART_RXD,
-- WISHBONE MASTER INTERFACE
WB_CYC => wb_cyc,
WB_STB => wb_stb,
WB_WE => wb_we,
WB_ADDR => wb_addr,
WB_DOUT => wb_din,
WB_STALL => wb_stall,
WB_ACK => wb_ack,
WB_DIN => wb_dout
);
debug_reg_sel <= '1' when (wb_addr = X"0004") else '0';
debug_reg_we <= wb_stb and wb_we and debug_reg_sel;
debug_reg_p : process (CLK_12M)
begin
if (rising_edge(CLK_12M)) then
if (debug_reg_we = '1') then
debug_reg <= wb_din;
end if;
end if;
end process;
wb_stall <= '0';
wb_ack_reg_p : process (CLK_12M)
begin
if (rising_edge(CLK_12M)) then
wb_ack <= wb_cyc and wb_stb;
end if;
end process;
wb_dout_reg_p : process (CLK_12M)
begin
if (rising_edge(CLK_12M)) then
case wb_addr is
when X"0000" =>
wb_dout <= X"20210406";
when X"0004" =>
wb_dout <= debug_reg;
when others =>
wb_dout <= X"DEADCAFE";
end case;
end if;
end process;
end architecture;
| mit | 7ecd8ee26cd319eb317c8d88afa61125 | 0.474262 | 3.71762 | false | false | false | false |
jakubcabal/uart-for-fpga | rtl/comp/uart_debouncer.vhd | 2 | 2,486 | --------------------------------------------------------------------------------
-- PROJECT: SIMPLE UART FOR FPGA
--------------------------------------------------------------------------------
-- AUTHORS: Jakub Cabal <[email protected]>
-- LICENSE: The MIT License, please read LICENSE file
-- WEBSITE: https://github.com/jakubcabal/uart-for-fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity UART_DEBOUNCER is
Generic (
-- latency of debouncer in clock cycles, minimum value is 2,
-- value also corresponds to the number of bits compared
LATENCY : natural := 4
);
Port (
CLK : in std_logic; -- system clock
DEB_IN : in std_logic; -- input of signal from outside FPGA
DEB_OUT : out std_logic -- output of debounced (filtered) signal
);
end entity;
architecture RTL of UART_DEBOUNCER is
constant SHREG_DEPTH : natural := LATENCY-1;
signal input_shreg : std_logic_vector(SHREG_DEPTH-1 downto 0);
signal output_reg_rst : std_logic;
signal output_reg_set : std_logic;
begin
-- parameterized input shift register
input_shreg_p : process (CLK)
begin
if (rising_edge(CLK)) then
input_shreg <= input_shreg(SHREG_DEPTH-2 downto 0) & DEB_IN;
end if;
end process;
-- output register will be reset when all compared bits are low
output_reg_rst_p : process (DEB_IN, input_shreg)
variable or_var : std_logic;
begin
or_var := DEB_IN;
all_bits_or_l : for i in 0 to SHREG_DEPTH-1 loop
or_var := or_var or input_shreg(i);
end loop;
output_reg_rst <= not or_var;
end process;
-- output register will be set when all compared bits are high
output_reg_set_p : process (DEB_IN, input_shreg)
variable and_var : std_logic;
begin
and_var := DEB_IN;
all_bits_and_l : for i in 0 to SHREG_DEPTH-1 loop
and_var := and_var and input_shreg(i);
end loop;
output_reg_set <= and_var;
end process;
-- output register
output_reg_p : process (CLK)
begin
if (rising_edge(CLK)) then
if (output_reg_rst = '1') then
DEB_OUT <= '0';
elsif (output_reg_set = '1') then
DEB_OUT <= '1';
end if;
end if;
end process;
end architecture;
| mit | 62ac621243a550c6646383fd5ee47d78 | 0.539823 | 4.035714 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/proj_pointer_basic_hls_ip_integ/proj_pointer_basic_hls_ip_integ.srcs/sources_1/bd/design_1/ip/design_1_pointer_basic_0_1/design_1_pointer_basic_0_1_sim_netlist.vhdl | 2 | 113,376 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Mon Sep 16 05:33:22 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top design_1_pointer_basic_0_1 -prefix
-- design_1_pointer_basic_0_1_ design_1_pointer_basic_0_1_sim_netlist.vhdl
-- Design : design_1_pointer_basic_0_1
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_pointer_basic_0_1_pointer_basic_pointer_basic_io_s_axi is
port (
\out\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_pointer_basic_io_RVALID : out STD_LOGIC_VECTOR ( 1 downto 0 );
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
\d_read_reg_52_reg[31]\ : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
interrupt : out STD_LOGIC;
\ap_CS_fsm_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
ap_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
ap_rst_n : in STD_LOGIC;
s_axi_pointer_basic_io_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_pointer_basic_io_ARADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_pointer_basic_io_AWADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
D : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_ARVALID : in STD_LOGIC;
s_axi_pointer_basic_io_RREADY : in STD_LOGIC;
s_axi_pointer_basic_io_AWVALID : in STD_LOGIC;
s_axi_pointer_basic_io_WVALID : in STD_LOGIC;
s_axi_pointer_basic_io_BREADY : in STD_LOGIC
);
end design_1_pointer_basic_0_1_pointer_basic_pointer_basic_io_s_axi;
architecture STRUCTURE of design_1_pointer_basic_0_1_pointer_basic_pointer_basic_io_s_axi is
signal \FSM_onehot_rstate[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_rstate[2]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_rstate_reg_n_0_[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \FSM_onehot_rstate_reg_n_0_[0]\ : signal is "yes";
signal \FSM_onehot_wstate[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate[2]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate[3]_i_2_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate_reg_n_0_[0]\ : STD_LOGIC;
attribute RTL_KEEP of \FSM_onehot_wstate_reg_n_0_[0]\ : signal is "yes";
signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal ap_idle : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ar_hs : STD_LOGIC;
signal \^d_read_reg_52_reg[31]\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal int_ap_done : STD_LOGIC;
signal int_ap_done_i_1_n_0 : STD_LOGIC;
signal int_ap_done_i_2_n_0 : STD_LOGIC;
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_start_i_1_n_0 : STD_LOGIC;
signal int_ap_start_i_2_n_0 : STD_LOGIC;
signal int_ap_start_i_3_n_0 : STD_LOGIC;
signal int_auto_restart : STD_LOGIC;
signal int_auto_restart_i_1_n_0 : STD_LOGIC;
signal \int_d_i[0]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[10]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[11]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[12]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[13]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[14]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[15]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[16]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[17]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[18]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[19]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[1]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[20]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[21]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[22]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[23]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[24]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[25]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[26]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[27]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[28]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[29]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[2]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[30]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[31]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[31]_i_2_n_0\ : STD_LOGIC;
signal \int_d_i[31]_i_3_n_0\ : STD_LOGIC;
signal \int_d_i[3]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[4]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[5]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[6]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[7]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[8]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[9]_i_1_n_0\ : STD_LOGIC;
signal int_d_o : STD_LOGIC_VECTOR ( 31 downto 0 );
signal int_d_o_ap_vld : STD_LOGIC;
signal int_d_o_ap_vld_i_1_n_0 : STD_LOGIC;
signal int_gie_i_1_n_0 : STD_LOGIC;
signal int_gie_reg_n_0 : STD_LOGIC;
signal \int_ier[0]_i_1_n_0\ : STD_LOGIC;
signal \int_ier[1]_i_1_n_0\ : STD_LOGIC;
signal \int_ier[1]_i_2_n_0\ : STD_LOGIC;
signal \int_ier_reg_n_0_[0]\ : STD_LOGIC;
signal \int_ier_reg_n_0_[1]\ : STD_LOGIC;
signal int_isr : STD_LOGIC;
signal int_isr7_out : STD_LOGIC;
signal \int_isr[0]_i_1_n_0\ : STD_LOGIC;
signal \int_isr[1]_i_1_n_0\ : STD_LOGIC;
signal \int_isr_reg_n_0_[0]\ : STD_LOGIC;
signal \^out\ : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute RTL_KEEP of \^out\ : signal is "yes";
signal p_1_in : STD_LOGIC;
signal rdata : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \rdata[0]_i_2_n_0\ : STD_LOGIC;
signal \rdata[0]_i_3_n_0\ : STD_LOGIC;
signal \rdata[10]_i_1_n_0\ : STD_LOGIC;
signal \rdata[11]_i_1_n_0\ : STD_LOGIC;
signal \rdata[12]_i_1_n_0\ : STD_LOGIC;
signal \rdata[13]_i_1_n_0\ : STD_LOGIC;
signal \rdata[14]_i_1_n_0\ : STD_LOGIC;
signal \rdata[15]_i_1_n_0\ : STD_LOGIC;
signal \rdata[16]_i_1_n_0\ : STD_LOGIC;
signal \rdata[17]_i_1_n_0\ : STD_LOGIC;
signal \rdata[18]_i_1_n_0\ : STD_LOGIC;
signal \rdata[19]_i_1_n_0\ : STD_LOGIC;
signal \rdata[1]_i_2_n_0\ : STD_LOGIC;
signal \rdata[1]_i_3_n_0\ : STD_LOGIC;
signal \rdata[1]_i_4_n_0\ : STD_LOGIC;
signal \rdata[20]_i_1_n_0\ : STD_LOGIC;
signal \rdata[21]_i_1_n_0\ : STD_LOGIC;
signal \rdata[22]_i_1_n_0\ : STD_LOGIC;
signal \rdata[23]_i_1_n_0\ : STD_LOGIC;
signal \rdata[24]_i_1_n_0\ : STD_LOGIC;
signal \rdata[25]_i_1_n_0\ : STD_LOGIC;
signal \rdata[26]_i_1_n_0\ : STD_LOGIC;
signal \rdata[27]_i_1_n_0\ : STD_LOGIC;
signal \rdata[28]_i_1_n_0\ : STD_LOGIC;
signal \rdata[29]_i_1_n_0\ : STD_LOGIC;
signal \rdata[30]_i_1_n_0\ : STD_LOGIC;
signal \rdata[31]_i_1_n_0\ : STD_LOGIC;
signal \rdata[31]_i_3_n_0\ : STD_LOGIC;
signal \rdata[4]_i_1_n_0\ : STD_LOGIC;
signal \rdata[5]_i_1_n_0\ : STD_LOGIC;
signal \rdata[6]_i_1_n_0\ : STD_LOGIC;
signal \rdata[7]_i_2_n_0\ : STD_LOGIC;
signal \rdata[7]_i_3_n_0\ : STD_LOGIC;
signal \rdata[8]_i_1_n_0\ : STD_LOGIC;
signal \rdata[9]_i_1_n_0\ : STD_LOGIC;
signal \^s_axi_pointer_basic_io_rvalid\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \^s_axi_pointer_basic_io_rvalid\ : signal is "yes";
signal waddr : STD_LOGIC;
signal \waddr_reg_n_0_[0]\ : STD_LOGIC;
signal \waddr_reg_n_0_[1]\ : STD_LOGIC;
signal \waddr_reg_n_0_[2]\ : STD_LOGIC;
signal \waddr_reg_n_0_[3]\ : STD_LOGIC;
signal \waddr_reg_n_0_[4]\ : STD_LOGIC;
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[0]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP : string;
attribute KEEP of \FSM_onehot_rstate_reg[0]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[1]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP of \FSM_onehot_rstate_reg[1]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[2]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP of \FSM_onehot_rstate_reg[2]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[0]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[0]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[1]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[1]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[2]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[2]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[3]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[3]\ : label is "yes";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \ap_CS_fsm[0]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \ap_CS_fsm[1]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \d_read_reg_52[31]_i_1\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of int_ap_idle_i_1 : label is "soft_lutpair33";
attribute SOFT_HLUTNM of int_ap_start_i_2 : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \int_d_i[0]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \int_d_i[10]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \int_d_i[11]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \int_d_i[12]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \int_d_i[13]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \int_d_i[14]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \int_d_i[15]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \int_d_i[16]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \int_d_i[17]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \int_d_i[18]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \int_d_i[19]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \int_d_i[1]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \int_d_i[20]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \int_d_i[21]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \int_d_i[22]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \int_d_i[23]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \int_d_i[24]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \int_d_i[25]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \int_d_i[26]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \int_d_i[27]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \int_d_i[28]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \int_d_i[29]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \int_d_i[2]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \int_d_i[30]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \int_d_i[31]_i_2\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \int_d_i[3]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \int_d_i[4]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \int_d_i[5]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \int_d_i[6]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \int_d_i[7]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \int_d_i[8]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \int_d_i[9]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \int_ier[0]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \int_isr[1]_i_2\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rdata[0]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rdata[10]_i_1\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \rdata[11]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \rdata[12]_i_1\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \rdata[13]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \rdata[14]_i_1\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \rdata[15]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \rdata[16]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \rdata[17]_i_1\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \rdata[18]_i_1\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \rdata[19]_i_1\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \rdata[1]_i_3\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rdata[20]_i_1\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \rdata[21]_i_1\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \rdata[22]_i_1\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \rdata[23]_i_1\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \rdata[24]_i_1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \rdata[25]_i_1\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \rdata[26]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \rdata[27]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \rdata[28]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \rdata[29]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \rdata[30]_i_1\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \rdata[31]_i_3\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \rdata[5]_i_1\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \rdata[6]_i_1\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \rdata[7]_i_2\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rdata[8]_i_1\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \rdata[9]_i_1\ : label is "soft_lutpair31";
begin
SR(0) <= \^sr\(0);
\d_read_reg_52_reg[31]\(31 downto 0) <= \^d_read_reg_52_reg[31]\(31 downto 0);
\out\(2 downto 0) <= \^out\(2 downto 0);
s_axi_pointer_basic_io_RVALID(1 downto 0) <= \^s_axi_pointer_basic_io_rvalid\(1 downto 0);
\FSM_onehot_rstate[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8BFB"
)
port map (
I0 => s_axi_pointer_basic_io_RREADY,
I1 => \^s_axi_pointer_basic_io_rvalid\(1),
I2 => \^s_axi_pointer_basic_io_rvalid\(0),
I3 => s_axi_pointer_basic_io_ARVALID,
O => \FSM_onehot_rstate[1]_i_1_n_0\
);
\FSM_onehot_rstate[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_pointer_basic_io_ARVALID,
I1 => \^s_axi_pointer_basic_io_rvalid\(0),
I2 => s_axi_pointer_basic_io_RREADY,
I3 => \^s_axi_pointer_basic_io_rvalid\(1),
O => \FSM_onehot_rstate[2]_i_1_n_0\
);
\FSM_onehot_rstate_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => '0',
Q => \FSM_onehot_rstate_reg_n_0_[0]\,
S => \^sr\(0)
);
\FSM_onehot_rstate_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_rstate[1]_i_1_n_0\,
Q => \^s_axi_pointer_basic_io_rvalid\(0),
R => \^sr\(0)
);
\FSM_onehot_rstate_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_rstate[2]_i_1_n_0\,
Q => \^s_axi_pointer_basic_io_rvalid\(1),
R => \^sr\(0)
);
\FSM_onehot_wstate[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF272227"
)
port map (
I0 => \^out\(0),
I1 => s_axi_pointer_basic_io_AWVALID,
I2 => \^out\(1),
I3 => \^out\(2),
I4 => s_axi_pointer_basic_io_BREADY,
O => \FSM_onehot_wstate[1]_i_1_n_0\
);
\FSM_onehot_wstate[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_pointer_basic_io_AWVALID,
I1 => \^out\(0),
I2 => s_axi_pointer_basic_io_WVALID,
I3 => \^out\(1),
O => \FSM_onehot_wstate[2]_i_1_n_0\
);
\FSM_onehot_wstate[3]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ap_rst_n,
O => \^sr\(0)
);
\FSM_onehot_wstate[3]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_pointer_basic_io_WVALID,
I1 => \^out\(1),
I2 => s_axi_pointer_basic_io_BREADY,
I3 => \^out\(2),
O => \FSM_onehot_wstate[3]_i_2_n_0\
);
\FSM_onehot_wstate_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => '0',
Q => \FSM_onehot_wstate_reg_n_0_[0]\,
S => \^sr\(0)
);
\FSM_onehot_wstate_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[1]_i_1_n_0\,
Q => \^out\(0),
R => \^sr\(0)
);
\FSM_onehot_wstate_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[2]_i_1_n_0\,
Q => \^out\(1),
R => \^sr\(0)
);
\FSM_onehot_wstate_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[3]_i_2_n_0\,
Q => \^out\(2),
R => \^sr\(0)
);
\ap_CS_fsm[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"5515"
)
port map (
I0 => Q(1),
I1 => Q(0),
I2 => ap_start,
I3 => Q(2),
O => \ap_CS_fsm_reg[1]\(0)
);
\ap_CS_fsm[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0008"
)
port map (
I0 => Q(0),
I1 => ap_start,
I2 => Q(2),
I3 => Q(1),
O => \ap_CS_fsm_reg[1]\(1)
);
\d_read_reg_52[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => ap_start,
I1 => Q(0),
O => E(0)
);
int_ap_done_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFEFFFFAAAAAAAA"
)
port map (
I0 => Q(2),
I1 => s_axi_pointer_basic_io_ARADDR(4),
I2 => s_axi_pointer_basic_io_ARADDR(2),
I3 => s_axi_pointer_basic_io_ARADDR(3),
I4 => int_ap_done_i_2_n_0,
I5 => int_ap_done,
O => int_ap_done_i_1_n_0
);
int_ap_done_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"0008"
)
port map (
I0 => s_axi_pointer_basic_io_ARVALID,
I1 => \^s_axi_pointer_basic_io_rvalid\(0),
I2 => s_axi_pointer_basic_io_ARADDR(0),
I3 => s_axi_pointer_basic_io_ARADDR(1),
O => int_ap_done_i_2_n_0
);
int_ap_done_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_ap_done_i_1_n_0,
Q => int_ap_done,
R => \^sr\(0)
);
int_ap_idle_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => Q(0),
I1 => ap_start,
O => ap_idle
);
int_ap_idle_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => ap_idle,
Q => int_ap_idle,
R => \^sr\(0)
);
int_ap_ready_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => Q(2),
Q => int_ap_ready,
R => \^sr\(0)
);
int_ap_start_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FBBBBBBBF8888888"
)
port map (
I0 => int_auto_restart,
I1 => Q(2),
I2 => int_ap_start_i_2_n_0,
I3 => int_ap_start_i_3_n_0,
I4 => s_axi_pointer_basic_io_WDATA(0),
I5 => ap_start,
O => int_ap_start_i_1_n_0
);
int_ap_start_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => s_axi_pointer_basic_io_WSTRB(0),
I1 => \waddr_reg_n_0_[2]\,
O => int_ap_start_i_2_n_0
);
int_ap_start_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000001000"
)
port map (
I0 => \waddr_reg_n_0_[0]\,
I1 => \waddr_reg_n_0_[1]\,
I2 => s_axi_pointer_basic_io_WVALID,
I3 => \^out\(1),
I4 => \waddr_reg_n_0_[3]\,
I5 => \waddr_reg_n_0_[4]\,
O => int_ap_start_i_3_n_0
);
int_ap_start_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_ap_start_i_1_n_0,
Q => ap_start,
R => \^sr\(0)
);
int_auto_restart_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EFFF2000"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(7),
I1 => \waddr_reg_n_0_[2]\,
I2 => s_axi_pointer_basic_io_WSTRB(0),
I3 => int_ap_start_i_3_n_0,
I4 => int_auto_restart,
O => int_auto_restart_i_1_n_0
);
int_auto_restart_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_auto_restart_i_1_n_0,
Q => int_auto_restart,
R => \^sr\(0)
);
\int_d_i[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(0),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(0),
O => \int_d_i[0]_i_1_n_0\
);
\int_d_i[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(10),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(10),
O => \int_d_i[10]_i_1_n_0\
);
\int_d_i[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(11),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(11),
O => \int_d_i[11]_i_1_n_0\
);
\int_d_i[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(12),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(12),
O => \int_d_i[12]_i_1_n_0\
);
\int_d_i[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(13),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(13),
O => \int_d_i[13]_i_1_n_0\
);
\int_d_i[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(14),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(14),
O => \int_d_i[14]_i_1_n_0\
);
\int_d_i[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(15),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(15),
O => \int_d_i[15]_i_1_n_0\
);
\int_d_i[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(16),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(16),
O => \int_d_i[16]_i_1_n_0\
);
\int_d_i[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(17),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(17),
O => \int_d_i[17]_i_1_n_0\
);
\int_d_i[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(18),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(18),
O => \int_d_i[18]_i_1_n_0\
);
\int_d_i[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(19),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(19),
O => \int_d_i[19]_i_1_n_0\
);
\int_d_i[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(1),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(1),
O => \int_d_i[1]_i_1_n_0\
);
\int_d_i[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(20),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(20),
O => \int_d_i[20]_i_1_n_0\
);
\int_d_i[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(21),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(21),
O => \int_d_i[21]_i_1_n_0\
);
\int_d_i[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(22),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(22),
O => \int_d_i[22]_i_1_n_0\
);
\int_d_i[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(23),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(23),
O => \int_d_i[23]_i_1_n_0\
);
\int_d_i[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(24),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(24),
O => \int_d_i[24]_i_1_n_0\
);
\int_d_i[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(25),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(25),
O => \int_d_i[25]_i_1_n_0\
);
\int_d_i[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(26),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(26),
O => \int_d_i[26]_i_1_n_0\
);
\int_d_i[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(27),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(27),
O => \int_d_i[27]_i_1_n_0\
);
\int_d_i[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(28),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(28),
O => \int_d_i[28]_i_1_n_0\
);
\int_d_i[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(29),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(29),
O => \int_d_i[29]_i_1_n_0\
);
\int_d_i[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(2),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(2),
O => \int_d_i[2]_i_1_n_0\
);
\int_d_i[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(30),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(30),
O => \int_d_i[30]_i_1_n_0\
);
\int_d_i[31]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \int_d_i[31]_i_3_n_0\,
I1 => \waddr_reg_n_0_[4]\,
I2 => \waddr_reg_n_0_[2]\,
O => \int_d_i[31]_i_1_n_0\
);
\int_d_i[31]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(31),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(31),
O => \int_d_i[31]_i_2_n_0\
);
\int_d_i[31]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000040"
)
port map (
I0 => \waddr_reg_n_0_[3]\,
I1 => \^out\(1),
I2 => s_axi_pointer_basic_io_WVALID,
I3 => \waddr_reg_n_0_[1]\,
I4 => \waddr_reg_n_0_[0]\,
O => \int_d_i[31]_i_3_n_0\
);
\int_d_i[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(3),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(3),
O => \int_d_i[3]_i_1_n_0\
);
\int_d_i[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(4),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(4),
O => \int_d_i[4]_i_1_n_0\
);
\int_d_i[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(5),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(5),
O => \int_d_i[5]_i_1_n_0\
);
\int_d_i[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(6),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(6),
O => \int_d_i[6]_i_1_n_0\
);
\int_d_i[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(7),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(7),
O => \int_d_i[7]_i_1_n_0\
);
\int_d_i[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(8),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(8),
O => \int_d_i[8]_i_1_n_0\
);
\int_d_i[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(9),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(9),
O => \int_d_i[9]_i_1_n_0\
);
\int_d_i_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[0]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(0),
R => \^sr\(0)
);
\int_d_i_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[10]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(10),
R => \^sr\(0)
);
\int_d_i_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[11]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(11),
R => \^sr\(0)
);
\int_d_i_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[12]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(12),
R => \^sr\(0)
);
\int_d_i_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[13]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(13),
R => \^sr\(0)
);
\int_d_i_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[14]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(14),
R => \^sr\(0)
);
\int_d_i_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[15]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(15),
R => \^sr\(0)
);
\int_d_i_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[16]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(16),
R => \^sr\(0)
);
\int_d_i_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[17]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(17),
R => \^sr\(0)
);
\int_d_i_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[18]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(18),
R => \^sr\(0)
);
\int_d_i_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[19]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(19),
R => \^sr\(0)
);
\int_d_i_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[1]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(1),
R => \^sr\(0)
);
\int_d_i_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[20]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(20),
R => \^sr\(0)
);
\int_d_i_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[21]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(21),
R => \^sr\(0)
);
\int_d_i_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[22]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(22),
R => \^sr\(0)
);
\int_d_i_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[23]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(23),
R => \^sr\(0)
);
\int_d_i_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[24]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(24),
R => \^sr\(0)
);
\int_d_i_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[25]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(25),
R => \^sr\(0)
);
\int_d_i_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[26]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(26),
R => \^sr\(0)
);
\int_d_i_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[27]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(27),
R => \^sr\(0)
);
\int_d_i_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[28]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(28),
R => \^sr\(0)
);
\int_d_i_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[29]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(29),
R => \^sr\(0)
);
\int_d_i_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[2]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(2),
R => \^sr\(0)
);
\int_d_i_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[30]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(30),
R => \^sr\(0)
);
\int_d_i_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[31]_i_2_n_0\,
Q => \^d_read_reg_52_reg[31]\(31),
R => \^sr\(0)
);
\int_d_i_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[3]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(3),
R => \^sr\(0)
);
\int_d_i_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[4]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(4),
R => \^sr\(0)
);
\int_d_i_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[5]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(5),
R => \^sr\(0)
);
\int_d_i_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[6]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(6),
R => \^sr\(0)
);
\int_d_i_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[7]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(7),
R => \^sr\(0)
);
\int_d_i_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[8]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(8),
R => \^sr\(0)
);
\int_d_i_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[9]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(9),
R => \^sr\(0)
);
int_d_o_ap_vld_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"BFFFFFFFAAAAAAAA"
)
port map (
I0 => Q(2),
I1 => s_axi_pointer_basic_io_ARADDR(2),
I2 => s_axi_pointer_basic_io_ARADDR(4),
I3 => s_axi_pointer_basic_io_ARADDR(3),
I4 => int_ap_done_i_2_n_0,
I5 => int_d_o_ap_vld,
O => int_d_o_ap_vld_i_1_n_0
);
int_d_o_ap_vld_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => int_d_o_ap_vld_i_1_n_0,
Q => int_d_o_ap_vld,
R => \^sr\(0)
);
\int_d_o_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(0),
Q => int_d_o(0),
R => \^sr\(0)
);
\int_d_o_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(10),
Q => int_d_o(10),
R => \^sr\(0)
);
\int_d_o_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(11),
Q => int_d_o(11),
R => \^sr\(0)
);
\int_d_o_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(12),
Q => int_d_o(12),
R => \^sr\(0)
);
\int_d_o_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(13),
Q => int_d_o(13),
R => \^sr\(0)
);
\int_d_o_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(14),
Q => int_d_o(14),
R => \^sr\(0)
);
\int_d_o_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(15),
Q => int_d_o(15),
R => \^sr\(0)
);
\int_d_o_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(16),
Q => int_d_o(16),
R => \^sr\(0)
);
\int_d_o_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(17),
Q => int_d_o(17),
R => \^sr\(0)
);
\int_d_o_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(18),
Q => int_d_o(18),
R => \^sr\(0)
);
\int_d_o_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(19),
Q => int_d_o(19),
R => \^sr\(0)
);
\int_d_o_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(1),
Q => int_d_o(1),
R => \^sr\(0)
);
\int_d_o_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(20),
Q => int_d_o(20),
R => \^sr\(0)
);
\int_d_o_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(21),
Q => int_d_o(21),
R => \^sr\(0)
);
\int_d_o_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(22),
Q => int_d_o(22),
R => \^sr\(0)
);
\int_d_o_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(23),
Q => int_d_o(23),
R => \^sr\(0)
);
\int_d_o_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(24),
Q => int_d_o(24),
R => \^sr\(0)
);
\int_d_o_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(25),
Q => int_d_o(25),
R => \^sr\(0)
);
\int_d_o_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(26),
Q => int_d_o(26),
R => \^sr\(0)
);
\int_d_o_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(27),
Q => int_d_o(27),
R => \^sr\(0)
);
\int_d_o_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(28),
Q => int_d_o(28),
R => \^sr\(0)
);
\int_d_o_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(29),
Q => int_d_o(29),
R => \^sr\(0)
);
\int_d_o_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(2),
Q => int_d_o(2),
R => \^sr\(0)
);
\int_d_o_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(30),
Q => int_d_o(30),
R => \^sr\(0)
);
\int_d_o_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(31),
Q => int_d_o(31),
R => \^sr\(0)
);
\int_d_o_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(3),
Q => int_d_o(3),
R => \^sr\(0)
);
\int_d_o_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(4),
Q => int_d_o(4),
R => \^sr\(0)
);
\int_d_o_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(5),
Q => int_d_o(5),
R => \^sr\(0)
);
\int_d_o_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(6),
Q => int_d_o(6),
R => \^sr\(0)
);
\int_d_o_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(7),
Q => int_d_o(7),
R => \^sr\(0)
);
\int_d_o_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(8),
Q => int_d_o(8),
R => \^sr\(0)
);
\int_d_o_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(9),
Q => int_d_o(9),
R => \^sr\(0)
);
int_gie_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"BFFF8000"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(0),
I1 => int_ap_start_i_3_n_0,
I2 => \waddr_reg_n_0_[2]\,
I3 => s_axi_pointer_basic_io_WSTRB(0),
I4 => int_gie_reg_n_0,
O => int_gie_i_1_n_0
);
int_gie_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_gie_i_1_n_0,
Q => int_gie_reg_n_0,
R => \^sr\(0)
);
\int_ier[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFEF0020"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(0),
I1 => \waddr_reg_n_0_[2]\,
I2 => s_axi_pointer_basic_io_WSTRB(0),
I3 => \int_ier[1]_i_2_n_0\,
I4 => \int_ier_reg_n_0_[0]\,
O => \int_ier[0]_i_1_n_0\
);
\int_ier[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFEF0020"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(1),
I1 => \waddr_reg_n_0_[2]\,
I2 => s_axi_pointer_basic_io_WSTRB(0),
I3 => \int_ier[1]_i_2_n_0\,
I4 => \int_ier_reg_n_0_[1]\,
O => \int_ier[1]_i_1_n_0\
);
\int_ier[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFF7FFFF"
)
port map (
I0 => \^out\(1),
I1 => s_axi_pointer_basic_io_WVALID,
I2 => \waddr_reg_n_0_[1]\,
I3 => \waddr_reg_n_0_[0]\,
I4 => \waddr_reg_n_0_[3]\,
I5 => \waddr_reg_n_0_[4]\,
O => \int_ier[1]_i_2_n_0\
);
\int_ier_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_ier[0]_i_1_n_0\,
Q => \int_ier_reg_n_0_[0]\,
R => \^sr\(0)
);
\int_ier_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_ier[1]_i_1_n_0\,
Q => \int_ier_reg_n_0_[1]\,
R => \^sr\(0)
);
\int_isr[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDFFFFFFF2000"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(0),
I1 => \int_ier[1]_i_2_n_0\,
I2 => s_axi_pointer_basic_io_WSTRB(0),
I3 => \waddr_reg_n_0_[2]\,
I4 => int_isr7_out,
I5 => \int_isr_reg_n_0_[0]\,
O => \int_isr[0]_i_1_n_0\
);
\int_isr[0]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => Q(2),
I1 => \int_ier_reg_n_0_[0]\,
O => int_isr7_out
);
\int_isr[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDFFFFFFF2000"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(1),
I1 => \int_ier[1]_i_2_n_0\,
I2 => s_axi_pointer_basic_io_WSTRB(0),
I3 => \waddr_reg_n_0_[2]\,
I4 => int_isr,
I5 => p_1_in,
O => \int_isr[1]_i_1_n_0\
);
\int_isr[1]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => Q(2),
I1 => \int_ier_reg_n_0_[1]\,
O => int_isr
);
\int_isr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_isr[0]_i_1_n_0\,
Q => \int_isr_reg_n_0_[0]\,
R => \^sr\(0)
);
\int_isr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_isr[1]_i_1_n_0\,
Q => p_1_in,
R => \^sr\(0)
);
interrupt_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"A8"
)
port map (
I0 => int_gie_reg_n_0,
I1 => p_1_in,
I2 => \int_isr_reg_n_0_[0]\,
O => interrupt
);
\rdata[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"000000B8"
)
port map (
I0 => \rdata[0]_i_2_n_0\,
I1 => s_axi_pointer_basic_io_ARADDR(4),
I2 => \rdata[0]_i_3_n_0\,
I3 => s_axi_pointer_basic_io_ARADDR(0),
I4 => s_axi_pointer_basic_io_ARADDR(1),
O => rdata(0)
);
\rdata[0]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"A0A0CFC0"
)
port map (
I0 => int_d_o_ap_vld,
I1 => int_d_o(0),
I2 => s_axi_pointer_basic_io_ARADDR(3),
I3 => \^d_read_reg_52_reg[31]\(0),
I4 => s_axi_pointer_basic_io_ARADDR(2),
O => \rdata[0]_i_2_n_0\
);
\rdata[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \int_isr_reg_n_0_[0]\,
I1 => \int_ier_reg_n_0_[0]\,
I2 => s_axi_pointer_basic_io_ARADDR(3),
I3 => int_gie_reg_n_0,
I4 => s_axi_pointer_basic_io_ARADDR(2),
I5 => ap_start,
O => \rdata[0]_i_3_n_0\
);
\rdata[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(10),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(10),
O => \rdata[10]_i_1_n_0\
);
\rdata[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(11),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(11),
O => \rdata[11]_i_1_n_0\
);
\rdata[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(12),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(12),
O => \rdata[12]_i_1_n_0\
);
\rdata[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(13),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(13),
O => \rdata[13]_i_1_n_0\
);
\rdata[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(14),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(14),
O => \rdata[14]_i_1_n_0\
);
\rdata[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(15),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(15),
O => \rdata[15]_i_1_n_0\
);
\rdata[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(16),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(16),
O => \rdata[16]_i_1_n_0\
);
\rdata[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(17),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(17),
O => \rdata[17]_i_1_n_0\
);
\rdata[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(18),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(18),
O => \rdata[18]_i_1_n_0\
);
\rdata[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(19),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(19),
O => \rdata[19]_i_1_n_0\
);
\rdata[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"E0E0E0E0E0E0E0EE"
)
port map (
I0 => \rdata[1]_i_2_n_0\,
I1 => \rdata[1]_i_3_n_0\,
I2 => \rdata[1]_i_4_n_0\,
I3 => s_axi_pointer_basic_io_ARADDR(4),
I4 => s_axi_pointer_basic_io_ARADDR(0),
I5 => s_axi_pointer_basic_io_ARADDR(1),
O => rdata(1)
);
\rdata[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"F2F2F2F2F2F2FFF2"
)
port map (
I0 => s_axi_pointer_basic_io_ARADDR(4),
I1 => s_axi_pointer_basic_io_ARADDR(0),
I2 => s_axi_pointer_basic_io_ARADDR(1),
I3 => int_ap_done,
I4 => s_axi_pointer_basic_io_ARADDR(3),
I5 => s_axi_pointer_basic_io_ARADDR(2),
O => \rdata[1]_i_2_n_0\
);
\rdata[1]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"A808"
)
port map (
I0 => s_axi_pointer_basic_io_ARADDR(3),
I1 => \int_ier_reg_n_0_[1]\,
I2 => s_axi_pointer_basic_io_ARADDR(2),
I3 => p_1_in,
O => \rdata[1]_i_3_n_0\
);
\rdata[1]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000000000E2"
)
port map (
I0 => \^d_read_reg_52_reg[31]\(1),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => int_d_o(1),
I3 => s_axi_pointer_basic_io_ARADDR(1),
I4 => s_axi_pointer_basic_io_ARADDR(0),
I5 => s_axi_pointer_basic_io_ARADDR(2),
O => \rdata[1]_i_4_n_0\
);
\rdata[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(20),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(20),
O => \rdata[20]_i_1_n_0\
);
\rdata[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(21),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(21),
O => \rdata[21]_i_1_n_0\
);
\rdata[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(22),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(22),
O => \rdata[22]_i_1_n_0\
);
\rdata[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(23),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(23),
O => \rdata[23]_i_1_n_0\
);
\rdata[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(24),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(24),
O => \rdata[24]_i_1_n_0\
);
\rdata[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(25),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(25),
O => \rdata[25]_i_1_n_0\
);
\rdata[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(26),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(26),
O => \rdata[26]_i_1_n_0\
);
\rdata[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(27),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(27),
O => \rdata[27]_i_1_n_0\
);
\rdata[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(28),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(28),
O => \rdata[28]_i_1_n_0\
);
\rdata[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(29),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(29),
O => \rdata[29]_i_1_n_0\
);
\rdata[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000000AFC0A0C"
)
port map (
I0 => int_d_o(2),
I1 => \^d_read_reg_52_reg[31]\(2),
I2 => \rdata[7]_i_2_n_0\,
I3 => s_axi_pointer_basic_io_ARADDR(3),
I4 => int_ap_idle,
I5 => \rdata[7]_i_3_n_0\,
O => rdata(2)
);
\rdata[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(30),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(30),
O => \rdata[30]_i_1_n_0\
);
\rdata[31]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFD000000000000"
)
port map (
I0 => s_axi_pointer_basic_io_ARADDR(4),
I1 => s_axi_pointer_basic_io_ARADDR(2),
I2 => s_axi_pointer_basic_io_ARADDR(0),
I3 => s_axi_pointer_basic_io_ARADDR(1),
I4 => s_axi_pointer_basic_io_ARVALID,
I5 => \^s_axi_pointer_basic_io_rvalid\(0),
O => \rdata[31]_i_1_n_0\
);
\rdata[31]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^s_axi_pointer_basic_io_rvalid\(0),
I1 => s_axi_pointer_basic_io_ARVALID,
O => ar_hs
);
\rdata[31]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(31),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(31),
O => \rdata[31]_i_3_n_0\
);
\rdata[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000000AFC0A0C"
)
port map (
I0 => int_d_o(3),
I1 => \^d_read_reg_52_reg[31]\(3),
I2 => \rdata[7]_i_2_n_0\,
I3 => s_axi_pointer_basic_io_ARADDR(3),
I4 => int_ap_ready,
I5 => \rdata[7]_i_3_n_0\,
O => rdata(3)
);
\rdata[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(4),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(4),
O => \rdata[4]_i_1_n_0\
);
\rdata[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(5),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(5),
O => \rdata[5]_i_1_n_0\
);
\rdata[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(6),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(6),
O => \rdata[6]_i_1_n_0\
);
\rdata[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000000AFC0A0C"
)
port map (
I0 => int_d_o(7),
I1 => \^d_read_reg_52_reg[31]\(7),
I2 => \rdata[7]_i_2_n_0\,
I3 => s_axi_pointer_basic_io_ARADDR(3),
I4 => int_auto_restart,
I5 => \rdata[7]_i_3_n_0\,
O => rdata(7)
);
\rdata[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"45"
)
port map (
I0 => s_axi_pointer_basic_io_ARADDR(1),
I1 => s_axi_pointer_basic_io_ARADDR(0),
I2 => s_axi_pointer_basic_io_ARADDR(4),
O => \rdata[7]_i_2_n_0\
);
\rdata[7]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => s_axi_pointer_basic_io_ARADDR(2),
I1 => s_axi_pointer_basic_io_ARADDR(0),
I2 => s_axi_pointer_basic_io_ARADDR(1),
O => \rdata[7]_i_3_n_0\
);
\rdata[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(8),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(8),
O => \rdata[8]_i_1_n_0\
);
\rdata[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(9),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(9),
O => \rdata[9]_i_1_n_0\
);
\rdata_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => rdata(0),
Q => s_axi_pointer_basic_io_RDATA(0),
R => '0'
);
\rdata_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[10]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(10),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[11]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(11),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[12]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(12),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[13]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(13),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[14]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(14),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[15]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(15),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[16]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[16]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(16),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[17]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[17]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(17),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[18]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[18]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(18),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[19]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[19]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(19),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => rdata(1),
Q => s_axi_pointer_basic_io_RDATA(1),
R => '0'
);
\rdata_reg[20]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[20]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(20),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[21]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[21]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(21),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[22]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[22]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(22),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[23]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[23]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(23),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[24]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[24]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(24),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[25]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[25]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(25),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[26]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[26]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(26),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[27]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[27]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(27),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[28]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[28]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(28),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[29]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[29]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(29),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => rdata(2),
Q => s_axi_pointer_basic_io_RDATA(2),
R => '0'
);
\rdata_reg[30]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[30]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(30),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[31]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[31]_i_3_n_0\,
Q => s_axi_pointer_basic_io_RDATA(31),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => rdata(3),
Q => s_axi_pointer_basic_io_RDATA(3),
R => '0'
);
\rdata_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[4]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(4),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[5]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(5),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[6]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(6),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => rdata(7),
Q => s_axi_pointer_basic_io_RDATA(7),
R => '0'
);
\rdata_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[8]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(8),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[9]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(9),
R => \rdata[31]_i_1_n_0\
);
\waddr[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^out\(0),
I1 => s_axi_pointer_basic_io_AWVALID,
O => waddr
);
\waddr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_pointer_basic_io_AWADDR(0),
Q => \waddr_reg_n_0_[0]\,
R => '0'
);
\waddr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_pointer_basic_io_AWADDR(1),
Q => \waddr_reg_n_0_[1]\,
R => '0'
);
\waddr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_pointer_basic_io_AWADDR(2),
Q => \waddr_reg_n_0_[2]\,
R => '0'
);
\waddr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_pointer_basic_io_AWADDR(3),
Q => \waddr_reg_n_0_[3]\,
R => '0'
);
\waddr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_pointer_basic_io_AWADDR(4),
Q => \waddr_reg_n_0_[4]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_pointer_basic_0_1_pointer_basic is
port (
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
s_axi_pointer_basic_io_AWVALID : in STD_LOGIC;
s_axi_pointer_basic_io_AWREADY : out STD_LOGIC;
s_axi_pointer_basic_io_AWADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_pointer_basic_io_WVALID : in STD_LOGIC;
s_axi_pointer_basic_io_WREADY : out STD_LOGIC;
s_axi_pointer_basic_io_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_pointer_basic_io_ARVALID : in STD_LOGIC;
s_axi_pointer_basic_io_ARREADY : out STD_LOGIC;
s_axi_pointer_basic_io_ARADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_pointer_basic_io_RVALID : out STD_LOGIC;
s_axi_pointer_basic_io_RREADY : in STD_LOGIC;
s_axi_pointer_basic_io_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_pointer_basic_io_BVALID : out STD_LOGIC;
s_axi_pointer_basic_io_BREADY : in STD_LOGIC;
s_axi_pointer_basic_io_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
interrupt : out STD_LOGIC
);
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of design_1_pointer_basic_0_1_pointer_basic : entity is 32;
attribute C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH : integer;
attribute C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH of design_1_pointer_basic_0_1_pointer_basic : entity is 5;
attribute C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH : integer;
attribute C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH of design_1_pointer_basic_0_1_pointer_basic : entity is 32;
attribute C_S_AXI_POINTER_BASIC_IO_WSTRB_WIDTH : integer;
attribute C_S_AXI_POINTER_BASIC_IO_WSTRB_WIDTH of design_1_pointer_basic_0_1_pointer_basic : entity is 4;
attribute C_S_AXI_WSTRB_WIDTH : integer;
attribute C_S_AXI_WSTRB_WIDTH of design_1_pointer_basic_0_1_pointer_basic : entity is 4;
attribute ap_ST_fsm_state1 : string;
attribute ap_ST_fsm_state1 of design_1_pointer_basic_0_1_pointer_basic : entity is "3'b001";
attribute ap_ST_fsm_state2 : string;
attribute ap_ST_fsm_state2 of design_1_pointer_basic_0_1_pointer_basic : entity is "3'b010";
attribute ap_ST_fsm_state3 : string;
attribute ap_ST_fsm_state3 of design_1_pointer_basic_0_1_pointer_basic : entity is "3'b100";
attribute hls_module : string;
attribute hls_module of design_1_pointer_basic_0_1_pointer_basic : entity is "yes";
end design_1_pointer_basic_0_1_pointer_basic;
architecture STRUCTURE of design_1_pointer_basic_0_1_pointer_basic is
signal \<const0>\ : STD_LOGIC;
signal \acc[0]_i_2_n_0\ : STD_LOGIC;
signal \acc[0]_i_3_n_0\ : STD_LOGIC;
signal \acc[0]_i_4_n_0\ : STD_LOGIC;
signal \acc[0]_i_5_n_0\ : STD_LOGIC;
signal \acc[12]_i_2_n_0\ : STD_LOGIC;
signal \acc[12]_i_3_n_0\ : STD_LOGIC;
signal \acc[12]_i_4_n_0\ : STD_LOGIC;
signal \acc[12]_i_5_n_0\ : STD_LOGIC;
signal \acc[16]_i_2_n_0\ : STD_LOGIC;
signal \acc[16]_i_3_n_0\ : STD_LOGIC;
signal \acc[16]_i_4_n_0\ : STD_LOGIC;
signal \acc[16]_i_5_n_0\ : STD_LOGIC;
signal \acc[20]_i_2_n_0\ : STD_LOGIC;
signal \acc[20]_i_3_n_0\ : STD_LOGIC;
signal \acc[20]_i_4_n_0\ : STD_LOGIC;
signal \acc[20]_i_5_n_0\ : STD_LOGIC;
signal \acc[24]_i_2_n_0\ : STD_LOGIC;
signal \acc[24]_i_3_n_0\ : STD_LOGIC;
signal \acc[24]_i_4_n_0\ : STD_LOGIC;
signal \acc[24]_i_5_n_0\ : STD_LOGIC;
signal \acc[28]_i_2_n_0\ : STD_LOGIC;
signal \acc[28]_i_3_n_0\ : STD_LOGIC;
signal \acc[28]_i_4_n_0\ : STD_LOGIC;
signal \acc[28]_i_5_n_0\ : STD_LOGIC;
signal \acc[4]_i_2_n_0\ : STD_LOGIC;
signal \acc[4]_i_3_n_0\ : STD_LOGIC;
signal \acc[4]_i_4_n_0\ : STD_LOGIC;
signal \acc[4]_i_5_n_0\ : STD_LOGIC;
signal \acc[8]_i_2_n_0\ : STD_LOGIC;
signal \acc[8]_i_3_n_0\ : STD_LOGIC;
signal \acc[8]_i_4_n_0\ : STD_LOGIC;
signal \acc[8]_i_5_n_0\ : STD_LOGIC;
signal acc_reg : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \acc_reg[0]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_7\ : STD_LOGIC;
signal \ap_CS_fsm_reg_n_0_[0]\ : STD_LOGIC;
signal ap_CS_fsm_state2 : STD_LOGIC;
signal ap_CS_fsm_state3 : STD_LOGIC;
signal ap_NS_fsm : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ap_NS_fsm1 : STD_LOGIC;
signal ap_rst_n_inv : STD_LOGIC;
signal d_i : STD_LOGIC_VECTOR ( 31 downto 0 );
signal d_read_reg_52 : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \NLW_acc_reg[28]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute FSM_ENCODING : string;
attribute FSM_ENCODING of \ap_CS_fsm_reg[0]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[1]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[2]\ : label is "none";
begin
s_axi_pointer_basic_io_BRESP(1) <= \<const0>\;
s_axi_pointer_basic_io_BRESP(0) <= \<const0>\;
s_axi_pointer_basic_io_RRESP(1) <= \<const0>\;
s_axi_pointer_basic_io_RRESP(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\acc[0]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(3),
I1 => acc_reg(3),
O => \acc[0]_i_2_n_0\
);
\acc[0]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(2),
I1 => acc_reg(2),
O => \acc[0]_i_3_n_0\
);
\acc[0]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(1),
I1 => acc_reg(1),
O => \acc[0]_i_4_n_0\
);
\acc[0]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(0),
I1 => acc_reg(0),
O => \acc[0]_i_5_n_0\
);
\acc[12]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(15),
I1 => acc_reg(15),
O => \acc[12]_i_2_n_0\
);
\acc[12]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(14),
I1 => acc_reg(14),
O => \acc[12]_i_3_n_0\
);
\acc[12]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(13),
I1 => acc_reg(13),
O => \acc[12]_i_4_n_0\
);
\acc[12]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(12),
I1 => acc_reg(12),
O => \acc[12]_i_5_n_0\
);
\acc[16]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(19),
I1 => acc_reg(19),
O => \acc[16]_i_2_n_0\
);
\acc[16]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(18),
I1 => acc_reg(18),
O => \acc[16]_i_3_n_0\
);
\acc[16]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(17),
I1 => acc_reg(17),
O => \acc[16]_i_4_n_0\
);
\acc[16]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(16),
I1 => acc_reg(16),
O => \acc[16]_i_5_n_0\
);
\acc[20]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(23),
I1 => acc_reg(23),
O => \acc[20]_i_2_n_0\
);
\acc[20]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(22),
I1 => acc_reg(22),
O => \acc[20]_i_3_n_0\
);
\acc[20]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(21),
I1 => acc_reg(21),
O => \acc[20]_i_4_n_0\
);
\acc[20]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(20),
I1 => acc_reg(20),
O => \acc[20]_i_5_n_0\
);
\acc[24]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(27),
I1 => acc_reg(27),
O => \acc[24]_i_2_n_0\
);
\acc[24]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(26),
I1 => acc_reg(26),
O => \acc[24]_i_3_n_0\
);
\acc[24]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(25),
I1 => acc_reg(25),
O => \acc[24]_i_4_n_0\
);
\acc[24]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(24),
I1 => acc_reg(24),
O => \acc[24]_i_5_n_0\
);
\acc[28]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => acc_reg(31),
I1 => d_read_reg_52(31),
O => \acc[28]_i_2_n_0\
);
\acc[28]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(30),
I1 => acc_reg(30),
O => \acc[28]_i_3_n_0\
);
\acc[28]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(29),
I1 => acc_reg(29),
O => \acc[28]_i_4_n_0\
);
\acc[28]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(28),
I1 => acc_reg(28),
O => \acc[28]_i_5_n_0\
);
\acc[4]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(7),
I1 => acc_reg(7),
O => \acc[4]_i_2_n_0\
);
\acc[4]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(6),
I1 => acc_reg(6),
O => \acc[4]_i_3_n_0\
);
\acc[4]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(5),
I1 => acc_reg(5),
O => \acc[4]_i_4_n_0\
);
\acc[4]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(4),
I1 => acc_reg(4),
O => \acc[4]_i_5_n_0\
);
\acc[8]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(11),
I1 => acc_reg(11),
O => \acc[8]_i_2_n_0\
);
\acc[8]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(10),
I1 => acc_reg(10),
O => \acc[8]_i_3_n_0\
);
\acc[8]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(9),
I1 => acc_reg(9),
O => \acc[8]_i_4_n_0\
);
\acc[8]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(8),
I1 => acc_reg(8),
O => \acc[8]_i_5_n_0\
);
\acc_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[0]_i_1_n_7\,
Q => acc_reg(0),
R => '0'
);
\acc_reg[0]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \acc_reg[0]_i_1_n_0\,
CO(2) => \acc_reg[0]_i_1_n_1\,
CO(1) => \acc_reg[0]_i_1_n_2\,
CO(0) => \acc_reg[0]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(3 downto 0),
O(3) => \acc_reg[0]_i_1_n_4\,
O(2) => \acc_reg[0]_i_1_n_5\,
O(1) => \acc_reg[0]_i_1_n_6\,
O(0) => \acc_reg[0]_i_1_n_7\,
S(3) => \acc[0]_i_2_n_0\,
S(2) => \acc[0]_i_3_n_0\,
S(1) => \acc[0]_i_4_n_0\,
S(0) => \acc[0]_i_5_n_0\
);
\acc_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[8]_i_1_n_5\,
Q => acc_reg(10),
R => '0'
);
\acc_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[8]_i_1_n_4\,
Q => acc_reg(11),
R => '0'
);
\acc_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[12]_i_1_n_7\,
Q => acc_reg(12),
R => '0'
);
\acc_reg[12]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[8]_i_1_n_0\,
CO(3) => \acc_reg[12]_i_1_n_0\,
CO(2) => \acc_reg[12]_i_1_n_1\,
CO(1) => \acc_reg[12]_i_1_n_2\,
CO(0) => \acc_reg[12]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(15 downto 12),
O(3) => \acc_reg[12]_i_1_n_4\,
O(2) => \acc_reg[12]_i_1_n_5\,
O(1) => \acc_reg[12]_i_1_n_6\,
O(0) => \acc_reg[12]_i_1_n_7\,
S(3) => \acc[12]_i_2_n_0\,
S(2) => \acc[12]_i_3_n_0\,
S(1) => \acc[12]_i_4_n_0\,
S(0) => \acc[12]_i_5_n_0\
);
\acc_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[12]_i_1_n_6\,
Q => acc_reg(13),
R => '0'
);
\acc_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[12]_i_1_n_5\,
Q => acc_reg(14),
R => '0'
);
\acc_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[12]_i_1_n_4\,
Q => acc_reg(15),
R => '0'
);
\acc_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[16]_i_1_n_7\,
Q => acc_reg(16),
R => '0'
);
\acc_reg[16]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[12]_i_1_n_0\,
CO(3) => \acc_reg[16]_i_1_n_0\,
CO(2) => \acc_reg[16]_i_1_n_1\,
CO(1) => \acc_reg[16]_i_1_n_2\,
CO(0) => \acc_reg[16]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(19 downto 16),
O(3) => \acc_reg[16]_i_1_n_4\,
O(2) => \acc_reg[16]_i_1_n_5\,
O(1) => \acc_reg[16]_i_1_n_6\,
O(0) => \acc_reg[16]_i_1_n_7\,
S(3) => \acc[16]_i_2_n_0\,
S(2) => \acc[16]_i_3_n_0\,
S(1) => \acc[16]_i_4_n_0\,
S(0) => \acc[16]_i_5_n_0\
);
\acc_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[16]_i_1_n_6\,
Q => acc_reg(17),
R => '0'
);
\acc_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[16]_i_1_n_5\,
Q => acc_reg(18),
R => '0'
);
\acc_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[16]_i_1_n_4\,
Q => acc_reg(19),
R => '0'
);
\acc_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[0]_i_1_n_6\,
Q => acc_reg(1),
R => '0'
);
\acc_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[20]_i_1_n_7\,
Q => acc_reg(20),
R => '0'
);
\acc_reg[20]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[16]_i_1_n_0\,
CO(3) => \acc_reg[20]_i_1_n_0\,
CO(2) => \acc_reg[20]_i_1_n_1\,
CO(1) => \acc_reg[20]_i_1_n_2\,
CO(0) => \acc_reg[20]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(23 downto 20),
O(3) => \acc_reg[20]_i_1_n_4\,
O(2) => \acc_reg[20]_i_1_n_5\,
O(1) => \acc_reg[20]_i_1_n_6\,
O(0) => \acc_reg[20]_i_1_n_7\,
S(3) => \acc[20]_i_2_n_0\,
S(2) => \acc[20]_i_3_n_0\,
S(1) => \acc[20]_i_4_n_0\,
S(0) => \acc[20]_i_5_n_0\
);
\acc_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[20]_i_1_n_6\,
Q => acc_reg(21),
R => '0'
);
\acc_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[20]_i_1_n_5\,
Q => acc_reg(22),
R => '0'
);
\acc_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[20]_i_1_n_4\,
Q => acc_reg(23),
R => '0'
);
\acc_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[24]_i_1_n_7\,
Q => acc_reg(24),
R => '0'
);
\acc_reg[24]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[20]_i_1_n_0\,
CO(3) => \acc_reg[24]_i_1_n_0\,
CO(2) => \acc_reg[24]_i_1_n_1\,
CO(1) => \acc_reg[24]_i_1_n_2\,
CO(0) => \acc_reg[24]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(27 downto 24),
O(3) => \acc_reg[24]_i_1_n_4\,
O(2) => \acc_reg[24]_i_1_n_5\,
O(1) => \acc_reg[24]_i_1_n_6\,
O(0) => \acc_reg[24]_i_1_n_7\,
S(3) => \acc[24]_i_2_n_0\,
S(2) => \acc[24]_i_3_n_0\,
S(1) => \acc[24]_i_4_n_0\,
S(0) => \acc[24]_i_5_n_0\
);
\acc_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[24]_i_1_n_6\,
Q => acc_reg(25),
R => '0'
);
\acc_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[24]_i_1_n_5\,
Q => acc_reg(26),
R => '0'
);
\acc_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[24]_i_1_n_4\,
Q => acc_reg(27),
R => '0'
);
\acc_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[28]_i_1_n_7\,
Q => acc_reg(28),
R => '0'
);
\acc_reg[28]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[24]_i_1_n_0\,
CO(3) => \NLW_acc_reg[28]_i_1_CO_UNCONNECTED\(3),
CO(2) => \acc_reg[28]_i_1_n_1\,
CO(1) => \acc_reg[28]_i_1_n_2\,
CO(0) => \acc_reg[28]_i_1_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => d_read_reg_52(30 downto 28),
O(3) => \acc_reg[28]_i_1_n_4\,
O(2) => \acc_reg[28]_i_1_n_5\,
O(1) => \acc_reg[28]_i_1_n_6\,
O(0) => \acc_reg[28]_i_1_n_7\,
S(3) => \acc[28]_i_2_n_0\,
S(2) => \acc[28]_i_3_n_0\,
S(1) => \acc[28]_i_4_n_0\,
S(0) => \acc[28]_i_5_n_0\
);
\acc_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[28]_i_1_n_6\,
Q => acc_reg(29),
R => '0'
);
\acc_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[0]_i_1_n_5\,
Q => acc_reg(2),
R => '0'
);
\acc_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[28]_i_1_n_5\,
Q => acc_reg(30),
R => '0'
);
\acc_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[28]_i_1_n_4\,
Q => acc_reg(31),
R => '0'
);
\acc_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[0]_i_1_n_4\,
Q => acc_reg(3),
R => '0'
);
\acc_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[4]_i_1_n_7\,
Q => acc_reg(4),
R => '0'
);
\acc_reg[4]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[0]_i_1_n_0\,
CO(3) => \acc_reg[4]_i_1_n_0\,
CO(2) => \acc_reg[4]_i_1_n_1\,
CO(1) => \acc_reg[4]_i_1_n_2\,
CO(0) => \acc_reg[4]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(7 downto 4),
O(3) => \acc_reg[4]_i_1_n_4\,
O(2) => \acc_reg[4]_i_1_n_5\,
O(1) => \acc_reg[4]_i_1_n_6\,
O(0) => \acc_reg[4]_i_1_n_7\,
S(3) => \acc[4]_i_2_n_0\,
S(2) => \acc[4]_i_3_n_0\,
S(1) => \acc[4]_i_4_n_0\,
S(0) => \acc[4]_i_5_n_0\
);
\acc_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[4]_i_1_n_6\,
Q => acc_reg(5),
R => '0'
);
\acc_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[4]_i_1_n_5\,
Q => acc_reg(6),
R => '0'
);
\acc_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[4]_i_1_n_4\,
Q => acc_reg(7),
R => '0'
);
\acc_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[8]_i_1_n_7\,
Q => acc_reg(8),
R => '0'
);
\acc_reg[8]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[4]_i_1_n_0\,
CO(3) => \acc_reg[8]_i_1_n_0\,
CO(2) => \acc_reg[8]_i_1_n_1\,
CO(1) => \acc_reg[8]_i_1_n_2\,
CO(0) => \acc_reg[8]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(11 downto 8),
O(3) => \acc_reg[8]_i_1_n_4\,
O(2) => \acc_reg[8]_i_1_n_5\,
O(1) => \acc_reg[8]_i_1_n_6\,
O(0) => \acc_reg[8]_i_1_n_7\,
S(3) => \acc[8]_i_2_n_0\,
S(2) => \acc[8]_i_3_n_0\,
S(1) => \acc[8]_i_4_n_0\,
S(0) => \acc[8]_i_5_n_0\
);
\acc_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[8]_i_1_n_6\,
Q => acc_reg(9),
R => '0'
);
\ap_CS_fsm_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(0),
Q => \ap_CS_fsm_reg_n_0_[0]\,
S => ap_rst_n_inv
);
\ap_CS_fsm_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(1),
Q => ap_CS_fsm_state2,
R => ap_rst_n_inv
);
\ap_CS_fsm_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => ap_CS_fsm_state2,
Q => ap_CS_fsm_state3,
R => ap_rst_n_inv
);
\d_read_reg_52_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(0),
Q => d_read_reg_52(0),
R => '0'
);
\d_read_reg_52_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(10),
Q => d_read_reg_52(10),
R => '0'
);
\d_read_reg_52_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(11),
Q => d_read_reg_52(11),
R => '0'
);
\d_read_reg_52_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(12),
Q => d_read_reg_52(12),
R => '0'
);
\d_read_reg_52_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(13),
Q => d_read_reg_52(13),
R => '0'
);
\d_read_reg_52_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(14),
Q => d_read_reg_52(14),
R => '0'
);
\d_read_reg_52_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(15),
Q => d_read_reg_52(15),
R => '0'
);
\d_read_reg_52_reg[16]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(16),
Q => d_read_reg_52(16),
R => '0'
);
\d_read_reg_52_reg[17]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(17),
Q => d_read_reg_52(17),
R => '0'
);
\d_read_reg_52_reg[18]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(18),
Q => d_read_reg_52(18),
R => '0'
);
\d_read_reg_52_reg[19]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(19),
Q => d_read_reg_52(19),
R => '0'
);
\d_read_reg_52_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(1),
Q => d_read_reg_52(1),
R => '0'
);
\d_read_reg_52_reg[20]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(20),
Q => d_read_reg_52(20),
R => '0'
);
\d_read_reg_52_reg[21]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(21),
Q => d_read_reg_52(21),
R => '0'
);
\d_read_reg_52_reg[22]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(22),
Q => d_read_reg_52(22),
R => '0'
);
\d_read_reg_52_reg[23]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(23),
Q => d_read_reg_52(23),
R => '0'
);
\d_read_reg_52_reg[24]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(24),
Q => d_read_reg_52(24),
R => '0'
);
\d_read_reg_52_reg[25]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(25),
Q => d_read_reg_52(25),
R => '0'
);
\d_read_reg_52_reg[26]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(26),
Q => d_read_reg_52(26),
R => '0'
);
\d_read_reg_52_reg[27]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(27),
Q => d_read_reg_52(27),
R => '0'
);
\d_read_reg_52_reg[28]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(28),
Q => d_read_reg_52(28),
R => '0'
);
\d_read_reg_52_reg[29]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(29),
Q => d_read_reg_52(29),
R => '0'
);
\d_read_reg_52_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(2),
Q => d_read_reg_52(2),
R => '0'
);
\d_read_reg_52_reg[30]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(30),
Q => d_read_reg_52(30),
R => '0'
);
\d_read_reg_52_reg[31]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(31),
Q => d_read_reg_52(31),
R => '0'
);
\d_read_reg_52_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(3),
Q => d_read_reg_52(3),
R => '0'
);
\d_read_reg_52_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(4),
Q => d_read_reg_52(4),
R => '0'
);
\d_read_reg_52_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(5),
Q => d_read_reg_52(5),
R => '0'
);
\d_read_reg_52_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(6),
Q => d_read_reg_52(6),
R => '0'
);
\d_read_reg_52_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(7),
Q => d_read_reg_52(7),
R => '0'
);
\d_read_reg_52_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(8),
Q => d_read_reg_52(8),
R => '0'
);
\d_read_reg_52_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(9),
Q => d_read_reg_52(9),
R => '0'
);
pointer_basic_pointer_basic_io_s_axi_U: entity work.design_1_pointer_basic_0_1_pointer_basic_pointer_basic_io_s_axi
port map (
D(31 downto 0) => acc_reg(31 downto 0),
E(0) => ap_NS_fsm1,
Q(2) => ap_CS_fsm_state3,
Q(1) => ap_CS_fsm_state2,
Q(0) => \ap_CS_fsm_reg_n_0_[0]\,
SR(0) => ap_rst_n_inv,
\ap_CS_fsm_reg[1]\(1 downto 0) => ap_NS_fsm(1 downto 0),
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
\d_read_reg_52_reg[31]\(31 downto 0) => d_i(31 downto 0),
interrupt => interrupt,
\out\(2) => s_axi_pointer_basic_io_BVALID,
\out\(1) => s_axi_pointer_basic_io_WREADY,
\out\(0) => s_axi_pointer_basic_io_AWREADY,
s_axi_pointer_basic_io_ARADDR(4 downto 0) => s_axi_pointer_basic_io_ARADDR(4 downto 0),
s_axi_pointer_basic_io_ARVALID => s_axi_pointer_basic_io_ARVALID,
s_axi_pointer_basic_io_AWADDR(4 downto 0) => s_axi_pointer_basic_io_AWADDR(4 downto 0),
s_axi_pointer_basic_io_AWVALID => s_axi_pointer_basic_io_AWVALID,
s_axi_pointer_basic_io_BREADY => s_axi_pointer_basic_io_BREADY,
s_axi_pointer_basic_io_RDATA(31 downto 0) => s_axi_pointer_basic_io_RDATA(31 downto 0),
s_axi_pointer_basic_io_RREADY => s_axi_pointer_basic_io_RREADY,
s_axi_pointer_basic_io_RVALID(1) => s_axi_pointer_basic_io_RVALID,
s_axi_pointer_basic_io_RVALID(0) => s_axi_pointer_basic_io_ARREADY,
s_axi_pointer_basic_io_WDATA(31 downto 0) => s_axi_pointer_basic_io_WDATA(31 downto 0),
s_axi_pointer_basic_io_WSTRB(3 downto 0) => s_axi_pointer_basic_io_WSTRB(3 downto 0),
s_axi_pointer_basic_io_WVALID => s_axi_pointer_basic_io_WVALID
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_pointer_basic_0_1 is
port (
s_axi_pointer_basic_io_AWADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_pointer_basic_io_AWVALID : in STD_LOGIC;
s_axi_pointer_basic_io_AWREADY : out STD_LOGIC;
s_axi_pointer_basic_io_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_pointer_basic_io_WVALID : in STD_LOGIC;
s_axi_pointer_basic_io_WREADY : out STD_LOGIC;
s_axi_pointer_basic_io_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_pointer_basic_io_BVALID : out STD_LOGIC;
s_axi_pointer_basic_io_BREADY : in STD_LOGIC;
s_axi_pointer_basic_io_ARADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_pointer_basic_io_ARVALID : in STD_LOGIC;
s_axi_pointer_basic_io_ARREADY : out STD_LOGIC;
s_axi_pointer_basic_io_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_pointer_basic_io_RVALID : out STD_LOGIC;
s_axi_pointer_basic_io_RREADY : in STD_LOGIC;
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
interrupt : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of design_1_pointer_basic_0_1 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of design_1_pointer_basic_0_1 : entity is "design_1_pointer_basic_0_1,pointer_basic,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of design_1_pointer_basic_0_1 : entity is "yes";
attribute IP_DEFINITION_SOURCE : string;
attribute IP_DEFINITION_SOURCE of design_1_pointer_basic_0_1 : entity is "HLS";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of design_1_pointer_basic_0_1 : entity is "pointer_basic,Vivado 2018.2";
attribute hls_module : string;
attribute hls_module of design_1_pointer_basic_0_1 : entity is "yes";
end design_1_pointer_basic_0_1;
architecture STRUCTURE of design_1_pointer_basic_0_1 is
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of inst : label is 32;
attribute C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH : integer;
attribute C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH of inst : label is 5;
attribute C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH : integer;
attribute C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH of inst : label is 32;
attribute C_S_AXI_POINTER_BASIC_IO_WSTRB_WIDTH : integer;
attribute C_S_AXI_POINTER_BASIC_IO_WSTRB_WIDTH of inst : label is 4;
attribute C_S_AXI_WSTRB_WIDTH : integer;
attribute C_S_AXI_WSTRB_WIDTH of inst : label is 4;
attribute ap_ST_fsm_state1 : string;
attribute ap_ST_fsm_state1 of inst : label is "3'b001";
attribute ap_ST_fsm_state2 : string;
attribute ap_ST_fsm_state2 of inst : label is "3'b010";
attribute ap_ST_fsm_state3 : string;
attribute ap_ST_fsm_state3 of inst : label is "3'b100";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of ap_clk : signal is "xilinx.com:signal:clock:1.0 ap_clk CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of ap_clk : signal is "XIL_INTERFACENAME ap_clk, ASSOCIATED_BUSIF s_axi_pointer_basic_io, ASSOCIATED_RESET ap_rst_n, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 50000000, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_2_FCLK_CLK0";
attribute X_INTERFACE_INFO of ap_rst_n : signal is "xilinx.com:signal:reset:1.0 ap_rst_n RST";
attribute X_INTERFACE_PARAMETER of ap_rst_n : signal is "XIL_INTERFACENAME ap_rst_n, POLARITY ACTIVE_LOW, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {RST {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}";
attribute X_INTERFACE_INFO of interrupt : signal is "xilinx.com:signal:interrupt:1.0 interrupt INTERRUPT";
attribute X_INTERFACE_PARAMETER of interrupt : signal is "XIL_INTERFACENAME interrupt, SENSITIVITY LEVEL_HIGH, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {INTERRUPT {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, PortWidth 1";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_ARREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io ARREADY";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_ARVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io ARVALID";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_AWREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io AWREADY";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_AWVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io AWVALID";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_BREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io BREADY";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_BVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io BVALID";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_RREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_pointer_basic_io_RREADY : signal is "XIL_INTERFACENAME s_axi_pointer_basic_io, ADDR_WIDTH 5, DATA_WIDTH 32, PROTOCOL AXI4LITE, READ_WRITE_MODE READ_WRITE, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 50000000, ID_WIDTH 0, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 1, NUM_WRITE_OUTSTANDING 1, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_2_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_RVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io RVALID";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_WREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io WREADY";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_WVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io WVALID";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_ARADDR : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io ARADDR";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_AWADDR : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io AWADDR";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_BRESP : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io BRESP";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_RDATA : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io RDATA";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_RRESP : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io RRESP";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_WDATA : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io WDATA";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_WSTRB : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io WSTRB";
begin
inst: entity work.design_1_pointer_basic_0_1_pointer_basic
port map (
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
interrupt => interrupt,
s_axi_pointer_basic_io_ARADDR(4 downto 0) => s_axi_pointer_basic_io_ARADDR(4 downto 0),
s_axi_pointer_basic_io_ARREADY => s_axi_pointer_basic_io_ARREADY,
s_axi_pointer_basic_io_ARVALID => s_axi_pointer_basic_io_ARVALID,
s_axi_pointer_basic_io_AWADDR(4 downto 0) => s_axi_pointer_basic_io_AWADDR(4 downto 0),
s_axi_pointer_basic_io_AWREADY => s_axi_pointer_basic_io_AWREADY,
s_axi_pointer_basic_io_AWVALID => s_axi_pointer_basic_io_AWVALID,
s_axi_pointer_basic_io_BREADY => s_axi_pointer_basic_io_BREADY,
s_axi_pointer_basic_io_BRESP(1 downto 0) => s_axi_pointer_basic_io_BRESP(1 downto 0),
s_axi_pointer_basic_io_BVALID => s_axi_pointer_basic_io_BVALID,
s_axi_pointer_basic_io_RDATA(31 downto 0) => s_axi_pointer_basic_io_RDATA(31 downto 0),
s_axi_pointer_basic_io_RREADY => s_axi_pointer_basic_io_RREADY,
s_axi_pointer_basic_io_RRESP(1 downto 0) => s_axi_pointer_basic_io_RRESP(1 downto 0),
s_axi_pointer_basic_io_RVALID => s_axi_pointer_basic_io_RVALID,
s_axi_pointer_basic_io_WDATA(31 downto 0) => s_axi_pointer_basic_io_WDATA(31 downto 0),
s_axi_pointer_basic_io_WREADY => s_axi_pointer_basic_io_WREADY,
s_axi_pointer_basic_io_WSTRB(3 downto 0) => s_axi_pointer_basic_io_WSTRB(3 downto 0),
s_axi_pointer_basic_io_WVALID => s_axi_pointer_basic_io_WVALID
);
end STRUCTURE;
| mit | 7d066d99742a160909e04b807079f4f1 | 0.512604 | 2.558123 | false | false | false | false |
MartinCura/SistDig-TP4 | src/comps/multiplier.vhd | 1 | 2,394 | library ieee;
use ieee.std_logic_1164.all;
entity multiplier is
generic (
N : integer := 8
);
port (
clock : in std_logic;
load : std_logic;
A : in std_logic_vector(N-1 downto 0);
B : in std_logic_vector(N-1 downto 0);
result : out std_logic_vector(2*N-1 downto 0);
done : out std_logic
);
end;
architecture multiplier_arq of multiplier is
signal aux, regA_in, regB_in, regA_out, regB_out, regP_in, regP_out, adder_out
: std_logic_vector(N-1 downto 0);
signal Co : std_logic;
signal enable_aux : std_logic := '1';
signal done_aux : std_logic := '0';
begin
regA_inst: entity work.registroNb
generic map(
N => N
) port map(
clk => clock,
rst => '0',
ena => '1',
d => regA_in,
q => regA_out
);
regB_inst: entity work.registroNb
generic map(
N => N
) port map(
clk => clock,
rst => '0',
ena => '1',
d => regB_in,
q => regB_out
);
regP_inst: entity work.registroNb
generic map(
N => N
) port map(
clk => clock,
rst => '0',
ena => '1',
d => regP_in,
q => regP_out
);
adder_inst: entity work.adder
generic map(
N => N
) port map(
A => aux,
B => regP_out,
control => '0',
S => adder_out,
Cout => Co
);
regA_in <= A;
-- Es necesario poner el registro P en cero cuando se cargan nuevos datos,
-- además de resetear la salida.
regP_in <= Co & adder_out(N-1 downto 1) when load = '0' else
(others => '0');
regB_in <= B when (load='1') else
adder_out (0) & regB_out(N-1 downto 1);
aux <= regA_out when regB_out(0)='1' else
(others=>'0');
done <= done_aux;
result <= regP_out & regB_out when done_aux = '1' else
(others => 'X');
process (clock)
variable i: integer := 0;
begin
if rising_edge(clock) then
if load = '1' then
i := 0;
done_aux <= '0';
end if;
if i < N then
i := i + 1;
elsif i = N then
done_aux <= '1';
i := i+1;
else
done_aux <= '0';
end if;
end if;
end process;
end;
| gpl-3.0 | 1e1fadfbbe147222b535ba11deb838fb | 0.465524 | 3.428367 | false | false | false | false |
besm6/micro-besm | tests/2910/vhdl/funct_block_alg_beh/components/stack/test_vectors_stack.vhdl | 1 | 11,892 | --------------------------------------------------------------------------------
--
-- AMD 2910 Benchmark (Functional blocks) (Algorithmic Behaviour of Funct blocks)
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Feb 19, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept17, 92 ZYCAD
--------------------------------------------------------------------------------
--library ZYCAD;
use work.types.all;
use work.MVL7_functions.all;
use work.synthesis_types.all;
entity E is
end;
architecture AA of E is
component cstack
port (
clk : in clock;
pop : in MVL7;
push : in MVL7;
clear : in MVL7;
uPC : in MVL7_VECTOR(11 downto 0);
sp : inout INTEGER range 0 to 5;
reg_file : inout MEMORY_12_BIT(5 downto 0);
FULL_BAR : out MVL7
);
end component;
signal clk : clock;
signal pop : MVL7;
signal push : MVL7;
signal clear : MVL7;
signal uPC : MVL7_VECTOR(11 downto 0);
signal sp : INTEGER range 0 to 5;
signal reg_file : MEMORY_12_BIT(5 downto 0);
signal FULL_BAR : MVL7;
for all : cstack use entity work.stack(stack);
begin
CSTACK1 : cstack port map(
clk,
pop,
push,
clear,
uPC,
sp,
reg_file,
FULL_BAR
);
process
begin
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '0';
clear <= '1';
uPC <= "000000000000";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 0)
report
"Assert 0 : < sp /= 0 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 0a : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "000000000001";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 1)
report
"Assert 1 : < sp /= 1 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 1a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000000001" )
report
"Assert 1b : < reg_file(sp) /= 000000000001 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "000000000010";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 2)
report
"Assert 2 : < sp /= 2 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 2a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000000010" )
report
"Assert 2b : < reg_file(sp) /= 000000000010 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "000000000100";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 3)
report
"Assert 3 : < sp /= 3 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 3a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000000100" )
report
"Assert 3b : < reg_file(sp) /= 000000000100 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "000000001000";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 4)
report
"Assert 4 : < sp /= 4 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 4a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000001000" )
report
"Assert 4b : < reg_file(sp) /= 000000001000 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "111111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 5)
report
"Assert 5 : < sp /= 5 >"
severity warning;
assert (FULL_BAR = '0')
report
"Assert 5a : < FULL_BAR /= 0 >"
severity warning;
assert (reg_file(sp) = "111111111111" )
report
"Assert 5b : < reg_file(sp) /= 111111111111 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "000000010000";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 5)
report
"Assert 6 : < sp /= 5 >"
severity warning;
assert (FULL_BAR = '0')
report
"Assert 6a : < FULL_BAR /= 0 >"
severity warning;
assert (reg_file(sp) = "000000010000" )
report
"Assert 6b : < reg_file(sp) /= 000000010000 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 4)
report
"Assert 7 : < sp /= 4 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 7a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000001000" )
report
"Assert 7b : < reg_file(sp) /= 000000001000 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 3)
report
"Assert 8 : < sp /= 3 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 8a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000000100" )
report
"Assert 8b : < reg_file(sp) /= 000000000100 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 2)
report
"Assert 9 : < sp /= 2 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 9a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000000010" )
report
"Assert 9b : < reg_file(sp) /= 000000000010 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 1)
report
"Assert 10 : < sp /= 1 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 10a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "000000000001" )
report
"Assert 10b : < reg_file(sp) /= 000000000001 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 0)
report
"Assert 11 : < sp /= 0 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 11a : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 0)
report
"Assert 12 : < sp /= 0 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 12a : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "111111111110";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 1)
report
"Assert 13 : < sp /= 1 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert 13a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111111110" )
report
"Assert 13b : < reg_file(sp) /= 111111111110 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "111111111101";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 2)
report
"Assert A2 : < sp /= 2 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A2a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111111101" )
report
"Assert A2b : < reg_file(sp) /= 111111111101 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "111111111011";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 3)
report
"Assert A3 : < sp /= 3 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A3a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111111011" )
report
"Assert A3b : < reg_file(sp) /= 111111111011 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "111111110111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 4)
report
"Assert A4 : < sp /= 4 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A4a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111110111" )
report
"Assert A4b : < reg_file(sp) /= 111111110111 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "000000000000";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 5)
report
"Assert A5 : < sp /= 5 >"
severity warning;
assert (FULL_BAR = '0')
report
"Assert A5a : < FULL_BAR /= 0 >"
severity warning;
assert (reg_file(sp) = "000000000000" )
report
"Assert A5b : < reg_file(sp) /= 000000000000 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '0';
push <= '1';
clear <= '0';
uPC <= "111111101111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 5)
report
"Assert A6 : < sp /= 5 >"
severity warning;
assert (FULL_BAR = '0')
report
"Assert A6a : < FULL_BAR /= 0 >"
severity warning;
assert (reg_file(sp) = "111111101111" )
report
"Assert A6b : < reg_file(sp) /= 111111101111 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 4)
report
"Assert A7 : < sp /= 4 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A7a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111110111" )
report
"Assert A7b : < reg_file(sp) /= 111111110111 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 3)
report
"Assert A8 : < sp /= 3 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A8a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111111011" )
report
"Assert A8b : < reg_file(sp) /= 111111111011 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 2)
report
"Assert A9 : < sp /= 2 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A9a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111111101" )
report
"Assert A9b : < reg_file(sp) /= 111111111101 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 1)
report
"Assert A10 : < sp /= 1 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A10a : < FULL_BAR /= 1 >"
severity warning;
assert (reg_file(sp) = "111111111110" )
report
"Assert A10b : < reg_file(sp) /= 111111111110 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 0)
report
"Assert A11 : < sp /= 0 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A11a : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------
clk <= '0';
wait for 1 ns;
pop <= '1';
push <= '0';
clear <= '0';
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (sp = 0)
report
"Assert A12 : < sp /= 0 >"
severity warning;
assert (FULL_BAR = '1')
report
"Assert A12a : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------
end process;
end AA;
| mit | 0f077dec09ef71027778d58df0d45281 | 0.545745 | 2.698434 | false | false | false | false |
besm6/micro-besm | tests/2910/vhdl/test_vectors_2910.vhdl | 1 | 504,350 | --------------------------------------------------------------------------------
--
-- AMD 2910 Benchmark
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Feb 19, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept17, 92 ZYCAD
--------------------------------------------------------------------------------
use work.types.all;
use work.MVL7_functions.all; --some binary functions
use work.synthesis_types.all; --hints for synthesis
entity testbench is
end;
architecture AA of testbench is
component am_func2910
port (
I : in MVL7_VECTOR(3 downto 0);
CCEN_BAR : in MVL7;
CC_BAR : in MVL7;
RLD_BAR : in MVL7;
CI : in MVL7;
OEbar : in MVL7;
clk : in clock;
D : in MVL7_VECTOR(11 downto 0);
Y : out MVL7_VECTOR(11 downto 0);
PL_BAR : out MVL7;
VECT_BAR : out MVL7;
MAP_BAR : out MVL7;
FULL_BAR : out MVL7
);
end component;
signal I : MVL7_VECTOR(3 downto 0);
signal CCEN_BAR : MVL7;
signal CC_BAR : MVL7;
signal RLD_BAR : MVL7;
signal CI : MVL7;
signal OEbar : MVL7;
signal clk : clock;
signal D : MVL7_VECTOR(11 downto 0);
signal Y : MVL7_VECTOR(11 downto 0);
signal PL_BAR : MVL7;
signal VECT_BAR : MVL7;
signal MAP_BAR : MVL7;
signal FULL_BAR : MVL7;
for all : am_func2910 use entity work.AM2910(AM2910);
begin
AM_FUNC1 : am_func2910 port map(
I,
CCEN_BAR,
CC_BAR,
RLD_BAR,
CI,
OEbar,
clk,
D,
Y,
PL_BAR,
VECT_BAR,
MAP_BAR,
FULL_BAR
);
process
begin
-- **************************
-- * *
-- * TEST VECTORS FOR STACK *
-- * *
-- **************************
-- *********** PAIRS TESTING PUSH AND POP ************
-- ******* PUSH ( I = 1) & POP ( I = 8 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 0
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 1
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 2
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 3
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 0 : < Y /= 000000000000 >" -- Vector No: 0
severity warning;
assert (FULL_BAR = '1')
report
"Assert 1 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 4
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 5
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 6
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 2 : < Y /= 111111111111 >" -- Vector No: 1
severity warning;
assert (FULL_BAR = '1')
report
"Assert 3 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 7
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 8
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 4 : < Y /= 111111111111 >" -- Vector No: 2
severity warning;
assert (FULL_BAR = '1')
report
"Assert 5 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 9
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 6 : < FULL_BAR /= 1 >" -- Vector No: 3
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 10
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 7 : < Y /= 000000000000 >" -- Vector No: 4
severity warning;
assert (FULL_BAR = '1')
report
"Assert 8 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 1) & POP ( I = 8 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 11
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 12
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 13
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 14
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 9 : < Y /= 000000000000 >" -- Vector No: 5
severity warning;
assert (FULL_BAR = '1')
report
"Assert 10 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 15
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 16
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 17
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 11 : < Y /= 111111111111 >" -- Vector No: 6
severity warning;
assert (FULL_BAR = '1')
report
"Assert 12 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 18
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 19
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 13 : < Y /= 111111111111 >" -- Vector No: 7
severity warning;
assert (FULL_BAR = '1')
report
"Assert 14 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 20
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 15 : < FULL_BAR /= 1 >" -- Vector No: 8
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 21
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 16 : < Y /= 000000000000 >" -- Vector No: 9
severity warning;
assert (FULL_BAR = '1')
report
"Assert 17 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 1) & POP ( I = 8 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 22
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 23
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 24
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 25
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 18 : < Y /= 000000000000 >" -- Vector No: 10
severity warning;
assert (FULL_BAR = '1')
report
"Assert 19 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 26
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 27
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 28
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 20 : < Y /= 111111111111 >" -- Vector No: 11
severity warning;
assert (FULL_BAR = '1')
report
"Assert 21 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 29
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 30
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 22 : < Y /= 111111111111 >" -- Vector No: 12
severity warning;
assert (FULL_BAR = '1')
report
"Assert 23 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 31
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 24 : < FULL_BAR /= 1 >" -- Vector No: 13
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 32
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 25 : < Y /= 000000000000 >" -- Vector No: 14
severity warning;
assert (FULL_BAR = '1')
report
"Assert 26 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 1) & POP ( I = 8 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 33
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 34
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 35
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 36
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 27 : < Y /= 000000000000 >" -- Vector No: 15
severity warning;
assert (FULL_BAR = '1')
report
"Assert 28 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 37
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 38
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 39
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 29 : < Y /= 111111111111 >" -- Vector No: 16
severity warning;
assert (FULL_BAR = '1')
report
"Assert 30 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 40
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 41
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 31 : < Y /= 111111111111 >" -- Vector No: 17
severity warning;
assert (FULL_BAR = '1')
report
"Assert 32 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 42
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 33 : < FULL_BAR /= 1 >" -- Vector No: 18
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 43
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 34 : < Y /= 000000000000 >" -- Vector No: 19
severity warning;
assert (FULL_BAR = '1')
report
"Assert 35 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 1) & POP ( I = 10 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 44
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 45
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 46
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 47
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 36 : < Y /= 000000000000 >" -- Vector No: 20
severity warning;
assert (FULL_BAR = '1')
report
"Assert 37 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 48
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 49
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 50
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 38 : < Y /= 111111111111 >" -- Vector No: 21
severity warning;
assert (FULL_BAR = '1')
report
"Assert 39 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 51
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 52
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 40 : < Y /= 111111111111 >" -- Vector No: 22
severity warning;
assert (FULL_BAR = '1')
report
"Assert 41 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 53
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 42 : < FULL_BAR /= 1 >" -- Vector No: 23
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 54
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 43 : < Y /= 000000000000 >" -- Vector No: 24
severity warning;
assert (FULL_BAR = '1')
report
"Assert 44 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 1) & POP ( I = 10 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 55
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 56
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 57
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 58
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 45 : < Y /= 000000000000 >" -- Vector No: 25
severity warning;
assert (FULL_BAR = '1')
report
"Assert 46 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 59
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 60
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 61
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 47 : < Y /= 111111111111 >" -- Vector No: 26
severity warning;
assert (FULL_BAR = '1')
report
"Assert 48 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 62
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 63
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 49 : < Y /= 111111111111 >" -- Vector No: 27
severity warning;
assert (FULL_BAR = '1')
report
"Assert 50 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 64
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 51 : < FULL_BAR /= 1 >" -- Vector No: 28
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 65
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 52 : < Y /= 000000000000 >" -- Vector No: 29
severity warning;
assert (FULL_BAR = '1')
report
"Assert 53 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 4) & POP ( I = 10 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 66
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 67
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 68
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 69
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 54 : < Y /= 000000000000 >" -- Vector No: 30
severity warning;
assert (FULL_BAR = '1')
report
"Assert 55 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 70
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 71
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 72
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 56 : < Y /= 111111111111 >" -- Vector No: 31
severity warning;
assert (FULL_BAR = '1')
report
"Assert 57 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 73
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 74
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 58 : < Y /= 111111111111 >" -- Vector No: 32
severity warning;
assert (FULL_BAR = '1')
report
"Assert 59 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 75
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 60 : < FULL_BAR /= 1 >" -- Vector No: 33
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 76
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 61 : < Y /= 000000000000 >" -- Vector No: 34
severity warning;
assert (FULL_BAR = '1')
report
"Assert 62 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 4) & POP ( I = 10 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 77
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 78
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 79
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 80
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 63 : < Y /= 000000000000 >" -- Vector No: 35
severity warning;
assert (FULL_BAR = '1')
report
"Assert 64 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 81
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 82
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 83
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 65 : < Y /= 111111111111 >" -- Vector No: 36
severity warning;
assert (FULL_BAR = '1')
report
"Assert 66 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 84
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 85
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 67 : < Y /= 111111111111 >" -- Vector No: 37
severity warning;
assert (FULL_BAR = '1')
report
"Assert 68 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 86
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 69 : < FULL_BAR /= 1 >" -- Vector No: 38
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 87
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 70 : < Y /= 000000000000 >" -- Vector No: 39
severity warning;
assert (FULL_BAR = '1')
report
"Assert 71 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 4) & POP ( I = 10 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 88
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 89
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 90
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 91
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 72 : < Y /= 000000000000 >" -- Vector No: 40
severity warning;
assert (FULL_BAR = '1')
report
"Assert 73 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 92
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 93
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 94
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 74 : < Y /= 111111111111 >" -- Vector No: 41
severity warning;
assert (FULL_BAR = '1')
report
"Assert 75 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 95
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 96
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 76 : < Y /= 111111111111 >" -- Vector No: 42
severity warning;
assert (FULL_BAR = '1')
report
"Assert 77 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 97
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 78 : < FULL_BAR /= 1 >" -- Vector No: 43
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 98
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 79 : < Y /= 000000000000 >" -- Vector No: 44
severity warning;
assert (FULL_BAR = '1')
report
"Assert 80 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 4) & POP ( I = 10 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 99
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 100
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 101
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 102
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 81 : < Y /= 000000000000 >" -- Vector No: 45
severity warning;
assert (FULL_BAR = '1')
report
"Assert 82 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 103
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 104
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 105
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 83 : < Y /= 111111111111 >" -- Vector No: 46
severity warning;
assert (FULL_BAR = '1')
report
"Assert 84 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 106
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 107
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 85 : < Y /= 111111111111 >" -- Vector No: 47
severity warning;
assert (FULL_BAR = '1')
report
"Assert 86 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 108
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 87 : < FULL_BAR /= 1 >" -- Vector No: 48
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 109
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 88 : < Y /= 000000000000 >" -- Vector No: 49
severity warning;
assert (FULL_BAR = '1')
report
"Assert 89 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 4) & POP ( I = 11 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 110
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 111
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 112
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 113
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 90 : < Y /= 000000000000 >" -- Vector No: 50
severity warning;
assert (FULL_BAR = '1')
report
"Assert 91 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 114
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 115
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 116
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 92 : < Y /= 111111111111 >" -- Vector No: 51
severity warning;
assert (FULL_BAR = '1')
report
"Assert 93 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 117
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 118
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 94 : < Y /= 111111111111 >" -- Vector No: 52
severity warning;
assert (FULL_BAR = '1')
report
"Assert 95 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 119
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 96 : < FULL_BAR /= 1 >" -- Vector No: 53
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 120
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 97 : < Y /= 000000000000 >" -- Vector No: 54
severity warning;
assert (FULL_BAR = '1')
report
"Assert 98 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 4) & POP ( I = 11 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 121
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 122
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 123
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 124
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 99 : < Y /= 000000000000 >" -- Vector No: 55
severity warning;
assert (FULL_BAR = '1')
report
"Assert 100 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 125
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 126
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 127
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 101 : < Y /= 111111111111 >" -- Vector No: 56
severity warning;
assert (FULL_BAR = '1')
report
"Assert 102 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 128
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 129
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 103 : < Y /= 111111111111 >" -- Vector No: 57
severity warning;
assert (FULL_BAR = '1')
report
"Assert 104 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 130
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 105 : < FULL_BAR /= 1 >" -- Vector No: 58
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 131
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 106 : < Y /= 000000000000 >" -- Vector No: 59
severity warning;
assert (FULL_BAR = '1')
report
"Assert 107 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 4) & POP ( I = 11 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 132
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 133
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 134
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 135
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 108 : < Y /= 000000000000 >" -- Vector No: 60
severity warning;
assert (FULL_BAR = '1')
report
"Assert 109 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 136
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 137
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 138
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 110 : < Y /= 111111111111 >" -- Vector No: 61
severity warning;
assert (FULL_BAR = '1')
report
"Assert 111 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 139
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 140
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 112 : < Y /= 111111111111 >" -- Vector No: 62
severity warning;
assert (FULL_BAR = '1')
report
"Assert 113 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 141
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 114 : < FULL_BAR /= 1 >" -- Vector No: 63
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 142
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 115 : < Y /= 000000000000 >" -- Vector No: 64
severity warning;
assert (FULL_BAR = '1')
report
"Assert 116 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 4) & POP ( I = 11 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 143
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 144
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 145
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 146
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 117 : < Y /= 000000000000 >" -- Vector No: 65
severity warning;
assert (FULL_BAR = '1')
report
"Assert 118 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 147
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 148
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 149
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 119 : < Y /= 111111111111 >" -- Vector No: 66
severity warning;
assert (FULL_BAR = '1')
report
"Assert 120 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 150
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 151
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 121 : < Y /= 111111111111 >" -- Vector No: 67
severity warning;
assert (FULL_BAR = '1')
report
"Assert 122 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 152
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 123 : < FULL_BAR /= 1 >" -- Vector No: 68
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 153
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 124 : < Y /= 000000000000 >" -- Vector No: 69
severity warning;
assert (FULL_BAR = '1')
report
"Assert 125 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 11 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 154
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 155
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 156
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 157
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 126 : < Y /= 000000000000 >" -- Vector No: 70
severity warning;
assert (FULL_BAR = '1')
report
"Assert 127 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 158
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 159
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 160
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 128 : < Y /= 111111111111 >" -- Vector No: 71
severity warning;
assert (FULL_BAR = '1')
report
"Assert 129 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 161
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 162
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 130 : < Y /= 111111111111 >" -- Vector No: 72
severity warning;
assert (FULL_BAR = '1')
report
"Assert 131 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 163
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 132 : < FULL_BAR /= 1 >" -- Vector No: 73
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 164
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 133 : < Y /= 000000000000 >" -- Vector No: 74
severity warning;
assert (FULL_BAR = '1')
report
"Assert 134 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 11 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 165
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 166
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 167
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 168
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 135 : < Y /= 000000000000 >" -- Vector No: 75
severity warning;
assert (FULL_BAR = '1')
report
"Assert 136 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 169
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 170
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 171
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 137 : < Y /= 111111111111 >" -- Vector No: 76
severity warning;
assert (FULL_BAR = '1')
report
"Assert 138 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 172
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 173
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 139 : < Y /= 111111111111 >" -- Vector No: 77
severity warning;
assert (FULL_BAR = '1')
report
"Assert 140 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 174
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 141 : < FULL_BAR /= 1 >" -- Vector No: 78
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 175
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 142 : < Y /= 000000000000 >" -- Vector No: 79
severity warning;
assert (FULL_BAR = '1')
report
"Assert 143 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 13 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 176
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 177
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 178
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 179
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 144 : < Y /= 000000000000 >" -- Vector No: 80
severity warning;
assert (FULL_BAR = '1')
report
"Assert 145 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 180
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 181
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 182
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 146 : < Y /= 111111111111 >" -- Vector No: 81
severity warning;
assert (FULL_BAR = '1')
report
"Assert 147 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 183
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 184
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 148 : < Y /= 111111111111 >" -- Vector No: 82
severity warning;
assert (FULL_BAR = '1')
report
"Assert 149 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 185
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 150 : < FULL_BAR /= 1 >" -- Vector No: 83
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 186
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 151 : < Y /= 000000000000 >" -- Vector No: 84
severity warning;
assert (FULL_BAR = '1')
report
"Assert 152 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 13 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 187
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 188
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 189
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 190
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 153 : < Y /= 000000000000 >" -- Vector No: 85
severity warning;
assert (FULL_BAR = '1')
report
"Assert 154 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 191
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 192
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 193
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 155 : < Y /= 111111111111 >" -- Vector No: 86
severity warning;
assert (FULL_BAR = '1')
report
"Assert 156 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 194
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 195
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 157 : < Y /= 111111111111 >" -- Vector No: 87
severity warning;
assert (FULL_BAR = '1')
report
"Assert 158 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 196
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 159 : < FULL_BAR /= 1 >" -- Vector No: 88
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 197
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 160 : < Y /= 000000000000 >" -- Vector No: 89
severity warning;
assert (FULL_BAR = '1')
report
"Assert 161 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 13 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 198
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 199
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 200
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 201
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 162 : < Y /= 000000000000 >" -- Vector No: 90
severity warning;
assert (FULL_BAR = '1')
report
"Assert 163 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 202
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 203
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 204
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 164 : < Y /= 111111111111 >" -- Vector No: 91
severity warning;
assert (FULL_BAR = '1')
report
"Assert 165 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 205
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 206
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 166 : < Y /= 111111111111 >" -- Vector No: 92
severity warning;
assert (FULL_BAR = '1')
report
"Assert 167 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 207
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 168 : < FULL_BAR /= 1 >" -- Vector No: 93
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 208
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 169 : < Y /= 000000000000 >" -- Vector No: 94
severity warning;
assert (FULL_BAR = '1')
report
"Assert 170 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 13 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 209
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 210
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 211
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 212
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 171 : < Y /= 000000000000 >" -- Vector No: 95
severity warning;
assert (FULL_BAR = '1')
report
"Assert 172 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 213
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 214
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 215
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 173 : < Y /= 111111111111 >" -- Vector No: 96
severity warning;
assert (FULL_BAR = '1')
report
"Assert 174 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 216
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 217
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 175 : < Y /= 111111111111 >" -- Vector No: 97
severity warning;
assert (FULL_BAR = '1')
report
"Assert 176 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 218
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 177 : < FULL_BAR /= 1 >" -- Vector No: 98
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 219
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 178 : < Y /= 000000000000 >" -- Vector No: 99
severity warning;
assert (FULL_BAR = '1')
report
"Assert 179 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 13 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 220
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 221
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 222
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 223
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 180 : < Y /= 000000000000 >" -- Vector No: 100
severity warning;
assert (FULL_BAR = '1')
report
"Assert 181 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 224
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 225
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 226
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 182 : < Y /= 111111111111 >" -- Vector No: 101
severity warning;
assert (FULL_BAR = '1')
report
"Assert 183 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 227
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 228
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 184 : < Y /= 111111111111 >" -- Vector No: 102
severity warning;
assert (FULL_BAR = '1')
report
"Assert 185 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 229
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 186 : < FULL_BAR /= 1 >" -- Vector No: 103
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 230
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 187 : < Y /= 000000000000 >" -- Vector No: 104
severity warning;
assert (FULL_BAR = '1')
report
"Assert 188 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 13 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 231
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 232
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 233
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 234
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 189 : < Y /= 000000000000 >" -- Vector No: 105
severity warning;
assert (FULL_BAR = '1')
report
"Assert 190 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 235
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 236
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 237
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 191 : < Y /= 111111111111 >" -- Vector No: 106
severity warning;
assert (FULL_BAR = '1')
report
"Assert 192 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 238
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 239
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 193 : < Y /= 111111111111 >" -- Vector No: 107
severity warning;
assert (FULL_BAR = '1')
report
"Assert 194 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 240
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 195 : < FULL_BAR /= 1 >" -- Vector No: 108
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 241
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 196 : < Y /= 000000000000 >" -- Vector No: 109
severity warning;
assert (FULL_BAR = '1')
report
"Assert 197 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 15 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 242
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 243
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 244
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 245
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 198 : < Y /= 000000000000 >" -- Vector No: 110
severity warning;
assert (FULL_BAR = '1')
report
"Assert 199 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 246
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 247
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 248
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 200 : < Y /= 111111111111 >" -- Vector No: 111
severity warning;
assert (FULL_BAR = '1')
report
"Assert 201 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 249
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 250
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 202 : < Y /= 111111111111 >" -- Vector No: 112
severity warning;
assert (FULL_BAR = '1')
report
"Assert 203 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 251
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 204 : < FULL_BAR /= 1 >" -- Vector No: 113
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 252
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 205 : < Y /= 000000000000 >" -- Vector No: 114
severity warning;
assert (FULL_BAR = '1')
report
"Assert 206 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 15 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 253
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 254
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 255
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 256
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 207 : < Y /= 000000000000 >" -- Vector No: 115
severity warning;
assert (FULL_BAR = '1')
report
"Assert 208 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 257
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 258
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 259
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 209 : < Y /= 111111111111 >" -- Vector No: 116
severity warning;
assert (FULL_BAR = '1')
report
"Assert 210 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 260
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 261
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 211 : < Y /= 111111111111 >" -- Vector No: 117
severity warning;
assert (FULL_BAR = '1')
report
"Assert 212 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 262
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 213 : < FULL_BAR /= 1 >" -- Vector No: 118
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 263
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 214 : < Y /= 000000000000 >" -- Vector No: 119
severity warning;
assert (FULL_BAR = '1')
report
"Assert 215 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 15 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 264
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 265
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 266
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 267
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 216 : < Y /= 000000000000 >" -- Vector No: 120
severity warning;
assert (FULL_BAR = '1')
report
"Assert 217 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 268
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 269
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 270
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 218 : < Y /= 111111111111 >" -- Vector No: 121
severity warning;
assert (FULL_BAR = '1')
report
"Assert 219 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 271
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 272
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 220 : < Y /= 111111111111 >" -- Vector No: 122
severity warning;
assert (FULL_BAR = '1')
report
"Assert 221 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 273
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 222 : < FULL_BAR /= 1 >" -- Vector No: 123
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 274
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 223 : < Y /= 000000000000 >" -- Vector No: 124
severity warning;
assert (FULL_BAR = '1')
report
"Assert 224 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 15 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 275
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 276
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 277
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 278
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 225 : < Y /= 000000000000 >" -- Vector No: 125
severity warning;
assert (FULL_BAR = '1')
report
"Assert 226 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 279
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 280
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 281
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 227 : < Y /= 111111111111 >" -- Vector No: 126
severity warning;
assert (FULL_BAR = '1')
report
"Assert 228 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 282
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 283
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 229 : < Y /= 111111111111 >" -- Vector No: 127
severity warning;
assert (FULL_BAR = '1')
report
"Assert 230 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 284
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 231 : < FULL_BAR /= 1 >" -- Vector No: 128
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 285
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 232 : < Y /= 000000000000 >" -- Vector No: 129
severity warning;
assert (FULL_BAR = '1')
report
"Assert 233 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 15 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 286
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 287
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 288
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 289
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 234 : < Y /= 000000000000 >" -- Vector No: 130
severity warning;
assert (FULL_BAR = '1')
report
"Assert 235 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 290
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 291
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 292
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 236 : < Y /= 111111111111 >" -- Vector No: 131
severity warning;
assert (FULL_BAR = '1')
report
"Assert 237 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 293
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 294
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 238 : < Y /= 111111111111 >" -- Vector No: 132
severity warning;
assert (FULL_BAR = '1')
report
"Assert 239 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 295
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 240 : < FULL_BAR /= 1 >" -- Vector No: 133
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 296
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 241 : < Y /= 000000000000 >" -- Vector No: 134
severity warning;
assert (FULL_BAR = '1')
report
"Assert 242 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 15 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 297
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 298
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 299
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 300
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 243 : < Y /= 000000000000 >" -- Vector No: 135
severity warning;
assert (FULL_BAR = '1')
report
"Assert 244 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 301
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 302
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 303
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 245 : < Y /= 111111111111 >" -- Vector No: 136
severity warning;
assert (FULL_BAR = '1')
report
"Assert 246 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 304
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 305
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 247 : < Y /= 111111111111 >" -- Vector No: 137
severity warning;
assert (FULL_BAR = '1')
report
"Assert 248 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 306
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 249 : < FULL_BAR /= 1 >" -- Vector No: 138
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 307
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 250 : < Y /= 000000000000 >" -- Vector No: 139
severity warning;
assert (FULL_BAR = '1')
report
"Assert 251 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* PUSH ( I = 5) & POP ( I = 15 ) & OTHER CONDITIONS ***********
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 308
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 309
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 310
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 311
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 252 : < Y /= 000000000000 >" -- Vector No: 140
severity warning;
assert (FULL_BAR = '1')
report
"Assert 253 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** Y = D **
--------------------------
clk <= '1'; -- Cycle No: 312
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** PUSH **
--------------------------
clk <= '1'; -- Cycle No: 313
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK **
--------------------------
clk <= '1'; -- Cycle No: 314
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 254 : < Y /= 111111111111 >" -- Vector No: 141
severity warning;
assert (FULL_BAR = '1')
report
"Assert 255 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** LOAD R **
--------------------------
clk <= '1'; -- Cycle No: 315
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 316
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 256 : < Y /= 111111111111 >" -- Vector No: 142
severity warning;
assert (FULL_BAR = '1')
report
"Assert 257 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- ** POP **
--------------------------
clk <= '1'; -- Cycle No: 317
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 258 : < FULL_BAR /= 1 >" -- Vector No: 143
severity warning;
wait for 1 ns;
-- ** Y = TOP OF STACK
--------------------------
clk <= '1'; -- Cycle No: 318
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 259 : < Y /= 000000000000 >" -- Vector No: 144
severity warning;
assert (FULL_BAR = '1')
report
"Assert 260 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- *******************************************
-- ************* TEST ALL STACK **************
-- ************* POSITIONS **************
-- *******************************************
-- ************* FIRST SET OF STUCK-AT FAULTS *************
-- ***** RESET CHIP AND LOAD R = 0 *****
--------------------------
clk <= '1'; -- Cycle No: 319
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ************* 6 PUSHES *************
-- ***** START PUSHES *****
-- ** PUSH 1 **
--------------------------
clk <= '1'; -- Cycle No: 320
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 321
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 322
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 261 : < Y /= 000000000000 >" -- Vector No: 145
severity warning;
assert (FULL_BAR = '1')
report
"Assert 262 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** PUSH 2 **
--------------------------
clk <= '1'; -- Cycle No: 323
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 324
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 325
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000001")
report
"Assert 263 : < Y /= 000000000001 >" -- Vector No: 146
severity warning;
assert (FULL_BAR = '1')
report
"Assert 264 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** PUSH 3 **
--------------------------
clk <= '1'; -- Cycle No: 326
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000010";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 327
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 328
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000010")
report
"Assert 265 : < Y /= 000000000010 >" -- Vector No: 147
severity warning;
assert (FULL_BAR = '1')
report
"Assert 266 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** PUSH 4 **
--------------------------
clk <= '1'; -- Cycle No: 329
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000100";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 330
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 331
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000100")
report
"Assert 267 : < Y /= 000000000100 >" -- Vector No: 148
severity warning;
assert (FULL_BAR = '1')
report
"Assert 268 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** PUSH 5 **
--------------------------
clk <= '1'; -- Cycle No: 332
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111110111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 333
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 334
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111110111")
report
"Assert 269 : < Y /= 111111110111 >" -- Vector No: 149
severity warning;
assert (FULL_BAR = '0')
report
"Assert 270 : < FULL_BAR /= 0 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** PUSH 6 (OVER-WRITE TOP OF STACK) **
--------------------------
clk <= '1'; -- Cycle No: 335
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000001000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 336
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 337
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000001000")
report
"Assert 271 : < Y /= 000000001000 >" -- Vector No: 150
severity warning;
assert (FULL_BAR = '0')
report
"Assert 272 : < FULL_BAR /= 0 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ************* 6 POPS *************
-- **** LOAD REG-CTR WITH ZERO ****
--------------------------
clk <= '1'; -- Cycle No: 338
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- **************************************************
-- ** POP 1 **
--------------------------
clk <= '1'; -- Cycle No: 339
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000001000")
report
"Assert 273 : < Y /= 000000001000 >" -- Vector No: 151
severity warning;
assert (FULL_BAR = '0')
report
"Assert 274 : < FULL_BAR /= 0 >"
severity warning;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 340
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000100")
report
"Assert 275 : < Y /= 000000000100 >" -- Vector No: 152
severity warning;
assert (FULL_BAR = '1')
report
"Assert 276 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** POP 2 **
--------------------------
clk <= '1'; -- Cycle No: 341
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000100")
report
"Assert 277 : < Y /= 000000000100 >" -- Vector No: 153
severity warning;
assert (FULL_BAR = '1')
report
"Assert 278 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 342
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000010")
report
"Assert 279 : < Y /= 000000000010 >" -- Vector No: 154
severity warning;
assert (FULL_BAR = '1')
report
"Assert 280 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** POP 3 **
--------------------------
clk <= '1'; -- Cycle No: 343
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000010")
report
"Assert 281 : < Y /= 000000000010 >" -- Vector No: 155
severity warning;
assert (FULL_BAR = '1')
report
"Assert 282 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 344
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000001")
report
"Assert 283 : < Y /= 000000000001 >" -- Vector No: 156
severity warning;
assert (FULL_BAR = '1')
report
"Assert 284 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** POP 4 **
--------------------------
clk <= '1'; -- Cycle No: 345
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000001")
report
"Assert 285 : < Y /= 000000000001 >" -- Vector No: 157
severity warning;
assert (FULL_BAR = '1')
report
"Assert 286 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 346
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 287 : < Y /= 000000000000 >" -- Vector No: 158
severity warning;
assert (FULL_BAR = '1')
report
"Assert 288 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** POP 5 **
--------------------------
clk <= '1'; -- Cycle No: 347
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 289 : < Y /= 000000000000 >" -- Vector No: 159
severity warning;
assert (FULL_BAR = '1')
report
"Assert 290 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 348
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 291 : < FULL_BAR /= 1 >" -- Vector No: 160
severity warning;
wait for 1 ns;
-- **************************************************
-- ** POP 6 (POP EMPTY STACK) **
--------------------------
clk <= '1'; -- Cycle No: 349
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 292 : < FULL_BAR /= 1 >" -- Vector No: 161
severity warning;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 350
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 293 : < FULL_BAR /= 1 >" -- Vector No: 162
severity warning;
wait for 1 ns;
-- **************************************************
-- ************* SECOND SET OF STUCK-AT FAULTS *************
-- ***** RESET CHIP AND LOAD R = 0 *****
--------------------------
clk <= '1'; -- Cycle No: 351
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '1';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- ************* 6 PUSHES *************
-- ***** START PUSHES *****
-- ** PUSH 1 **
--------------------------
clk <= '1'; -- Cycle No: 352
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 353
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 354
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 294 : < Y /= 111111111111 >" -- Vector No: 163
severity warning;
assert (FULL_BAR = '1')
report
"Assert 295 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** PUSH 2 **
--------------------------
clk <= '1'; -- Cycle No: 355
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111110";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 356
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 357
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111110")
report
"Assert 296 : < Y /= 111111111110 >" -- Vector No: 164
severity warning;
assert (FULL_BAR = '1')
report
"Assert 297 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** PUSH 3 **
--------------------------
clk <= '1'; -- Cycle No: 358
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111101";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 359
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 360
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111101")
report
"Assert 298 : < Y /= 111111111101 >" -- Vector No: 165
severity warning;
assert (FULL_BAR = '1')
report
"Assert 299 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** PUSH 4 **
--------------------------
clk <= '1'; -- Cycle No: 361
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111011";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 362
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 363
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111011")
report
"Assert 300 : < Y /= 111111111011 >" -- Vector No: 166
severity warning;
assert (FULL_BAR = '1')
report
"Assert 301 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** PUSH 5 **
--------------------------
clk <= '1'; -- Cycle No: 364
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000001000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 365
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 366
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000001000")
report
"Assert 302 : < Y /= 000000001000 >" -- Vector No: 167
severity warning;
assert (FULL_BAR = '0')
report
"Assert 303 : < FULL_BAR /= 0 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** PUSH 6 (OVER-WRITE TOP OF STACK) **
--------------------------
clk <= '1'; -- Cycle No: 367
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111110111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 368
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 369
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111110111")
report
"Assert 304 : < Y /= 111111110111 >" -- Vector No: 168
severity warning;
assert (FULL_BAR = '0')
report
"Assert 305 : < FULL_BAR /= 0 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ************* 6 POPS *************
-- **** LOAD REG-CTR WITH ZERO ****
--------------------------
clk <= '1'; -- Cycle No: 370
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
-- **************************************************
-- ** POP 1 **
--------------------------
clk <= '1'; -- Cycle No: 371
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111110111")
report
"Assert 306 : < Y /= 111111110111 >" -- Vector No: 169
severity warning;
assert (FULL_BAR = '0')
report
"Assert 307 : < FULL_BAR /= 0 >"
severity warning;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 372
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111011")
report
"Assert 308 : < Y /= 111111111011 >" -- Vector No: 170
severity warning;
assert (FULL_BAR = '1')
report
"Assert 309 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** POP 2 **
--------------------------
clk <= '1'; -- Cycle No: 373
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111011")
report
"Assert 310 : < Y /= 111111111011 >" -- Vector No: 171
severity warning;
assert (FULL_BAR = '1')
report
"Assert 311 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 374
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111101")
report
"Assert 312 : < Y /= 111111111101 >" -- Vector No: 172
severity warning;
assert (FULL_BAR = '1')
report
"Assert 313 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** POP 3 **
--------------------------
clk <= '1'; -- Cycle No: 375
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111101")
report
"Assert 314 : < Y /= 111111111101 >" -- Vector No: 173
severity warning;
assert (FULL_BAR = '1')
report
"Assert 315 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 376
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111110")
report
"Assert 316 : < Y /= 111111111110 >" -- Vector No: 174
severity warning;
assert (FULL_BAR = '1')
report
"Assert 317 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** POP 4 **
--------------------------
clk <= '1'; -- Cycle No: 377
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111110")
report
"Assert 318 : < Y /= 111111111110 >" -- Vector No: 175
severity warning;
assert (FULL_BAR = '1')
report
"Assert 319 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 378
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 320 : < Y /= 111111111111 >" -- Vector No: 176
severity warning;
assert (FULL_BAR = '1')
report
"Assert 321 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ** POP 5 **
--------------------------
clk <= '1'; -- Cycle No: 379
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 322 : < Y /= 111111111111 >" -- Vector No: 177
severity warning;
assert (FULL_BAR = '1')
report
"Assert 323 : < FULL_BAR /= 1 >"
severity warning;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 380
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 324 : < FULL_BAR /= 1 >" -- Vector No: 178
severity warning;
wait for 1 ns;
-- **************************************************
-- ** POP 6 (POP EMPTY STACK) **
--------------------------
clk <= '1'; -- Cycle No: 381
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 325 : < FULL_BAR /= 1 >" -- Vector No: 179
severity warning;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 382
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (FULL_BAR = '1')
report
"Assert 326 : < FULL_BAR /= 1 >" -- Vector No: 180
severity warning;
wait for 1 ns;
-- **************************************************
-- *************************************
-- * *
-- * TEST VECTORS FOR REGISTER COUNTER *
-- * *
-- *************************************
-- ******* TEST LOADING OPERATION (INSTRUCTION) *******
-- ** I = 4 **
--------------------------
clk <= '1'; -- Cycle No: 383
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 384
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 385
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 327 : < Y /= 000000000000 >" -- Vector No: 181
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 386
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 387
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 388
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 328 : < Y /= 111111111111 >" -- Vector No: 182
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 389
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 390
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 391
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 329 : < Y /= 000000000000 >" -- Vector No: 183
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 392
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 393
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 394
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 330 : < Y /= 111111111111 >" -- Vector No: 184
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 395
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 396
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 397
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 331 : < Y /= 000000000000 >" -- Vector No: 185
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 398
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 399
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 400
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 332 : < Y /= 111111111111 >" -- Vector No: 186
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 401
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 402
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 403
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 333 : < Y /= 000000000000 >" -- Vector No: 187
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 404
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 405
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 406
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 334 : < Y /= 111111111111 >" -- Vector No: 188
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 407
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 408
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 409
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 335 : < Y /= 000000000000 >" -- Vector No: 189
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 410
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 411
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 412
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 336 : < Y /= 111111111111 >" -- Vector No: 190
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 413
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 414
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 415
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 337 : < Y /= 000000000000 >" -- Vector No: 191
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 416
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 417
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 418
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 338 : < Y /= 111111111111 >" -- Vector No: 192
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 12 **
--------------------------
clk <= '1'; -- Cycle No: 419
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 420
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 421
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 339 : < Y /= 000000000000 >" -- Vector No: 193
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 422
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 423
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 424
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 340 : < Y /= 111111111111 >" -- Vector No: 194
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 425
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 426
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 427
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 341 : < Y /= 000000000000 >" -- Vector No: 195
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 428
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 429
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 430
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 342 : < Y /= 111111111111 >" -- Vector No: 196
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 431
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 432
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 433
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 343 : < Y /= 000000000000 >" -- Vector No: 197
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 434
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 435
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 436
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 344 : < Y /= 111111111111 >" -- Vector No: 198
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 437
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 438
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 439
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 345 : < Y /= 000000000000 >" -- Vector No: 199
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 440
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 441
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 442
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 346 : < Y /= 111111111111 >" -- Vector No: 200
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 443
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 444
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 445
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 347 : < Y /= 000000000000 >" -- Vector No: 201
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 446
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 447
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 448
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 348 : < Y /= 111111111111 >" -- Vector No: 202
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 449
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 450
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 451
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 349 : < Y /= 000000000000 >" -- Vector No: 203
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 452
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 453
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 454
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 350 : < Y /= 111111111111 >" -- Vector No: 204
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 455
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 456
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 457
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 351 : < Y /= 000000000000 >" -- Vector No: 205
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 458
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 459
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 460
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 352 : < Y /= 111111111111 >" -- Vector No: 206
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 461
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 462
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 463
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 353 : < Y /= 000000000000 >" -- Vector No: 207
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 464
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 465
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 466
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 354 : < Y /= 111111111111 >" -- Vector No: 208
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ******* TEST LOADING OPERATION (RLD_BAR) *******
--------------------------
clk <= '1'; -- Cycle No: 467
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 468
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 355 : < Y /= 000000000000 >" -- Vector No: 209
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 469
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 470
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 356 : < Y /= 111111111111 >" -- Vector No: 210
severity warning;
wait for 1 ns;
-- **************************************************
-- ******* TEST DECREMENT OPERATION ********
-- ** I = 8 **
--------------------------
clk <= '1'; -- Cycle No: 471
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 472
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 473
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 357 : < Y /= 000000000000 >" -- Vector No: 211
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 474
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 475
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 476
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 358 : < Y /= 000000000000 >" -- Vector No: 212
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 477
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 478
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 479
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 359 : < Y /= 000000000000 >" -- Vector No: 213
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 480
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 481
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 482
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 360 : < Y /= 000000000000 >" -- Vector No: 214
severity warning;
wait for 1 ns;
-- **************************************************
-- ** I = 9 **
--------------------------
clk <= '1'; -- Cycle No: 483
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 484
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 485
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 361 : < Y /= 000000000000 >" -- Vector No: 215
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 486
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 487
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 488
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 362 : < Y /= 000000000000 >" -- Vector No: 216
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 489
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 490
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 491
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 363 : < Y /= 000000000000 >" -- Vector No: 217
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 492
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 493
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 494
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 364 : < Y /= 000000000000 >" -- Vector No: 218
severity warning;
wait for 1 ns;
-- **************************************************
-- ** I = 15 **
--------------------------
clk <= '1'; -- Cycle No: 495
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 496
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 497
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 365 : < Y /= 000000000000 >" -- Vector No: 219
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 498
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 499
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 500
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 366 : < Y /= 000000000000 >" -- Vector No: 220
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 501
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 502
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 503
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 367 : < Y /= 000000000000 >" -- Vector No: 221
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 504
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 505
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 506
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 368 : < Y /= 000000000000 >" -- Vector No: 222
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 507
wait for 1 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 508
wait for 1 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 509
wait for 1 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 510
wait for 1 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 511
wait for 1 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 512
wait for 1 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 513
wait for 1 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 514
wait for 1 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 515
wait for 1 ns;
wait for 1 ns;
-- ******* TEST DECREMENT OPERATION BORROW RIPPLE *******
--------------------------
clk <= '1'; -- Cycle No: 516
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 517
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 518
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111110")
report
"Assert 369 : < Y /= 111111111110 >" -- Vector No: 223
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 519
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111110";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 520
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 521
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111101")
report
"Assert 370 : < Y /= 111111111101 >" -- Vector No: 224
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 522
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111100";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 523
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 524
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111011")
report
"Assert 371 : < Y /= 111111111011 >" -- Vector No: 225
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 525
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 526
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 527
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111110111")
report
"Assert 372 : < Y /= 111111110111 >" -- Vector No: 226
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 528
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111110000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 529
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 530
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111101111")
report
"Assert 373 : < Y /= 111111101111 >" -- Vector No: 227
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 531
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111100000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 532
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 533
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111011111")
report
"Assert 374 : < Y /= 111111011111 >" -- Vector No: 228
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 534
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 535
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 536
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111110111111")
report
"Assert 375 : < Y /= 111110111111 >" -- Vector No: 229
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 537
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111110000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 538
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 539
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111101111111")
report
"Assert 376 : < Y /= 111101111111 >" -- Vector No: 230
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 540
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111100000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 541
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 542
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111011111111")
report
"Assert 377 : < Y /= 111011111111 >" -- Vector No: 231
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 543
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 544
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 545
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "110111111111")
report
"Assert 378 : < Y /= 110111111111 >" -- Vector No: 232
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 546
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "110000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 547
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 548
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "101111111111")
report
"Assert 379 : < Y /= 101111111111 >" -- Vector No: 233
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 549
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "100000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 550
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 551
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "011111111111")
report
"Assert 380 : < Y /= 011111111111 >" -- Vector No: 234
severity warning;
wait for 1 ns;
-- **************************************************
-- *****************************************
-- * *
-- * TEST VECTORS FOR MICROPROGRAM COUNTER *
-- * *
-- *****************************************
-- ******* TEST CLEARING OPERATION ********
--------------------------
clk <= '1'; -- Cycle No: 552
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 553
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 381 : < Y /= 000000000000 >" -- Vector No: 235
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 554
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 555
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 382 : < Y /= 000000000000 >" -- Vector No: 236
severity warning;
wait for 1 ns;
-- **************************************************
-- ******* TEST LOADING OPERATION ********
--------------------------
clk <= '1'; -- Cycle No: 556
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 557
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 383 : < Y /= 111111111111 >" -- Vector No: 237
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 558
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 559
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 384 : < Y /= 000000000000 >" -- Vector No: 238
severity warning;
wait for 1 ns;
-- **************************************************
-- ******* TEST INCREMENT CARRY RIPPLE ********
--------------------------
clk <= '1'; -- Cycle No: 560
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '1';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 561
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000001")
report
"Assert 385 : < Y /= 000000000001 >" -- Vector No: 239
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 562
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '1';
OEbar <= '0';
D <= "000000000001";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 563
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000010")
report
"Assert 386 : < Y /= 000000000010 >" -- Vector No: 240
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 564
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '1';
OEbar <= '0';
D <= "000000000011";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 565
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000100")
report
"Assert 387 : < Y /= 000000000100 >" -- Vector No: 241
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 566
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '1';
OEbar <= '0';
D <= "000000000111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 567
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000001000")
report
"Assert 388 : < Y /= 000000001000 >" -- Vector No: 242
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 568
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '1';
OEbar <= '0';
D <= "000000001111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 569
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000010000")
report
"Assert 389 : < Y /= 000000010000 >" -- Vector No: 243
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 570
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '1';
OEbar <= '0';
D <= "000000011111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 571
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000100000")
report
"Assert 390 : < Y /= 000000100000 >" -- Vector No: 244
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 572
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '1';
OEbar <= '0';
D <= "000000111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 573
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000001000000")
report
"Assert 391 : < Y /= 000001000000 >" -- Vector No: 245
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 574
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '1';
OEbar <= '0';
D <= "000001111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 575
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000010000000")
report
"Assert 392 : < Y /= 000010000000 >" -- Vector No: 246
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 576
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '1';
OEbar <= '0';
D <= "000011111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 577
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000100000000")
report
"Assert 393 : < Y /= 000100000000 >" -- Vector No: 247
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 578
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '1';
OEbar <= '0';
D <= "000111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 579
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "001000000000")
report
"Assert 394 : < Y /= 001000000000 >" -- Vector No: 248
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 580
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '1';
OEbar <= '0';
D <= "001111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 581
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "010000000000")
report
"Assert 395 : < Y /= 010000000000 >" -- Vector No: 249
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 582
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '1';
OEbar <= '0';
D <= "011111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 583
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "100000000000")
report
"Assert 396 : < Y /= 100000000000 >" -- Vector No: 250
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 584
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '1';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 585
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 397 : < Y /= 000000000000 >" -- Vector No: 251
severity warning;
wait for 1 ns;
-- **************************************************
-- ********************************
-- * *
-- * TEST VECTORS FOR MULTIPLEXER *
-- * *
-- ********************************
-- *************************
-- ******* SELECT 0 ********
-- *************************
-- ** I = 0 **
--------------------------
clk <= '1'; -- Cycle No: 586
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 587
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 398 : < Y /= 000000000000 >" -- Vector No: 252
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 588
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 589
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 399 : < Y /= 000000000000 >" -- Vector No: 253
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 590
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 591
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 400 : < Y /= 000000000000 >" -- Vector No: 254
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 592
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 593
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 401 : < Y /= 000000000000 >" -- Vector No: 255
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 594
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 595
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 402 : < Y /= 000000000000 >" -- Vector No: 256
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 596
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 597
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 403 : < Y /= 000000000000 >" -- Vector No: 257
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 598
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 599
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 404 : < Y /= 000000000000 >" -- Vector No: 258
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 600
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 601
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 405 : < Y /= 000000000000 >" -- Vector No: 259
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 602
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 603
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 406 : < Y /= 000000000000 >" -- Vector No: 260
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 604
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 605
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 407 : < Y /= 000000000000 >" -- Vector No: 261
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 606
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 607
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 408 : < Y /= 000000000000 >" -- Vector No: 262
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 608
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 609
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 409 : < Y /= 000000000000 >" -- Vector No: 263
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 610
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 611
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 410 : < Y /= 000000000000 >" -- Vector No: 264
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 612
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 613
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 411 : < Y /= 000000000000 >" -- Vector No: 265
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 614
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 615
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 412 : < Y /= 000000000000 >" -- Vector No: 266
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 616
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 617
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 413 : < Y /= 000000000000 >" -- Vector No: 267
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- *************************
-- ******* SELECT D ********
-- *************************
-- ** I = 1 **
--------------------------
clk <= '1'; -- Cycle No: 618
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 619
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 414 : < Y /= 000000000000 >" -- Vector No: 268
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 620
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 621
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 415 : < Y /= 111111111111 >" -- Vector No: 269
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 622
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 623
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 416 : < Y /= 000000000000 >" -- Vector No: 270
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 624
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 625
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 417 : < Y /= 111111111111 >" -- Vector No: 271
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 626
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 627
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 418 : < Y /= 000000000000 >" -- Vector No: 272
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 628
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 629
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 419 : < Y /= 111111111111 >" -- Vector No: 273
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 630
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 631
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 420 : < Y /= 000000000000 >" -- Vector No: 274
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 632
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 633
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 421 : < Y /= 111111111111 >" -- Vector No: 275
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 634
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 635
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 422 : < Y /= 000000000000 >" -- Vector No: 276
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 636
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 637
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 423 : < Y /= 111111111111 >" -- Vector No: 277
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 638
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 639
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 424 : < Y /= 000000000000 >" -- Vector No: 278
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 640
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 641
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 425 : < Y /= 111111111111 >" -- Vector No: 279
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 2 **
--------------------------
clk <= '1'; -- Cycle No: 642
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 643
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 426 : < Y /= 000000000000 >" -- Vector No: 280
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 644
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 645
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 427 : < Y /= 111111111111 >" -- Vector No: 281
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 646
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 647
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 428 : < Y /= 000000000000 >" -- Vector No: 282
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 648
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 649
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 429 : < Y /= 111111111111 >" -- Vector No: 283
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 650
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 651
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 430 : < Y /= 000000000000 >" -- Vector No: 284
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 652
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 653
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 431 : < Y /= 111111111111 >" -- Vector No: 285
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 654
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 655
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 432 : < Y /= 000000000000 >" -- Vector No: 286
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 656
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 657
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 433 : < Y /= 111111111111 >" -- Vector No: 287
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 658
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 659
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 434 : < Y /= 000000000000 >" -- Vector No: 288
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 660
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 661
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 435 : < Y /= 111111111111 >" -- Vector No: 289
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 662
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 663
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 436 : < Y /= 000000000000 >" -- Vector No: 290
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 664
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 665
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 437 : < Y /= 111111111111 >" -- Vector No: 291
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 666
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 667
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 438 : < Y /= 000000000000 >" -- Vector No: 292
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 668
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 669
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 439 : < Y /= 111111111111 >" -- Vector No: 293
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 670
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 671
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 440 : < Y /= 000000000000 >" -- Vector No: 294
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 672
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 673
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 441 : < Y /= 111111111111 >" -- Vector No: 295
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 3 **
--------------------------
clk <= '1'; -- Cycle No: 674
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 675
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 442 : < Y /= 000000000000 >" -- Vector No: 296
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 676
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 677
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 443 : < Y /= 111111111111 >" -- Vector No: 297
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 678
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 679
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 444 : < Y /= 000000000000 >" -- Vector No: 298
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 680
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 681
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 445 : < Y /= 111111111111 >" -- Vector No: 299
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 682
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 683
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 446 : < Y /= 000000000000 >" -- Vector No: 300
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 684
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 685
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 447 : < Y /= 111111111111 >" -- Vector No: 301
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 686
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 687
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 448 : < Y /= 000000000000 >" -- Vector No: 302
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 688
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 689
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 449 : < Y /= 111111111111 >" -- Vector No: 303
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 690
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 691
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 450 : < Y /= 000000000000 >" -- Vector No: 304
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 692
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 693
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 451 : < Y /= 111111111111 >" -- Vector No: 305
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 694
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 695
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 452 : < Y /= 000000000000 >" -- Vector No: 306
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 696
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 697
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 453 : < Y /= 111111111111 >" -- Vector No: 307
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 5 **
--------------------------
clk <= '1'; -- Cycle No: 698
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 699
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 454 : < Y /= 000000000000 >" -- Vector No: 308
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 700
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 701
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 455 : < Y /= 111111111111 >" -- Vector No: 309
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 702
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 703
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 456 : < Y /= 000000000000 >" -- Vector No: 310
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 704
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 705
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 457 : < Y /= 111111111111 >" -- Vector No: 311
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 706
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 707
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 458 : < Y /= 000000000000 >" -- Vector No: 312
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 708
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 709
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 459 : < Y /= 111111111111 >" -- Vector No: 313
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 710
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 711
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 460 : < Y /= 000000000000 >" -- Vector No: 314
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 712
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 713
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 461 : < Y /= 111111111111 >" -- Vector No: 315
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 714
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 715
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 462 : < Y /= 000000000000 >" -- Vector No: 316
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 716
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 717
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 463 : < Y /= 111111111111 >" -- Vector No: 317
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 718
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 719
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 464 : < Y /= 000000000000 >" -- Vector No: 318
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 720
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 721
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 465 : < Y /= 111111111111 >" -- Vector No: 319
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 6 **
--------------------------
clk <= '1'; -- Cycle No: 722
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 723
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 466 : < Y /= 000000000000 >" -- Vector No: 320
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 724
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 725
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 467 : < Y /= 111111111111 >" -- Vector No: 321
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 726
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 727
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 468 : < Y /= 000000000000 >" -- Vector No: 322
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 728
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 729
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 469 : < Y /= 111111111111 >" -- Vector No: 323
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 730
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 731
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 470 : < Y /= 000000000000 >" -- Vector No: 324
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 732
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 733
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 471 : < Y /= 111111111111 >" -- Vector No: 325
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 734
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 735
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 472 : < Y /= 000000000000 >" -- Vector No: 326
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 736
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 737
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 473 : < Y /= 111111111111 >" -- Vector No: 327
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 738
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 739
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 474 : < Y /= 000000000000 >" -- Vector No: 328
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 740
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 741
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 475 : < Y /= 111111111111 >" -- Vector No: 329
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 742
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 743
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 476 : < Y /= 000000000000 >" -- Vector No: 330
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 744
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 745
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 477 : < Y /= 111111111111 >" -- Vector No: 331
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 7 **
--------------------------
clk <= '1'; -- Cycle No: 746
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 747
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 478 : < Y /= 000000000000 >" -- Vector No: 332
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 748
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 749
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 479 : < Y /= 111111111111 >" -- Vector No: 333
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 750
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 751
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 480 : < Y /= 000000000000 >" -- Vector No: 334
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 752
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 753
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 481 : < Y /= 111111111111 >" -- Vector No: 335
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 754
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 755
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 482 : < Y /= 000000000000 >" -- Vector No: 336
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 756
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 757
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 483 : < Y /= 111111111111 >" -- Vector No: 337
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 758
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 759
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 484 : < Y /= 000000000000 >" -- Vector No: 338
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 760
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 761
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 485 : < Y /= 111111111111 >" -- Vector No: 339
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 762
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 763
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 486 : < Y /= 000000000000 >" -- Vector No: 340
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 764
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 765
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 487 : < Y /= 111111111111 >" -- Vector No: 341
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 766
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 767
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 488 : < Y /= 000000000000 >" -- Vector No: 342
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 768
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 769
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 489 : < Y /= 111111111111 >" -- Vector No: 343
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 9 **
--------------------------
clk <= '1'; -- Cycle No: 770
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 771
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 490 : < Y /= 000000000000 >" -- Vector No: 344
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 772
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 773
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 491 : < Y /= 111111111111 >" -- Vector No: 345
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 774
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 775
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 492 : < Y /= 000000000000 >" -- Vector No: 346
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 776
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 777
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 493 : < Y /= 111111111111 >" -- Vector No: 347
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 778
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 779
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 494 : < Y /= 000000000000 >" -- Vector No: 348
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 780
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 781
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 495 : < Y /= 111111111111 >" -- Vector No: 349
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 782
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 783
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 496 : < Y /= 000000000000 >" -- Vector No: 350
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 784
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 785
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 497 : < Y /= 111111111111 >" -- Vector No: 351
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 11 **
--------------------------
clk <= '1'; -- Cycle No: 786
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 787
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 498 : < Y /= 000000000000 >" -- Vector No: 352
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 788
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 789
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 499 : < Y /= 111111111111 >" -- Vector No: 353
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 790
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 791
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 500 : < Y /= 000000000000 >" -- Vector No: 354
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 792
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 793
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 501 : < Y /= 111111111111 >" -- Vector No: 355
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 794
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 795
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 502 : < Y /= 000000000000 >" -- Vector No: 356
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 796
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 797
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 503 : < Y /= 111111111111 >" -- Vector No: 357
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 798
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 799
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 504 : < Y /= 000000000000 >" -- Vector No: 358
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 800
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 801
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 505 : < Y /= 111111111111 >" -- Vector No: 359
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 802
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 803
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 506 : < Y /= 000000000000 >" -- Vector No: 360
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 804
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 805
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 507 : < Y /= 111111111111 >" -- Vector No: 361
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 806
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 807
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 508 : < Y /= 000000000000 >" -- Vector No: 362
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 808
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 809
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 509 : < Y /= 111111111111 >" -- Vector No: 363
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 15 **
--------------------------
clk <= '1'; -- Cycle No: 810
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 811
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 510 : < Y /= 000000000000 >" -- Vector No: 364
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 812
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 813
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 511 : < Y /= 111111111111 >" -- Vector No: 365
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ***************************
-- ******* SELECT REG ********
-- ***************************
-- ** I = 5 **
--------------------------
clk <= '1'; -- Cycle No: 814
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 815
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 512 : < Y /= 000000000000 >" -- Vector No: 366
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 816
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 817
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 513 : < Y /= 111111111111 >" -- Vector No: 367
severity warning;
wait for 1 ns;
-- **************************************************
-- ** I = 7 **
--------------------------
clk <= '1'; -- Cycle No: 818
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 819
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 514 : < Y /= 000000000000 >" -- Vector No: 368
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 820
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 821
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 515 : < Y /= 111111111111 >" -- Vector No: 369
severity warning;
wait for 1 ns;
-- **************************************************
-- ******* SELECT STACK ********
-- *****************************
-- ** I = 8 **
--------------------------
clk <= '1'; -- Cycle No: 822
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 823
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 824
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 825
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 516 : < Y /= 000000000000 >" -- Vector No: 370
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 826
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 827
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 828
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 829
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 517 : < Y /= 111111111111 >" -- Vector No: 371
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 830
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 831
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 832
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 833
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 518 : < Y /= 000000000000 >" -- Vector No: 372
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 834
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 835
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 836
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 837
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 519 : < Y /= 111111111111 >" -- Vector No: 373
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 838
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 839
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 840
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 841
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 520 : < Y /= 000000000000 >" -- Vector No: 374
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 842
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 843
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 844
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 845
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 521 : < Y /= 111111111111 >" -- Vector No: 375
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 846
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 847
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 848
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 849
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 522 : < Y /= 000000000000 >" -- Vector No: 376
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 850
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 851
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 852
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 853
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 523 : < Y /= 111111111111 >" -- Vector No: 377
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 10 **
--------------------------
clk <= '1'; -- Cycle No: 854
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 855
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 856
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 857
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 524 : < Y /= 000000000000 >" -- Vector No: 378
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 858
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 859
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 860
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 861
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 525 : < Y /= 111111111111 >" -- Vector No: 379
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 862
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 863
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 864
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 865
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 526 : < Y /= 000000000000 >" -- Vector No: 380
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 866
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 867
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 868
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 869
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 527 : < Y /= 111111111111 >" -- Vector No: 381
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 870
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 871
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 872
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 873
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 528 : < Y /= 000000000000 >" -- Vector No: 382
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 874
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 875
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 876
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 877
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 529 : < Y /= 111111111111 >" -- Vector No: 383
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 878
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 879
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 880
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 881
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 530 : < Y /= 000000000000 >" -- Vector No: 384
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 882
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 883
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 884
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 885
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 531 : < Y /= 111111111111 >" -- Vector No: 385
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 886
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 887
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 888
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 889
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 532 : < Y /= 000000000000 >" -- Vector No: 386
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 890
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 891
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 892
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 893
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 533 : < Y /= 111111111111 >" -- Vector No: 387
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 894
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 895
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 896
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 897
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 534 : < Y /= 000000000000 >" -- Vector No: 388
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 898
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 899
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 900
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 901
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 535 : < Y /= 111111111111 >" -- Vector No: 389
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 13 **
--------------------------
clk <= '1'; -- Cycle No: 902
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 903
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 904
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 905
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 536 : < Y /= 000000000000 >" -- Vector No: 390
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 906
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 907
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 908
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 909
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 537 : < Y /= 111111111111 >" -- Vector No: 391
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 910
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 911
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 912
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 913
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 538 : < Y /= 000000000000 >" -- Vector No: 392
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 914
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 915
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 916
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 917
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 539 : < Y /= 111111111111 >" -- Vector No: 393
severity warning;
wait for 1 ns;
-- **************************************************
-- ** I = 15 **
--------------------------
clk <= '1'; -- Cycle No: 918
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 919
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 920
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 921
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 540 : < Y /= 000000000000 >" -- Vector No: 394
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 922
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 923
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 924
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 925
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 541 : < Y /= 111111111111 >" -- Vector No: 395
severity warning;
wait for 1 ns;
-- **************************************************
-- ***************************
-- ******* SELECT uPC ********
-- ***************************
-- ** I = 1 **
--------------------------
clk <= '1'; -- Cycle No: 926
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 927
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 928
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 542 : < Y /= 000000000000 >" -- Vector No: 396
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 929
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 930
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 931
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 543 : < Y /= 111111111111 >" -- Vector No: 397
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 932
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 933
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 934
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 544 : < Y /= 000000000000 >" -- Vector No: 398
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 935
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 936
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 937
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 545 : < Y /= 111111111111 >" -- Vector No: 399
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 3 **
--------------------------
clk <= '1'; -- Cycle No: 938
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 939
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 940
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 546 : < Y /= 000000000000 >" -- Vector No: 400
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 941
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 942
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 943
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 547 : < Y /= 111111111111 >" -- Vector No: 401
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 944
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 945
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 946
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 548 : < Y /= 000000000000 >" -- Vector No: 402
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 947
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 948
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 949
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 549 : < Y /= 111111111111 >" -- Vector No: 403
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 4 **
--------------------------
clk <= '1'; -- Cycle No: 950
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 951
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 952
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 550 : < Y /= 000000000000 >" -- Vector No: 404
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 953
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 954
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 955
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 551 : < Y /= 111111111111 >" -- Vector No: 405
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 956
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 957
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 958
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 552 : < Y /= 000000000000 >" -- Vector No: 406
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 959
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 960
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 961
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 553 : < Y /= 111111111111 >" -- Vector No: 407
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 962
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 963
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 964
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 554 : < Y /= 000000000000 >" -- Vector No: 408
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 965
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 966
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 967
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 555 : < Y /= 111111111111 >" -- Vector No: 409
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 968
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 969
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 970
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 556 : < Y /= 000000000000 >" -- Vector No: 410
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 971
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 972
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 973
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 557 : < Y /= 111111111111 >" -- Vector No: 411
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 974
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 975
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 976
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 558 : < Y /= 000000000000 >" -- Vector No: 412
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 977
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 978
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 979
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 559 : < Y /= 111111111111 >" -- Vector No: 413
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 980
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 981
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 982
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 560 : < Y /= 000000000000 >" -- Vector No: 414
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 983
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 984
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 985
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 561 : < Y /= 111111111111 >" -- Vector No: 415
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 986
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 987
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 988
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 562 : < Y /= 000000000000 >" -- Vector No: 416
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 989
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 990
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 991
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 563 : < Y /= 111111111111 >" -- Vector No: 417
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 992
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 993
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 994
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 564 : < Y /= 000000000000 >" -- Vector No: 418
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 995
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 996
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 997
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 565 : < Y /= 111111111111 >" -- Vector No: 419
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 6 **
--------------------------
clk <= '1'; -- Cycle No: 998
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 999
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1000
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 566 : < Y /= 000000000000 >" -- Vector No: 420
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1001
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1002
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1003
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 567 : < Y /= 111111111111 >" -- Vector No: 421
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1004
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1005
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1006
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 568 : < Y /= 000000000000 >" -- Vector No: 422
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1007
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1008
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1009
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 569 : < Y /= 111111111111 >" -- Vector No: 423
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 8 **
--------------------------
clk <= '1'; -- Cycle No: 1010
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1011
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1012
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 570 : < Y /= 000000000000 >" -- Vector No: 424
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1013
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1014
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1015
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 571 : < Y /= 111111111111 >" -- Vector No: 425
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1016
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1017
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1018
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 572 : < Y /= 000000000000 >" -- Vector No: 426
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1019
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1020
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1021
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 573 : < Y /= 111111111111 >" -- Vector No: 427
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1022
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1023
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1024
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 574 : < Y /= 000000000000 >" -- Vector No: 428
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1025
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1026
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1027
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 575 : < Y /= 111111111111 >" -- Vector No: 429
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1028
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1029
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1030
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 576 : < Y /= 000000000000 >" -- Vector No: 430
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1031
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1032
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1033
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 577 : < Y /= 111111111111 >" -- Vector No: 431
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 9 **
--------------------------
clk <= '1'; -- Cycle No: 1034
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1035
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1036
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 578 : < Y /= 000000000000 >" -- Vector No: 432
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1037
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1038
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1039
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 579 : < Y /= 111111111111 >" -- Vector No: 433
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1040
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1041
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1042
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 580 : < Y /= 000000000000 >" -- Vector No: 434
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1043
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1044
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1045
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 581 : < Y /= 111111111111 >" -- Vector No: 435
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1046
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1047
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1048
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 582 : < Y /= 000000000000 >" -- Vector No: 436
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1049
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1050
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1051
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 583 : < Y /= 111111111111 >" -- Vector No: 437
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1052
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1053
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1054
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 584 : < Y /= 000000000000 >" -- Vector No: 438
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1055
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1056
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1057
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 585 : < Y /= 111111111111 >" -- Vector No: 439
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 10 **
--------------------------
clk <= '1'; -- Cycle No: 1058
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1059
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1060
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 586 : < Y /= 000000000000 >" -- Vector No: 440
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1061
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1062
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1063
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 587 : < Y /= 111111111111 >" -- Vector No: 441
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1064
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1065
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1066
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 588 : < Y /= 000000000000 >" -- Vector No: 442
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1067
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1068
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1069
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 589 : < Y /= 111111111111 >" -- Vector No: 443
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 11 **
--------------------------
clk <= '1'; -- Cycle No: 1070
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1071
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1072
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 590 : < Y /= 000000000000 >" -- Vector No: 444
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1073
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1074
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1075
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 591 : < Y /= 111111111111 >" -- Vector No: 445
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1076
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1077
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1078
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 592 : < Y /= 000000000000 >" -- Vector No: 446
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1079
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1080
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1081
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 593 : < Y /= 111111111111 >" -- Vector No: 447
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 12 **
--------------------------
clk <= '1'; -- Cycle No: 1082
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1083
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1084
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 594 : < Y /= 000000000000 >" -- Vector No: 448
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1085
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1086
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1087
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 595 : < Y /= 111111111111 >" -- Vector No: 449
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1088
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1089
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1090
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 596 : < Y /= 000000000000 >" -- Vector No: 450
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1091
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1092
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1093
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 597 : < Y /= 111111111111 >" -- Vector No: 451
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1094
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1095
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1096
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 598 : < Y /= 000000000000 >" -- Vector No: 452
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1097
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1098
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1099
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 599 : < Y /= 111111111111 >" -- Vector No: 453
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1100
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1101
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1102
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 600 : < Y /= 000000000000 >" -- Vector No: 454
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1103
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1104
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1105
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 601 : < Y /= 111111111111 >" -- Vector No: 455
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1106
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1107
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1108
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 602 : < Y /= 000000000000 >" -- Vector No: 456
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1109
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1110
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1111
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 603 : < Y /= 111111111111 >" -- Vector No: 457
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1112
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1113
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1114
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 604 : < Y /= 000000000000 >" -- Vector No: 458
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1115
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1116
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1117
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 605 : < Y /= 111111111111 >" -- Vector No: 459
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1118
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1119
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1120
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 606 : < Y /= 000000000000 >" -- Vector No: 460
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1121
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1122
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1123
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 607 : < Y /= 111111111111 >" -- Vector No: 461
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1124
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1125
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1126
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 608 : < Y /= 000000000000 >" -- Vector No: 462
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1127
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1128
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1129
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 609 : < Y /= 111111111111 >" -- Vector No: 463
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 13 **
--------------------------
clk <= '1'; -- Cycle No: 1130
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1131
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1132
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 610 : < Y /= 000000000000 >" -- Vector No: 464
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1133
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1134
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1135
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 611 : < Y /= 111111111111 >" -- Vector No: 465
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1136
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1137
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1138
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 612 : < Y /= 000000000000 >" -- Vector No: 466
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1139
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1140
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1141
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 613 : < Y /= 111111111111 >" -- Vector No: 467
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1142
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1143
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1144
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 614 : < Y /= 000000000000 >" -- Vector No: 468
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1145
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1146
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1147
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 615 : < Y /= 111111111111 >" -- Vector No: 469
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1148
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1149
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1150
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 616 : < Y /= 000000000000 >" -- Vector No: 470
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1151
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1152
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1153
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 617 : < Y /= 111111111111 >" -- Vector No: 471
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1154
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1155
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1156
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 618 : < Y /= 000000000000 >" -- Vector No: 472
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1157
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1158
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1159
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 619 : < Y /= 111111111111 >" -- Vector No: 473
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1160
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1161
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1162
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 620 : < Y /= 000000000000 >" -- Vector No: 474
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1163
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1164
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1165
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 621 : < Y /= 111111111111 >" -- Vector No: 475
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 14 **
--------------------------
clk <= '1'; -- Cycle No: 1166
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1167
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1168
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 622 : < Y /= 000000000000 >" -- Vector No: 476
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1169
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1170
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1171
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 623 : < Y /= 111111111111 >" -- Vector No: 477
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1172
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1173
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1174
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 624 : < Y /= 000000000000 >" -- Vector No: 478
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1175
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1176
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1177
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 625 : < Y /= 111111111111 >" -- Vector No: 479
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1178
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1179
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1180
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 626 : < Y /= 000000000000 >" -- Vector No: 480
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1181
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1182
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1183
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 627 : < Y /= 111111111111 >" -- Vector No: 481
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1184
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1185
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1186
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 628 : < Y /= 000000000000 >" -- Vector No: 482
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1187
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1188
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1189
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 629 : < Y /= 111111111111 >" -- Vector No: 483
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1190
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1191
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1192
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 630 : < Y /= 000000000000 >" -- Vector No: 484
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1193
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1194
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1195
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 631 : < Y /= 111111111111 >" -- Vector No: 485
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1196
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1197
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1198
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 632 : < Y /= 000000000000 >" -- Vector No: 486
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1199
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1200
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1201
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 633 : < Y /= 111111111111 >" -- Vector No: 487
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1202
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1203
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1204
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 634 : < Y /= 000000000000 >" -- Vector No: 488
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1205
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1206
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1207
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 635 : < Y /= 111111111111 >" -- Vector No: 489
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1208
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1209
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1210
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 636 : < Y /= 000000000000 >" -- Vector No: 490
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1211
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1212
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1213
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 637 : < Y /= 111111111111 >" -- Vector No: 491
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- ** I = 15 **
--------------------------
clk <= '1'; -- Cycle No: 1214
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1215
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1216
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 638 : < Y /= 000000000000 >" -- Vector No: 492
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1217
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1218
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1219
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 639 : < Y /= 111111111111 >" -- Vector No: 493
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1220
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1221
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1222
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 640 : < Y /= 000000000000 >" -- Vector No: 494
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1223
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1224
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1225
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 641 : < Y /= 111111111111 >" -- Vector No: 495
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1226
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1227
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1228
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 642 : < Y /= 000000000000 >" -- Vector No: 496
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1229
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1230
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1231
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 643 : < Y /= 111111111111 >" -- Vector No: 497
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1232
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1233
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1234
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 644 : < Y /= 000000000000 >" -- Vector No: 498
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1235
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1236
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1237
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 645 : < Y /= 111111111111 >" -- Vector No: 499
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1238
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1239
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1240
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 646 : < Y /= 000000000000 >" -- Vector No: 500
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1241
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1242
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1243
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 647 : < Y /= 111111111111 >" -- Vector No: 501
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1244
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1245
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1246
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 648 : < Y /= 000000000000 >" -- Vector No: 502
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1247
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1248
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1249
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 649 : < Y /= 111111111111 >" -- Vector No: 503
severity warning;
wait for 1 ns;
-- **************************************************
-- **************************************************
-- *************************************
-- * *
-- * TEST VECTORS FOR TRI-STATE-DRIVER *
-- * *
-- *************************************
--------------------------
clk <= '1'; -- Cycle No: 1250
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "000000000000")
report
"Assert 650 : < Y /= 000000000000 >" -- Vector No: 504
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1251
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "111111111111")
report
"Assert 651 : < Y /= 111111111111 >" -- Vector No: 505
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1252
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '1';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "ZZZZZZZZZZZZ")
report
"Assert 652 : < Y /= ZZZZZZZZZZZZ >" -- Vector No: 506
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1253
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '1';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (Y = "ZZZZZZZZZZZZ")
report
"Assert 653 : < Y /= ZZZZZZZZZZZZ >" -- Vector No: 507
severity warning;
wait for 1 ns;
-- **************************************************
-- *************************************
-- * *
-- * TEST VECTORS FOR ENABLE SIGNALS *
-- * *
-- *************************************
-- ****** I = 0 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1254
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1255
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 654 : < PL_BAR /= 0 >" -- Vector No: 508
severity warning;
assert (VECT_BAR = '1')
report
"Assert 655 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 656 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1256
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1257
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 657 : < PL_BAR /= 0 >" -- Vector No: 509
severity warning;
assert (VECT_BAR = '1')
report
"Assert 658 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 659 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1258
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1259
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 660 : < PL_BAR /= 0 >" -- Vector No: 510
severity warning;
assert (VECT_BAR = '1')
report
"Assert 661 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 662 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1260
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1261
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 663 : < PL_BAR /= 0 >" -- Vector No: 511
severity warning;
assert (VECT_BAR = '1')
report
"Assert 664 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 665 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1262
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1263
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 666 : < PL_BAR /= 0 >" -- Vector No: 512
severity warning;
assert (VECT_BAR = '1')
report
"Assert 667 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 668 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1264
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1265
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 669 : < PL_BAR /= 0 >" -- Vector No: 513
severity warning;
assert (VECT_BAR = '1')
report
"Assert 670 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 671 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1266
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1267
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 672 : < PL_BAR /= 0 >" -- Vector No: 514
severity warning;
assert (VECT_BAR = '1')
report
"Assert 673 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 674 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1268
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1269
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 675 : < PL_BAR /= 0 >" -- Vector No: 515
severity warning;
assert (VECT_BAR = '1')
report
"Assert 676 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 677 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 1 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1270
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1271
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 678 : < PL_BAR /= 0 >" -- Vector No: 516
severity warning;
assert (VECT_BAR = '1')
report
"Assert 679 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 680 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1272
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1273
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 681 : < PL_BAR /= 0 >" -- Vector No: 517
severity warning;
assert (VECT_BAR = '1')
report
"Assert 682 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 683 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1274
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1275
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 684 : < PL_BAR /= 0 >" -- Vector No: 518
severity warning;
assert (VECT_BAR = '1')
report
"Assert 685 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 686 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1276
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1277
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 687 : < PL_BAR /= 0 >" -- Vector No: 519
severity warning;
assert (VECT_BAR = '1')
report
"Assert 688 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 689 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1278
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1279
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 690 : < PL_BAR /= 0 >" -- Vector No: 520
severity warning;
assert (VECT_BAR = '1')
report
"Assert 691 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 692 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1280
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1281
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 693 : < PL_BAR /= 0 >" -- Vector No: 521
severity warning;
assert (VECT_BAR = '1')
report
"Assert 694 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 695 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1282
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1283
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 696 : < PL_BAR /= 0 >" -- Vector No: 522
severity warning;
assert (VECT_BAR = '1')
report
"Assert 697 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 698 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1284
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1285
wait for 1 ns;
I <= "0001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 699 : < PL_BAR /= 0 >" -- Vector No: 523
severity warning;
assert (VECT_BAR = '1')
report
"Assert 700 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 701 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 2 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1286
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1287
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 702 : < PL_BAR /= 1 >" -- Vector No: 524
severity warning;
assert (VECT_BAR = '1')
report
"Assert 703 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '0')
report
"Assert 704 : < MAP_BAR /= 0 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1288
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1289
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 705 : < PL_BAR /= 1 >" -- Vector No: 525
severity warning;
assert (VECT_BAR = '1')
report
"Assert 706 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '0')
report
"Assert 707 : < MAP_BAR /= 0 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1290
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1291
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 708 : < PL_BAR /= 1 >" -- Vector No: 526
severity warning;
assert (VECT_BAR = '1')
report
"Assert 709 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '0')
report
"Assert 710 : < MAP_BAR /= 0 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1292
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1293
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 711 : < PL_BAR /= 1 >" -- Vector No: 527
severity warning;
assert (VECT_BAR = '1')
report
"Assert 712 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '0')
report
"Assert 713 : < MAP_BAR /= 0 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1294
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1295
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 714 : < PL_BAR /= 1 >" -- Vector No: 528
severity warning;
assert (VECT_BAR = '1')
report
"Assert 715 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '0')
report
"Assert 716 : < MAP_BAR /= 0 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1296
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1297
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 717 : < PL_BAR /= 1 >" -- Vector No: 529
severity warning;
assert (VECT_BAR = '1')
report
"Assert 718 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '0')
report
"Assert 719 : < MAP_BAR /= 0 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1298
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1299
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 720 : < PL_BAR /= 1 >" -- Vector No: 530
severity warning;
assert (VECT_BAR = '1')
report
"Assert 721 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '0')
report
"Assert 722 : < MAP_BAR /= 0 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1300
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1301
wait for 1 ns;
I <= "0010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 723 : < PL_BAR /= 1 >" -- Vector No: 531
severity warning;
assert (VECT_BAR = '1')
report
"Assert 724 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '0')
report
"Assert 725 : < MAP_BAR /= 0 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 3 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1302
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1303
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 726 : < PL_BAR /= 0 >" -- Vector No: 532
severity warning;
assert (VECT_BAR = '1')
report
"Assert 727 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 728 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1304
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1305
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 729 : < PL_BAR /= 0 >" -- Vector No: 533
severity warning;
assert (VECT_BAR = '1')
report
"Assert 730 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 731 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1306
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1307
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 732 : < PL_BAR /= 0 >" -- Vector No: 534
severity warning;
assert (VECT_BAR = '1')
report
"Assert 733 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 734 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1308
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1309
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 735 : < PL_BAR /= 0 >" -- Vector No: 535
severity warning;
assert (VECT_BAR = '1')
report
"Assert 736 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 737 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1310
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1311
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 738 : < PL_BAR /= 0 >" -- Vector No: 536
severity warning;
assert (VECT_BAR = '1')
report
"Assert 739 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 740 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1312
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1313
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 741 : < PL_BAR /= 0 >" -- Vector No: 537
severity warning;
assert (VECT_BAR = '1')
report
"Assert 742 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 743 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1314
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1315
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 744 : < PL_BAR /= 0 >" -- Vector No: 538
severity warning;
assert (VECT_BAR = '1')
report
"Assert 745 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 746 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1316
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1317
wait for 1 ns;
I <= "0011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 747 : < PL_BAR /= 0 >" -- Vector No: 539
severity warning;
assert (VECT_BAR = '1')
report
"Assert 748 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 749 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 4 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1318
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1319
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 750 : < PL_BAR /= 0 >" -- Vector No: 540
severity warning;
assert (VECT_BAR = '1')
report
"Assert 751 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 752 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1320
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1321
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 753 : < PL_BAR /= 0 >" -- Vector No: 541
severity warning;
assert (VECT_BAR = '1')
report
"Assert 754 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 755 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1322
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1323
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 756 : < PL_BAR /= 0 >" -- Vector No: 542
severity warning;
assert (VECT_BAR = '1')
report
"Assert 757 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 758 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1324
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1325
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 759 : < PL_BAR /= 0 >" -- Vector No: 543
severity warning;
assert (VECT_BAR = '1')
report
"Assert 760 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 761 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1326
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1327
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 762 : < PL_BAR /= 0 >" -- Vector No: 544
severity warning;
assert (VECT_BAR = '1')
report
"Assert 763 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 764 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1328
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1329
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 765 : < PL_BAR /= 0 >" -- Vector No: 545
severity warning;
assert (VECT_BAR = '1')
report
"Assert 766 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 767 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1330
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1331
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 768 : < PL_BAR /= 0 >" -- Vector No: 546
severity warning;
assert (VECT_BAR = '1')
report
"Assert 769 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 770 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1332
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1333
wait for 1 ns;
I <= "0100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 771 : < PL_BAR /= 0 >" -- Vector No: 547
severity warning;
assert (VECT_BAR = '1')
report
"Assert 772 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 773 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 5 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1334
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1335
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 774 : < PL_BAR /= 0 >" -- Vector No: 548
severity warning;
assert (VECT_BAR = '1')
report
"Assert 775 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 776 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1336
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1337
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 777 : < PL_BAR /= 0 >" -- Vector No: 549
severity warning;
assert (VECT_BAR = '1')
report
"Assert 778 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 779 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1338
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1339
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 780 : < PL_BAR /= 0 >" -- Vector No: 550
severity warning;
assert (VECT_BAR = '1')
report
"Assert 781 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 782 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1340
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1341
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 783 : < PL_BAR /= 0 >" -- Vector No: 551
severity warning;
assert (VECT_BAR = '1')
report
"Assert 784 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 785 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1342
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1343
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 786 : < PL_BAR /= 0 >" -- Vector No: 552
severity warning;
assert (VECT_BAR = '1')
report
"Assert 787 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 788 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1344
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1345
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 789 : < PL_BAR /= 0 >" -- Vector No: 553
severity warning;
assert (VECT_BAR = '1')
report
"Assert 790 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 791 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1346
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1347
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 792 : < PL_BAR /= 0 >" -- Vector No: 554
severity warning;
assert (VECT_BAR = '1')
report
"Assert 793 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 794 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1348
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1349
wait for 1 ns;
I <= "0101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 795 : < PL_BAR /= 0 >" -- Vector No: 555
severity warning;
assert (VECT_BAR = '1')
report
"Assert 796 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 797 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 6 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1350
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1351
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 798 : < PL_BAR /= 1 >" -- Vector No: 556
severity warning;
assert (VECT_BAR = '0')
report
"Assert 799 : < VECT_BAR /= 0 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 800 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1352
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1353
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 801 : < PL_BAR /= 1 >" -- Vector No: 557
severity warning;
assert (VECT_BAR = '0')
report
"Assert 802 : < VECT_BAR /= 0 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 803 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1354
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1355
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 804 : < PL_BAR /= 1 >" -- Vector No: 558
severity warning;
assert (VECT_BAR = '0')
report
"Assert 805 : < VECT_BAR /= 0 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 806 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1356
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1357
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 807 : < PL_BAR /= 1 >" -- Vector No: 559
severity warning;
assert (VECT_BAR = '0')
report
"Assert 808 : < VECT_BAR /= 0 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 809 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1358
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1359
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 810 : < PL_BAR /= 1 >" -- Vector No: 560
severity warning;
assert (VECT_BAR = '0')
report
"Assert 811 : < VECT_BAR /= 0 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 812 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1360
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1361
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 813 : < PL_BAR /= 1 >" -- Vector No: 561
severity warning;
assert (VECT_BAR = '0')
report
"Assert 814 : < VECT_BAR /= 0 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 815 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1362
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1363
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 816 : < PL_BAR /= 1 >" -- Vector No: 562
severity warning;
assert (VECT_BAR = '0')
report
"Assert 817 : < VECT_BAR /= 0 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 818 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1364
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1365
wait for 1 ns;
I <= "0110";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '1')
report
"Assert 819 : < PL_BAR /= 1 >" -- Vector No: 563
severity warning;
assert (VECT_BAR = '0')
report
"Assert 820 : < VECT_BAR /= 0 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 821 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 7 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1366
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1367
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 822 : < PL_BAR /= 0 >" -- Vector No: 564
severity warning;
assert (VECT_BAR = '1')
report
"Assert 823 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 824 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1368
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1369
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 825 : < PL_BAR /= 0 >" -- Vector No: 565
severity warning;
assert (VECT_BAR = '1')
report
"Assert 826 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 827 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1370
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1371
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 828 : < PL_BAR /= 0 >" -- Vector No: 566
severity warning;
assert (VECT_BAR = '1')
report
"Assert 829 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 830 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1372
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1373
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 831 : < PL_BAR /= 0 >" -- Vector No: 567
severity warning;
assert (VECT_BAR = '1')
report
"Assert 832 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 833 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1374
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1375
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 834 : < PL_BAR /= 0 >" -- Vector No: 568
severity warning;
assert (VECT_BAR = '1')
report
"Assert 835 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 836 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1376
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1377
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 837 : < PL_BAR /= 0 >" -- Vector No: 569
severity warning;
assert (VECT_BAR = '1')
report
"Assert 838 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 839 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1378
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1379
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 840 : < PL_BAR /= 0 >" -- Vector No: 570
severity warning;
assert (VECT_BAR = '1')
report
"Assert 841 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 842 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1380
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1381
wait for 1 ns;
I <= "0111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 843 : < PL_BAR /= 0 >" -- Vector No: 571
severity warning;
assert (VECT_BAR = '1')
report
"Assert 844 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 845 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 8 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1382
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1383
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 846 : < PL_BAR /= 0 >" -- Vector No: 572
severity warning;
assert (VECT_BAR = '1')
report
"Assert 847 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 848 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1384
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1385
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 849 : < PL_BAR /= 0 >" -- Vector No: 573
severity warning;
assert (VECT_BAR = '1')
report
"Assert 850 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 851 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1386
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1387
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 852 : < PL_BAR /= 0 >" -- Vector No: 574
severity warning;
assert (VECT_BAR = '1')
report
"Assert 853 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 854 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1388
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1389
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 855 : < PL_BAR /= 0 >" -- Vector No: 575
severity warning;
assert (VECT_BAR = '1')
report
"Assert 856 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 857 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1390
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1391
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 858 : < PL_BAR /= 0 >" -- Vector No: 576
severity warning;
assert (VECT_BAR = '1')
report
"Assert 859 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 860 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1392
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1393
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 861 : < PL_BAR /= 0 >" -- Vector No: 577
severity warning;
assert (VECT_BAR = '1')
report
"Assert 862 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 863 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1394
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1395
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 864 : < PL_BAR /= 0 >" -- Vector No: 578
severity warning;
assert (VECT_BAR = '1')
report
"Assert 865 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 866 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1396
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1397
wait for 1 ns;
I <= "1000";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 867 : < PL_BAR /= 0 >" -- Vector No: 579
severity warning;
assert (VECT_BAR = '1')
report
"Assert 868 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 869 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 9 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1398
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1399
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 870 : < PL_BAR /= 0 >" -- Vector No: 580
severity warning;
assert (VECT_BAR = '1')
report
"Assert 871 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 872 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1400
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1401
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 873 : < PL_BAR /= 0 >" -- Vector No: 581
severity warning;
assert (VECT_BAR = '1')
report
"Assert 874 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 875 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1402
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1403
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 876 : < PL_BAR /= 0 >" -- Vector No: 582
severity warning;
assert (VECT_BAR = '1')
report
"Assert 877 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 878 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1404
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1405
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 879 : < PL_BAR /= 0 >" -- Vector No: 583
severity warning;
assert (VECT_BAR = '1')
report
"Assert 880 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 881 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1406
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1407
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 882 : < PL_BAR /= 0 >" -- Vector No: 584
severity warning;
assert (VECT_BAR = '1')
report
"Assert 883 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 884 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1408
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1409
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 885 : < PL_BAR /= 0 >" -- Vector No: 585
severity warning;
assert (VECT_BAR = '1')
report
"Assert 886 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 887 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1410
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1411
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 888 : < PL_BAR /= 0 >" -- Vector No: 586
severity warning;
assert (VECT_BAR = '1')
report
"Assert 889 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 890 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1412
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1413
wait for 1 ns;
I <= "1001";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 891 : < PL_BAR /= 0 >" -- Vector No: 587
severity warning;
assert (VECT_BAR = '1')
report
"Assert 892 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 893 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 10 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1414
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1415
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 894 : < PL_BAR /= 0 >" -- Vector No: 588
severity warning;
assert (VECT_BAR = '1')
report
"Assert 895 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 896 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1416
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1417
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 897 : < PL_BAR /= 0 >" -- Vector No: 589
severity warning;
assert (VECT_BAR = '1')
report
"Assert 898 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 899 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1418
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1419
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 900 : < PL_BAR /= 0 >" -- Vector No: 590
severity warning;
assert (VECT_BAR = '1')
report
"Assert 901 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 902 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1420
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1421
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 903 : < PL_BAR /= 0 >" -- Vector No: 591
severity warning;
assert (VECT_BAR = '1')
report
"Assert 904 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 905 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1422
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1423
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 906 : < PL_BAR /= 0 >" -- Vector No: 592
severity warning;
assert (VECT_BAR = '1')
report
"Assert 907 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 908 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1424
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1425
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 909 : < PL_BAR /= 0 >" -- Vector No: 593
severity warning;
assert (VECT_BAR = '1')
report
"Assert 910 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 911 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1426
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1427
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 912 : < PL_BAR /= 0 >" -- Vector No: 594
severity warning;
assert (VECT_BAR = '1')
report
"Assert 913 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 914 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1428
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1429
wait for 1 ns;
I <= "1010";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 915 : < PL_BAR /= 0 >" -- Vector No: 595
severity warning;
assert (VECT_BAR = '1')
report
"Assert 916 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 917 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 11 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1430
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1431
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 918 : < PL_BAR /= 0 >" -- Vector No: 596
severity warning;
assert (VECT_BAR = '1')
report
"Assert 919 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 920 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1432
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1433
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 921 : < PL_BAR /= 0 >" -- Vector No: 597
severity warning;
assert (VECT_BAR = '1')
report
"Assert 922 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 923 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1434
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1435
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 924 : < PL_BAR /= 0 >" -- Vector No: 598
severity warning;
assert (VECT_BAR = '1')
report
"Assert 925 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 926 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1436
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1437
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 927 : < PL_BAR /= 0 >" -- Vector No: 599
severity warning;
assert (VECT_BAR = '1')
report
"Assert 928 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 929 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1438
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1439
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 930 : < PL_BAR /= 0 >" -- Vector No: 600
severity warning;
assert (VECT_BAR = '1')
report
"Assert 931 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 932 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1440
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1441
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 933 : < PL_BAR /= 0 >" -- Vector No: 601
severity warning;
assert (VECT_BAR = '1')
report
"Assert 934 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 935 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1442
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1443
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 936 : < PL_BAR /= 0 >" -- Vector No: 602
severity warning;
assert (VECT_BAR = '1')
report
"Assert 937 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 938 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1444
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1445
wait for 1 ns;
I <= "1011";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 939 : < PL_BAR /= 0 >" -- Vector No: 603
severity warning;
assert (VECT_BAR = '1')
report
"Assert 940 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 941 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 12 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1446
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1447
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 942 : < PL_BAR /= 0 >" -- Vector No: 604
severity warning;
assert (VECT_BAR = '1')
report
"Assert 943 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 944 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1448
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1449
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 945 : < PL_BAR /= 0 >" -- Vector No: 605
severity warning;
assert (VECT_BAR = '1')
report
"Assert 946 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 947 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1450
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1451
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 948 : < PL_BAR /= 0 >" -- Vector No: 606
severity warning;
assert (VECT_BAR = '1')
report
"Assert 949 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 950 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1452
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1453
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 951 : < PL_BAR /= 0 >" -- Vector No: 607
severity warning;
assert (VECT_BAR = '1')
report
"Assert 952 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 953 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1454
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1455
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 954 : < PL_BAR /= 0 >" -- Vector No: 608
severity warning;
assert (VECT_BAR = '1')
report
"Assert 955 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 956 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1456
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1457
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 957 : < PL_BAR /= 0 >" -- Vector No: 609
severity warning;
assert (VECT_BAR = '1')
report
"Assert 958 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 959 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1458
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1459
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 960 : < PL_BAR /= 0 >" -- Vector No: 610
severity warning;
assert (VECT_BAR = '1')
report
"Assert 961 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 962 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1460
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1461
wait for 1 ns;
I <= "1100";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 963 : < PL_BAR /= 0 >" -- Vector No: 611
severity warning;
assert (VECT_BAR = '1')
report
"Assert 964 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 965 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 13 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1462
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1463
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 966 : < PL_BAR /= 0 >" -- Vector No: 612
severity warning;
assert (VECT_BAR = '1')
report
"Assert 967 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 968 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1464
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1465
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 969 : < PL_BAR /= 0 >" -- Vector No: 613
severity warning;
assert (VECT_BAR = '1')
report
"Assert 970 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 971 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1466
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1467
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 972 : < PL_BAR /= 0 >" -- Vector No: 614
severity warning;
assert (VECT_BAR = '1')
report
"Assert 973 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 974 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1468
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1469
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 975 : < PL_BAR /= 0 >" -- Vector No: 615
severity warning;
assert (VECT_BAR = '1')
report
"Assert 976 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 977 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1470
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1471
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 978 : < PL_BAR /= 0 >" -- Vector No: 616
severity warning;
assert (VECT_BAR = '1')
report
"Assert 979 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 980 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1472
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1473
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 981 : < PL_BAR /= 0 >" -- Vector No: 617
severity warning;
assert (VECT_BAR = '1')
report
"Assert 982 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 983 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1474
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1475
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 984 : < PL_BAR /= 0 >" -- Vector No: 618
severity warning;
assert (VECT_BAR = '1')
report
"Assert 985 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 986 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1476
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1477
wait for 1 ns;
I <= "1101";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 987 : < PL_BAR /= 0 >" -- Vector No: 619
severity warning;
assert (VECT_BAR = '1')
report
"Assert 988 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 989 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 14 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1478
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1479
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 990 : < PL_BAR /= 0 >" -- Vector No: 620
severity warning;
assert (VECT_BAR = '1')
report
"Assert 991 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 992 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1480
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1481
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 993 : < PL_BAR /= 0 >" -- Vector No: 621
severity warning;
assert (VECT_BAR = '1')
report
"Assert 994 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 995 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1482
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1483
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 996 : < PL_BAR /= 0 >" -- Vector No: 622
severity warning;
assert (VECT_BAR = '1')
report
"Assert 997 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 998 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1484
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1485
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 999 : < PL_BAR /= 0 >" -- Vector No: 623
severity warning;
assert (VECT_BAR = '1')
report
"Assert 1000 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 1001 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1486
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1487
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 1002 : < PL_BAR /= 0 >" -- Vector No: 624
severity warning;
assert (VECT_BAR = '1')
report
"Assert 1003 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 1004 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1488
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1489
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 1005 : < PL_BAR /= 0 >" -- Vector No: 625
severity warning;
assert (VECT_BAR = '1')
report
"Assert 1006 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 1007 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1490
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1491
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 1008 : < PL_BAR /= 0 >" -- Vector No: 626
severity warning;
assert (VECT_BAR = '1')
report
"Assert 1009 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 1010 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1492
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1493
wait for 1 ns;
I <= "1110";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 1011 : < PL_BAR /= 0 >" -- Vector No: 627
severity warning;
assert (VECT_BAR = '1')
report
"Assert 1012 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 1013 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- ****** I = 15 *******
-- *** R = 0 ***
--------------------------
clk <= '1'; -- Cycle No: 1494
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1495
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 1014 : < PL_BAR /= 0 >" -- Vector No: 628
severity warning;
assert (VECT_BAR = '1')
report
"Assert 1015 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 1016 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1496
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1497
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 1017 : < PL_BAR /= 0 >" -- Vector No: 629
severity warning;
assert (VECT_BAR = '1')
report
"Assert 1018 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 1019 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1498
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1499
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 1020 : < PL_BAR /= 0 >" -- Vector No: 630
severity warning;
assert (VECT_BAR = '1')
report
"Assert 1021 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 1022 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1500
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "000000000000";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1501
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 1023 : < PL_BAR /= 0 >" -- Vector No: 631
severity warning;
assert (VECT_BAR = '1')
report
"Assert 1024 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 1025 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *** R = 1 ***
--------------------------
clk <= '1'; -- Cycle No: 1502
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1503
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 1026 : < PL_BAR /= 0 >" -- Vector No: 632
severity warning;
assert (VECT_BAR = '1')
report
"Assert 1027 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 1028 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1504
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1505
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '0';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 1029 : < PL_BAR /= 0 >" -- Vector No: 633
severity warning;
assert (VECT_BAR = '1')
report
"Assert 1030 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 1031 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1506
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1507
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '1';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 1032 : < PL_BAR /= 0 >" -- Vector No: 634
severity warning;
assert (VECT_BAR = '1')
report
"Assert 1033 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 1034 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
--------------------------
clk <= '1'; -- Cycle No: 1508
wait for 1 ns;
I <= "0000";
CCEN_BAR <= '0';
CC_BAR <= '0';
RLD_BAR <= '0';
CI <= '0';
OEbar <= '0';
D <= "111111111111";
wait for 4 ns;
clk <= '0';
wait for 4 ns;
wait for 1 ns;
--------------------------
clk <= '1'; -- Cycle No: 1509
wait for 1 ns;
I <= "1111";
CCEN_BAR <= '1';
CC_BAR <= '0';
RLD_BAR <= '1';
CI <= '0';
OEbar <= '0';
wait for 4 ns;
clk <= '0';
wait for 4 ns;
assert (PL_BAR = '0')
report
"Assert 1035 : < PL_BAR /= 0 >" -- Vector No: 635
severity warning;
assert (VECT_BAR = '1')
report
"Assert 1036 : < VECT_BAR /= 1 >"
severity warning;
assert (MAP_BAR = '1')
report
"Assert 1037 : < MAP_BAR /= 1 >"
severity warning;
wait for 1 ns;
-- **************************************************
-- *I:CCEN:CC:RLD:CI:OE:D:Y:PL:VECT:MAP:FULL:
--------------------------
report "Test PASS";
wait;
end process;
end AA;
| mit | fb195662666708ac79b048f4d1c39711 | 0.438287 | 2.639401 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/proj_pointer_basic_hls_ip_integ/proj_pointer_basic_hls_ip_integ.cache/ip/2018.2/3bcfc1a1fd008685/design_1_rst_ps7_0_50M_0_sim_netlist.vhdl | 1 | 35,453 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Mon Sep 16 06:23:47 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_rst_ps7_0_50M_0_sim_netlist.vhdl
-- Design : design_1_rst_ps7_0_50M_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync is
port (
lpf_exr_reg : out STD_LOGIC;
scndry_out : out STD_LOGIC;
lpf_exr : in STD_LOGIC;
p_3_out : in STD_LOGIC_VECTOR ( 2 downto 0 );
ext_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync is
signal exr_d1 : STD_LOGIC;
signal s_level_out_d1_cdc_to : STD_LOGIC;
signal s_level_out_d2 : STD_LOGIC;
signal s_level_out_d3 : STD_LOGIC;
signal \^scndry_out\ : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR";
attribute box_type : string;
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE";
begin
scndry_out <= \^scndry_out\;
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => exr_d1,
Q => s_level_out_d1_cdc_to,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => ext_reset_in,
I1 => mb_debug_sys_rst,
O => exr_d1
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d1_cdc_to,
Q => s_level_out_d2,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d2,
Q => s_level_out_d3,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d3,
Q => \^scndry_out\,
R => '0'
);
lpf_exr_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EAAAAAA8"
)
port map (
I0 => lpf_exr,
I1 => p_3_out(1),
I2 => p_3_out(2),
I3 => \^scndry_out\,
I4 => p_3_out(0),
O => lpf_exr_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 is
port (
lpf_asr_reg : out STD_LOGIC;
scndry_out : out STD_LOGIC;
lpf_asr : in STD_LOGIC;
p_1_in : in STD_LOGIC;
p_2_in : in STD_LOGIC;
asr_lpf : in STD_LOGIC_VECTOR ( 0 to 0 );
aux_reset_in : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 : entity is "cdc_sync";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 is
signal asr_d1 : STD_LOGIC;
signal s_level_out_d1_cdc_to : STD_LOGIC;
signal s_level_out_d2 : STD_LOGIC;
signal s_level_out_d3 : STD_LOGIC;
signal \^scndry_out\ : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR";
attribute box_type : string;
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE";
begin
scndry_out <= \^scndry_out\;
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => asr_d1,
Q => s_level_out_d1_cdc_to,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aux_reset_in,
O => asr_d1
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d1_cdc_to,
Q => s_level_out_d2,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d2,
Q => s_level_out_d3,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d3,
Q => \^scndry_out\,
R => '0'
);
lpf_asr_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EAAAAAA8"
)
port map (
I0 => lpf_asr,
I1 => p_1_in,
I2 => p_2_in,
I3 => \^scndry_out\,
I4 => asr_lpf(0),
O => lpf_asr_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n is
port (
Q : out STD_LOGIC_VECTOR ( 5 downto 0 );
seq_clr : in STD_LOGIC;
seq_cnt_en : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n is
signal \^q\ : STD_LOGIC_VECTOR ( 5 downto 0 );
signal clear : STD_LOGIC;
signal q_int0 : STD_LOGIC_VECTOR ( 5 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \q_int[1]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \q_int[2]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \q_int[3]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \q_int[4]_i_1\ : label is "soft_lutpair0";
begin
Q(5 downto 0) <= \^q\(5 downto 0);
\q_int[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => q_int0(0)
);
\q_int[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => q_int0(1)
);
\q_int[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
I2 => \^q\(2),
O => q_int0(2)
);
\q_int[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => \^q\(2),
I3 => \^q\(3),
O => q_int0(3)
);
\q_int[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
I3 => \^q\(3),
I4 => \^q\(4),
O => q_int0(4)
);
\q_int[5]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => seq_clr,
O => clear
);
\q_int[5]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => \^q\(3),
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \^q\(2),
I4 => \^q\(4),
I5 => \^q\(5),
O => q_int0(5)
);
\q_int_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(0),
Q => \^q\(0),
R => clear
);
\q_int_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(1),
Q => \^q\(1),
R => clear
);
\q_int_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(2),
Q => \^q\(2),
R => clear
);
\q_int_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(3),
Q => \^q\(3),
R => clear
);
\q_int_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(4),
Q => \^q\(4),
R => clear
);
\q_int_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(5),
Q => \^q\(5),
R => clear
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf is
port (
lpf_int : out STD_LOGIC;
slowest_sync_clk : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
aux_reset_in : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf is
signal \ACTIVE_HIGH_EXT.ACT_HI_EXT_n_0\ : STD_LOGIC;
signal \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\ : STD_LOGIC;
signal Q : STD_LOGIC;
signal asr_lpf : STD_LOGIC_VECTOR ( 0 to 0 );
signal lpf_asr : STD_LOGIC;
signal lpf_exr : STD_LOGIC;
signal \lpf_int0__0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal p_2_in : STD_LOGIC;
signal p_3_in1_in : STD_LOGIC;
signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of POR_SRL_I : label is "SRL16";
attribute box_type : string;
attribute box_type of POR_SRL_I : label is "PRIMITIVE";
attribute srl_name : string;
attribute srl_name of POR_SRL_I : label is "U0/\EXT_LPF/POR_SRL_I ";
begin
\ACTIVE_HIGH_EXT.ACT_HI_EXT\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync
port map (
ext_reset_in => ext_reset_in,
lpf_exr => lpf_exr,
lpf_exr_reg => \ACTIVE_HIGH_EXT.ACT_HI_EXT_n_0\,
mb_debug_sys_rst => mb_debug_sys_rst,
p_3_out(2 downto 0) => p_3_out(2 downto 0),
scndry_out => p_3_out(3),
slowest_sync_clk => slowest_sync_clk
);
\ACTIVE_LOW_AUX.ACT_LO_AUX\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0
port map (
asr_lpf(0) => asr_lpf(0),
aux_reset_in => aux_reset_in,
lpf_asr => lpf_asr,
lpf_asr_reg => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\,
p_1_in => p_1_in,
p_2_in => p_2_in,
scndry_out => p_3_in1_in,
slowest_sync_clk => slowest_sync_clk
);
\AUX_LPF[1].asr_lpf_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_in1_in,
Q => p_2_in,
R => '0'
);
\AUX_LPF[2].asr_lpf_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_2_in,
Q => p_1_in,
R => '0'
);
\AUX_LPF[3].asr_lpf_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_1_in,
Q => asr_lpf(0),
R => '0'
);
\EXT_LPF[1].exr_lpf_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(3),
Q => p_3_out(2),
R => '0'
);
\EXT_LPF[2].exr_lpf_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(2),
Q => p_3_out(1),
R => '0'
);
\EXT_LPF[3].exr_lpf_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(1),
Q => p_3_out(0),
R => '0'
);
POR_SRL_I: unisim.vcomponents.SRL16E
generic map(
INIT => X"FFFF"
)
port map (
A0 => '1',
A1 => '1',
A2 => '1',
A3 => '1',
CE => '1',
CLK => slowest_sync_clk,
D => '0',
Q => Q
);
lpf_asr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\,
Q => lpf_asr,
R => '0'
);
lpf_exr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \ACTIVE_HIGH_EXT.ACT_HI_EXT_n_0\,
Q => lpf_exr,
R => '0'
);
lpf_int0: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFD"
)
port map (
I0 => dcm_locked,
I1 => lpf_exr,
I2 => lpf_asr,
I3 => Q,
O => \lpf_int0__0\
);
lpf_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \lpf_int0__0\,
Q => lpf_int,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr is
port (
MB_out : out STD_LOGIC;
Bsr_out : out STD_LOGIC;
Pr_out : out STD_LOGIC;
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\ : out STD_LOGIC;
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\ : out STD_LOGIC;
lpf_int : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr is
signal \^bsr_out\ : STD_LOGIC;
signal Core_i_1_n_0 : STD_LOGIC;
signal \^mb_out\ : STD_LOGIC;
signal \^pr_out\ : STD_LOGIC;
signal \bsr_dec_reg_n_0_[0]\ : STD_LOGIC;
signal \bsr_dec_reg_n_0_[2]\ : STD_LOGIC;
signal bsr_i_1_n_0 : STD_LOGIC;
signal \core_dec[0]_i_1_n_0\ : STD_LOGIC;
signal \core_dec[2]_i_1_n_0\ : STD_LOGIC;
signal \core_dec_reg_n_0_[0]\ : STD_LOGIC;
signal \core_dec_reg_n_0_[1]\ : STD_LOGIC;
signal from_sys_i_1_n_0 : STD_LOGIC;
signal p_0_in : STD_LOGIC;
signal p_3_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal p_5_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \pr_dec0__0\ : STD_LOGIC;
signal \pr_dec_reg_n_0_[0]\ : STD_LOGIC;
signal \pr_dec_reg_n_0_[2]\ : STD_LOGIC;
signal pr_i_1_n_0 : STD_LOGIC;
signal seq_clr : STD_LOGIC;
signal seq_cnt : STD_LOGIC_VECTOR ( 5 downto 0 );
signal seq_cnt_en : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of Core_i_1 : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \bsr_dec[2]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of bsr_i_1 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \core_dec[0]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \core_dec[2]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of from_sys_i_1 : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \pr_dec[0]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of pr_i_1 : label is "soft_lutpair4";
begin
Bsr_out <= \^bsr_out\;
MB_out <= \^mb_out\;
Pr_out <= \^pr_out\;
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^bsr_out\,
O => \ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\
);
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^pr_out\,
O => \ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\
);
Core_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^mb_out\,
I1 => p_0_in,
O => Core_i_1_n_0
);
Core_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => Core_i_1_n_0,
Q => \^mb_out\,
S => lpf_int
);
SEQ_COUNTER: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n
port map (
Q(5 downto 0) => seq_cnt(5 downto 0),
seq_clr => seq_clr,
seq_cnt_en => seq_cnt_en,
slowest_sync_clk => slowest_sync_clk
);
\bsr_dec[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0090"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(4),
I2 => seq_cnt(3),
I3 => seq_cnt(5),
O => p_5_out(0)
);
\bsr_dec[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \core_dec_reg_n_0_[1]\,
I1 => \bsr_dec_reg_n_0_[0]\,
O => p_5_out(2)
);
\bsr_dec_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_5_out(0),
Q => \bsr_dec_reg_n_0_[0]\,
R => '0'
);
\bsr_dec_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_5_out(2),
Q => \bsr_dec_reg_n_0_[2]\,
R => '0'
);
bsr_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^bsr_out\,
I1 => \bsr_dec_reg_n_0_[2]\,
O => bsr_i_1_n_0
);
bsr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => bsr_i_1_n_0,
Q => \^bsr_out\,
S => lpf_int
);
\core_dec[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9000"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(4),
I2 => seq_cnt(3),
I3 => seq_cnt(5),
O => \core_dec[0]_i_1_n_0\
);
\core_dec[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \core_dec_reg_n_0_[1]\,
I1 => \core_dec_reg_n_0_[0]\,
O => \core_dec[2]_i_1_n_0\
);
\core_dec_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \core_dec[0]_i_1_n_0\,
Q => \core_dec_reg_n_0_[0]\,
R => '0'
);
\core_dec_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \pr_dec0__0\,
Q => \core_dec_reg_n_0_[1]\,
R => '0'
);
\core_dec_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \core_dec[2]_i_1_n_0\,
Q => p_0_in,
R => '0'
);
from_sys_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^mb_out\,
I1 => seq_cnt_en,
O => from_sys_i_1_n_0
);
from_sys_reg: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => from_sys_i_1_n_0,
Q => seq_cnt_en,
S => lpf_int
);
pr_dec0: unisim.vcomponents.LUT4
generic map(
INIT => X"0018"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(0),
I2 => seq_cnt(2),
I3 => seq_cnt(1),
O => \pr_dec0__0\
);
\pr_dec[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0480"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(3),
I2 => seq_cnt(5),
I3 => seq_cnt(4),
O => p_3_out(0)
);
\pr_dec[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \core_dec_reg_n_0_[1]\,
I1 => \pr_dec_reg_n_0_[0]\,
O => p_3_out(2)
);
\pr_dec_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(0),
Q => \pr_dec_reg_n_0_[0]\,
R => '0'
);
\pr_dec_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(2),
Q => \pr_dec_reg_n_0_[2]\,
R => '0'
);
pr_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^pr_out\,
I1 => \pr_dec_reg_n_0_[2]\,
O => pr_i_1_n_0
);
pr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => pr_i_1_n_0,
Q => \^pr_out\,
S => lpf_int
);
seq_clr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => '1',
Q => seq_clr,
R => lpf_int
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset is
port (
slowest_sync_clk : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_reset : out STD_LOGIC;
bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute C_AUX_RESET_HIGH : string;
attribute C_AUX_RESET_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "1'b0";
attribute C_AUX_RST_WIDTH : integer;
attribute C_AUX_RST_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 4;
attribute C_EXT_RESET_HIGH : string;
attribute C_EXT_RESET_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "1'b1";
attribute C_EXT_RST_WIDTH : integer;
attribute C_EXT_RST_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 4;
attribute C_FAMILY : string;
attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "zynq";
attribute C_NUM_BUS_RST : integer;
attribute C_NUM_BUS_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
attribute C_NUM_INTERCONNECT_ARESETN : integer;
attribute C_NUM_INTERCONNECT_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
attribute C_NUM_PERP_ARESETN : integer;
attribute C_NUM_PERP_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
attribute C_NUM_PERP_RST : integer;
attribute C_NUM_PERP_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset is
signal Bsr_out : STD_LOGIC;
signal MB_out : STD_LOGIC;
signal Pr_out : STD_LOGIC;
signal SEQ_n_3 : STD_LOGIC;
signal SEQ_n_4 : STD_LOGIC;
signal lpf_int : STD_LOGIC;
attribute box_type : string;
attribute box_type of \ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\ : label is "PRIMITIVE";
attribute box_type of \ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\ : label is "PRIMITIVE";
attribute box_type of \BSR_OUT_DFF[0].FDRE_BSR\ : label is "PRIMITIVE";
attribute box_type of FDRE_inst : label is "PRIMITIVE";
attribute box_type of \PR_OUT_DFF[0].FDRE_PER\ : label is "PRIMITIVE";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of bus_struct_reset : signal is "no";
attribute equivalent_register_removal of interconnect_aresetn : signal is "no";
attribute equivalent_register_removal of peripheral_aresetn : signal is "no";
attribute equivalent_register_removal of peripheral_reset : signal is "no";
begin
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => SEQ_n_3,
Q => interconnect_aresetn(0),
R => '0'
);
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => SEQ_n_4,
Q => peripheral_aresetn(0),
R => '0'
);
\BSR_OUT_DFF[0].FDRE_BSR\: unisim.vcomponents.FDRE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => Bsr_out,
Q => bus_struct_reset(0),
R => '0'
);
EXT_LPF: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf
port map (
aux_reset_in => aux_reset_in,
dcm_locked => dcm_locked,
ext_reset_in => ext_reset_in,
lpf_int => lpf_int,
mb_debug_sys_rst => mb_debug_sys_rst,
slowest_sync_clk => slowest_sync_clk
);
FDRE_inst: unisim.vcomponents.FDRE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => MB_out,
Q => mb_reset,
R => '0'
);
\PR_OUT_DFF[0].FDRE_PER\: unisim.vcomponents.FDRE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => Pr_out,
Q => peripheral_reset(0),
R => '0'
);
SEQ: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr
port map (
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\ => SEQ_n_3,
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\ => SEQ_n_4,
Bsr_out => Bsr_out,
MB_out => MB_out,
Pr_out => Pr_out,
lpf_int => lpf_int,
slowest_sync_clk => slowest_sync_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
slowest_sync_clk : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_reset : out STD_LOGIC;
bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "design_1_rst_ps7_0_50M_0,proc_sys_reset,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "proc_sys_reset,Vivado 2018.2";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute C_AUX_RESET_HIGH : string;
attribute C_AUX_RESET_HIGH of U0 : label is "1'b0";
attribute C_AUX_RST_WIDTH : integer;
attribute C_AUX_RST_WIDTH of U0 : label is 4;
attribute C_EXT_RESET_HIGH : string;
attribute C_EXT_RESET_HIGH of U0 : label is "1'b1";
attribute C_EXT_RST_WIDTH : integer;
attribute C_EXT_RST_WIDTH of U0 : label is 4;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_NUM_BUS_RST : integer;
attribute C_NUM_BUS_RST of U0 : label is 1;
attribute C_NUM_INTERCONNECT_ARESETN : integer;
attribute C_NUM_INTERCONNECT_ARESETN of U0 : label is 1;
attribute C_NUM_PERP_ARESETN : integer;
attribute C_NUM_PERP_ARESETN of U0 : label is 1;
attribute C_NUM_PERP_RST : integer;
attribute C_NUM_PERP_RST of U0 : label is 1;
attribute x_interface_info : string;
attribute x_interface_info of aux_reset_in : signal is "xilinx.com:signal:reset:1.0 aux_reset RST";
attribute x_interface_parameter : string;
attribute x_interface_parameter of aux_reset_in : signal is "XIL_INTERFACENAME aux_reset, POLARITY ACTIVE_LOW";
attribute x_interface_info of ext_reset_in : signal is "xilinx.com:signal:reset:1.0 ext_reset RST";
attribute x_interface_parameter of ext_reset_in : signal is "XIL_INTERFACENAME ext_reset, BOARD.ASSOCIATED_PARAM RESET_BOARD_INTERFACE, POLARITY ACTIVE_HIGH";
attribute x_interface_info of mb_debug_sys_rst : signal is "xilinx.com:signal:reset:1.0 dbg_reset RST";
attribute x_interface_parameter of mb_debug_sys_rst : signal is "XIL_INTERFACENAME dbg_reset, POLARITY ACTIVE_HIGH";
attribute x_interface_info of mb_reset : signal is "xilinx.com:signal:reset:1.0 mb_rst RST";
attribute x_interface_parameter of mb_reset : signal is "XIL_INTERFACENAME mb_rst, POLARITY ACTIVE_HIGH, TYPE PROCESSOR";
attribute x_interface_info of slowest_sync_clk : signal is "xilinx.com:signal:clock:1.0 clock CLK";
attribute x_interface_parameter of slowest_sync_clk : signal is "XIL_INTERFACENAME clock, ASSOCIATED_RESET mb_reset:bus_struct_reset:interconnect_aresetn:peripheral_aresetn:peripheral_reset, FREQ_HZ 50000000, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_2_FCLK_CLK0";
attribute x_interface_info of bus_struct_reset : signal is "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
attribute x_interface_parameter of bus_struct_reset : signal is "XIL_INTERFACENAME bus_struct_reset, POLARITY ACTIVE_HIGH, TYPE INTERCONNECT";
attribute x_interface_info of interconnect_aresetn : signal is "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
attribute x_interface_parameter of interconnect_aresetn : signal is "XIL_INTERFACENAME interconnect_low_rst, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
attribute x_interface_info of peripheral_aresetn : signal is "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
attribute x_interface_parameter of peripheral_aresetn : signal is "XIL_INTERFACENAME peripheral_low_rst, POLARITY ACTIVE_LOW, TYPE PERIPHERAL";
attribute x_interface_info of peripheral_reset : signal is "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
attribute x_interface_parameter of peripheral_reset : signal is "XIL_INTERFACENAME peripheral_high_rst, POLARITY ACTIVE_HIGH, TYPE PERIPHERAL";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset
port map (
aux_reset_in => aux_reset_in,
bus_struct_reset(0) => bus_struct_reset(0),
dcm_locked => dcm_locked,
ext_reset_in => ext_reset_in,
interconnect_aresetn(0) => interconnect_aresetn(0),
mb_debug_sys_rst => mb_debug_sys_rst,
mb_reset => mb_reset,
peripheral_aresetn(0) => peripheral_aresetn(0),
peripheral_reset(0) => peripheral_reset(0),
slowest_sync_clk => slowest_sync_clk
);
end STRUCTURE;
| mit | fc0d32fcbb9740dbcd9e1b1e4f991425 | 0.588695 | 2.918903 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/moving-avg/fir_moving_avg_time_mux.vhdl | 1 | 4,818 | -- Author: Varun Nagpal
-- May 4th, 2019
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- This design implements an FIR Moving Average filter
-- which averages L points
--
-- y[n] = ( x[n] + x[n-1] + .. + x[n-L-1] ) / L
--
-- To design above FIR filer using minimum number of adders,
-- we modify the difference equation as follows:
--
-- y[n] = y[n-1] + ( x[n] - x[n-L] ) / L
-- => Ly[n] = Ly[n-1] + ( x[n] - x[n-L] )
--
-- Assume Y[n] = Ly[n]
--
-- 1. Y[n] = Y[n-1] + ( x[n] - x[n-L] )
-- 2. y[n] = Y[n]/L
--
-- In our design we implement the above two equations
-- L= length of filter
-- N = L-1 = order of the filter
entity fir is
generic( L : natural := 256; -- L = Filter length or number of points to be averaged
L_BW : natural := 8; -- Ceil(Log2(L))
W : natural := 16 ); -- Bit width of input and output sample data(signed)
port (clk : in std_logic; -- clock
reset_n : in std_logic; -- active low asynchronous reset
fir_en : in std_logic; -- handshake signal
fir_in : in std_logic_vector( W-1 downto 0 ); -- sample inout x[n]
fir_out : out std_logic_vector( W-1 downto 0 ); -- sample output y[n]
fir_rdy : out std_logic -- handshake signal
);
end fir;
architecture rtl of fir is
type t_reg_xn_del is array ( 0 to L-1 ) of signed( W-1 downto 0 );
signal reg_x_del : t_reg_xn_del := ( others => ( others => '0' ) );
signal sig_x_diff : signed( W downto 0 ) := ( others => '0' ); -- add/sub of two W-bit signed numbers requires W+1 bits
signal sig_y_sum : signed( W+L_BW-1 downto 0 ) := ( others => '0' ); -- add/sub of two W+1 bit signed number did L_BW = log2(L)
-- times requires ((W+1)+L_BW)-1 = W+L_BW bits
signal reg_y_del : signed( W+L_BW-1 downto 0 ) := ( others => '0' );
signal sig_y_out : signed( W+L_BW-1 downto 0 ) := ( others => '0' );
signal reg_fir_rdy : std_logic := '0';
begin
-- Generate ready signal 1 cc after enable input changes
process( clk, reset_n )
begin
if( reset_n = '0' ) then
reg_fir_rdy <= '0';
fir_rdy <= '0';
elsif ( rising_edge(clk) ) then
if( fir_en = '1' ) then
reg_fir_rdy <= '1';
else
reg_fir_rdy <= '0';
end if;
fir_rdy <= reg_fir_rdy;
end if;
end process;
-- Get x[n-L], Y[n-1]
process (clk, reset_n)
begin
if (reset_n = '0') then
for i in reg_x_del'RANGE(1) loop
reg_x_del(i) <= ( others => '0');
end loop;
reg_y_del <= ( others => '0' );
elsif ( rising_edge(clk) ) then
-- Shift new data only when filter is enabled
if( fir_en = '1' ) then
reg_x_del(0) <= signed(fir_in);
for i in 1 to reg_x_del'RIGHT(1) loop
reg_x_del(i) <= reg_x_del(i-1);
end loop;
reg_y_del <= sig_y_sum;
end if;
end if;
end process;
-- Calculate difference ( x[n] - x[n-L] ).
-- Note sign extension done befofe subtracting the two (W+1)-bit numbers
sig_x_diff <= signed( fir_in( W - 1 ) & fir_in ) - ( reg_x_del( L - 1 )( W - 1 ) & reg_x_del( L - 1 ) );
-- calculate sum Y[n] = Y[n-1] + ( x[n] - x[n-L] )
-- Note sign extension done befofe subtracting the two W-bit numbers
comb_adder: process ( sig_x_diff, reg_y_del )
-- sign extend sig_x_diff with bit size W+1 bits by L_BW-1 bits
-- before it can be added to reg_y_del of bit-size W+L_BW bits
variable signvec: signed( L_BW-2 downto 0 ) := ( others => '0' );
variable tmp_sig_x_diff: signed( W+L_BW-1 downto 0 ) := ( others => '0' );
begin
signvec := ( others => sig_x_diff( W ) );
tmp_sig_x_diff := signvec & sig_x_diff;
sig_y_sum <= tmp_sig_x_diff + reg_y_del;
end process;
-- y[n] = Y[n]/L = Y[n] >> L_BW, where L_BW=log2(L)
sig_y_out <= sig_y_sum srl L_BW;
process ( clk, reset_n )
variable temp : signed( W-1 downto 0 ) := ( others => '0' );
begin
if ( reset_n = '0' ) then
fir_out <= ( others => '0' );
elsif ( rising_edge( clk ) ) then
temp := ( others => '0' );
-- Produce new output sample only when filter is enabled
if( fir_en = '1' ) then
-- Round towards 0.
-- This means when doing binary division of a negative signed number
-- with a positive number through right shifting operation,
-- the rounding of quotient happens towards +infinity.
-- So there is a DC offset in negative quotients which needs to be eliminated
-- by rounding negative quotients towards zero instead of +infinity
temp := sig_y_out( W-1 downto 0 );
if ( temp(W-1) = '1' ) then
temp := temp+1;
end if;
fir_out <= std_logic_vector( temp );
end if;
end if;
end process;
end rtl; | mit | dc54a73b3f50286bcb454fc170b03a03 | 0.551474 | 2.775346 | false | false | false | false |
natsutan/NPU | fpga_implement/npu8/npu8.cache/ip/9340d666125e38a0/mul17_16_sim_netlist.vhdl | 1 | 627,449 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
-- Date : Sat Jan 21 14:55:26 2017
-- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ mul17_16_sim_netlist.vhdl
-- Design : mul17_16
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xcku035-fbva676-3-e
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
fPF16TcpNgM9dNC6nyb4WjUK+7bY8P+I62AEEiiM/KOMhIKuPOHBoWeWL2UjxSNO68WLeYIZp8lA
I7rHN/CieA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
E6OKJxjnDRUVVFwAhrQMAtoyRVVpuMKsXlca4m9CcIt6QI8vnYN0tf7gH3uVuxZ90322B7kUeFw5
Pu0UeqAoBaSyysHuDqXazxHy7oyk4BIWChvcrp7LULlVLcL76obtSwsXi1ORVmpdTi5b+AcD+WUo
OP1PSFj5jpodG+LwXm4=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
x+agogSsgbiI6PGyBpMY8RQCDzLctIr3EaG23mH5kJHlNmNKNolnP54yJ8Y7nIFi6yl6tlyOLMoF
/kxU0pyFmIj8QM0/MArMxPTiemXbDLS2VKtonyK9dDH7VbjFnRWwzK0Ngkas0+nbW3TqGPAY98x3
251QPjQoZCw3A7W9PDc=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
KNs7hA49BKKrboRSEkqIGldOa3ndCnhjRkSn8lL1xFfKUn+p+Wbc09ogKV6YYnPU/RaF1LbzyoE4
udPSNea4bST+08IjO5GAxXqUugcig44J+hzpGKmh7oO0TuyNbYq1CnYcsZXaD9vsmNYz8fBDoW2S
VK/mYa21mBKTOuTdQ1yp3wi73aJ1G9N6Ngt7ovDUrjyd5oNxxNlvWU8JkJDinbEnci0qjZ3Wu9Wg
y44pHUXf6xqwFYJpZ1ZcGRKl83P8p74+pLzt19lw9TPlTfKI++IowVjb6wo36ztNDJS0QjQE5Riv
hwbPU/Bt3S82MVCY5NAA6bKC/8NnoWMbmX8Wiw==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
QaRubtGbYrmCghuFdQuTgTEtoVYYLcPnD5z0C7mo18fwCG17qy0y8mj8xWiwE6bo49IP1/JXSIw7
rTBwHFOVrmbm926sWNrF1r3IHB83C5cstprQ1om7vnkw9XX87SjkscphhkrHmi08jjzW4qX96m61
/ymclz5TlAocMQJGz/jwscvIMOrrbuH4SkWQOLQnRfx9GIOv5Y7PM+w/wuDSeFXsAXz7Ahq3/qmU
cylNfSufW7/zfN4RZB4u+d28AXsuFe03aSF1dpW+uBK1xtNZccvj9h9NMN0cuwxt8ZUlLJw8l6e2
hqRfTTZl1F4qnnrJu6w8h8uEGrmgnQG1AW0epg==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
XXj6Nc59BeA5Kznlx14IKravf7ohERw7h0fbO7pT7/HsiPDCWh2DlTGpFUcnbNZslPN2RfE0nJNX
WMzLQtaHK4Bm6kxY71OsXEKm7MAIjEdLwOMtJTtlZrbm7chBbSxcW6sjWvI36jk5De3Yct9Ao1py
DpQ9NICUtRTwGG8SAiRkAXRh2Jv3rKvnookQrlVxIkNRSBMSgbwuTbq1ze/KMUZebBWwJNUVIC9r
RV/i9wjYXBOeCCUk+cGDC5uSpwdLXYV9ZxhQUU6C1ufAaK2m4OIUeBqPc2ski2O0qQYQ67c35k50
ynO8H9PTEROPEOn5c37S7feU+36OcOOAsVBTBA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
kUI7d4KWx3wtm2i3qvckOiUX86SDaMInMAUFcr3HZLqzcB8KPCJKysBAt6yyM1z14wxRTdIQPjFF
HS9W5eIbqqZWTMIFU7DQOgQqAAWCAQ7A9/TURsWU3zgCv7u7hqPBSD4EtwQlPuWwz/Wg2BBg9L6t
Paaa7jueobBpqVSkYN6r488t8voAPO0VBRtfpbZkKGtj7YcTaMrOWYcpyrBavr/c2WXEmB59nvm5
0z5vE//j+twNry2zZhDKmDo9fjTewKDygu8p220moVu1domGkWem7HdpF0B98vCQSS2uyHNGEM+Q
KHtd+J3Z8ewu0z8t00EesatjWnewo2Iby1PHtg==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
E3G76WEs/A9OXDIe+IWZjHSbahM7KnSDLbIFtdX0fOngbs8S07Zv/4ud2mwOtTslANQT6QVNhGqv
gBcTuPRjJlJxLawz1OZEMylo7AIOBwm97U0tNH+u88w4iT6GgsoQ4WOhWQBMU7hLStBqDB1tSYIS
0zi0QWNe0gj2eE6d8GLEyE+K231j7oiAVixb8IRHm4cv4YAre6XONXF0PO3ozi6tPnQ6wACRzggd
becGMXghiE+k4aCWMknlamA3oMhycjkZfB4VFreRUllb2xaF/uKY4O2r16fXNGe+pL34kg1Ji0R0
2UtP9PN4zH/TNg9MOqI9ZPCx6SvYXtCMefIzYw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 453568)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 16 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
CE : in STD_LOGIC;
SCLR : in STD_LOGIC;
ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 );
P : out STD_LOGIC_VECTOR ( 8 downto 0 );
PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 )
);
attribute C_A_TYPE : integer;
attribute C_A_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 17;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1;
attribute C_B_VALUE : string;
attribute C_B_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 16;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 4;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_OPTIMIZE_GOAL : integer;
attribute C_OPTIMIZE_GOAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 32;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 24;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "kintexu";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 is
signal \<const0>\ : STD_LOGIC;
signal NLW_i_mult_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_i_mult_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE of i_mult : label is 1;
attribute C_A_WIDTH of i_mult : label is 17;
attribute C_B_TYPE of i_mult : label is 1;
attribute C_B_VALUE of i_mult : label is "10000001";
attribute C_B_WIDTH of i_mult : label is 16;
attribute C_CCM_IMP of i_mult : label is 0;
attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0;
attribute C_HAS_CE of i_mult : label is 0;
attribute C_HAS_SCLR of i_mult : label is 0;
attribute C_HAS_ZERO_DETECT of i_mult : label is 0;
attribute C_LATENCY of i_mult : label is 4;
attribute C_MODEL_TYPE of i_mult : label is 0;
attribute C_MULT_TYPE of i_mult : label is 0;
attribute C_OUT_HIGH of i_mult : label is 32;
attribute C_OUT_LOW of i_mult : label is 24;
attribute C_ROUND_OUTPUT of i_mult : label is 0;
attribute C_ROUND_PT of i_mult : label is 0;
attribute C_VERBOSITY of i_mult : label is 0;
attribute C_XDEVICEFAMILY of i_mult : label is "kintexu";
attribute c_optimize_goal of i_mult : label is 1;
attribute downgradeipidentifiedwarnings of i_mult : label is "yes";
begin
PCASC(47) <= \<const0>\;
PCASC(46) <= \<const0>\;
PCASC(45) <= \<const0>\;
PCASC(44) <= \<const0>\;
PCASC(43) <= \<const0>\;
PCASC(42) <= \<const0>\;
PCASC(41) <= \<const0>\;
PCASC(40) <= \<const0>\;
PCASC(39) <= \<const0>\;
PCASC(38) <= \<const0>\;
PCASC(37) <= \<const0>\;
PCASC(36) <= \<const0>\;
PCASC(35) <= \<const0>\;
PCASC(34) <= \<const0>\;
PCASC(33) <= \<const0>\;
PCASC(32) <= \<const0>\;
PCASC(31) <= \<const0>\;
PCASC(30) <= \<const0>\;
PCASC(29) <= \<const0>\;
PCASC(28) <= \<const0>\;
PCASC(27) <= \<const0>\;
PCASC(26) <= \<const0>\;
PCASC(25) <= \<const0>\;
PCASC(24) <= \<const0>\;
PCASC(23) <= \<const0>\;
PCASC(22) <= \<const0>\;
PCASC(21) <= \<const0>\;
PCASC(20) <= \<const0>\;
PCASC(19) <= \<const0>\;
PCASC(18) <= \<const0>\;
PCASC(17) <= \<const0>\;
PCASC(16) <= \<const0>\;
PCASC(15) <= \<const0>\;
PCASC(14) <= \<const0>\;
PCASC(13) <= \<const0>\;
PCASC(12) <= \<const0>\;
PCASC(11) <= \<const0>\;
PCASC(10) <= \<const0>\;
PCASC(9) <= \<const0>\;
PCASC(8) <= \<const0>\;
PCASC(7) <= \<const0>\;
PCASC(6) <= \<const0>\;
PCASC(5) <= \<const0>\;
PCASC(4) <= \<const0>\;
PCASC(3) <= \<const0>\;
PCASC(2) <= \<const0>\;
PCASC(1) <= \<const0>\;
PCASC(0) <= \<const0>\;
ZERO_DETECT(1) <= \<const0>\;
ZERO_DETECT(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
i_mult: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12_viv
port map (
A(16 downto 0) => A(16 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => '0',
CLK => CLK,
P(8 downto 0) => P(8 downto 0),
PCASC(47 downto 0) => NLW_i_mult_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_i_mult_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 16 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
P : out STD_LOGIC_VECTOR ( 8 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mul17_16,mult_gen_v12_0_12,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mult_gen_v12_0_12,Vivado 2016.4";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE : integer;
attribute C_A_TYPE of U0 : label is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of U0 : label is 17;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of U0 : label is 1;
attribute C_B_VALUE : string;
attribute C_B_VALUE of U0 : label is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of U0 : label is 16;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of U0 : label is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of U0 : label is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of U0 : label is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of U0 : label is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 4;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of U0 : label is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of U0 : label is 0;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of U0 : label is 32;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of U0 : label is 24;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of U0 : label is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of U0 : label is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "kintexu";
attribute c_optimize_goal : integer;
attribute c_optimize_goal of U0 : label is 1;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12
port map (
A(16 downto 0) => A(16 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => '1',
CLK => CLK,
P(8 downto 0) => P(8 downto 0),
PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
| bsd-3-clause | 21192592940fca7be85dcd9655b2b603 | 0.950586 | 1.821576 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.srcs/sources_1/bd/gcd_zynq_snick/ip/gcd_zynq_snick_auto_pc_0/gcd_zynq_snick_auto_pc_0_sim_netlist.vhdl | 1 | 518,478 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Tue Sep 17 19:45:28 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim
-- d:/github/Digital-Hardware-Modelling/xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.srcs/sources_1/bd/gcd_zynq_snick/ip/gcd_zynq_snick_auto_pc_0/gcd_zynq_snick_auto_pc_0_sim_netlist.vhdl
-- Design : gcd_zynq_snick_auto_pc_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg400-3
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
port (
next_pending_r_reg_0 : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 10 downto 0 );
\m_axi_awaddr[11]\ : out STD_LOGIC;
\m_axi_awaddr[5]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd : entity is "axi_protocol_converter_v2_1_17_b2s_incr_cmd";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \axaddr_incr[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 10 downto 0 );
signal \axaddr_incr_reg[11]_i_4_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[2]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal next_pending_r_i_5_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \m_axi_awaddr[11]_INST_0_i_1\ : label is "soft_lutpair113";
attribute SOFT_HLUTNM of \m_axi_awaddr[5]_INST_0_i_1\ : label is "soft_lutpair113";
begin
Q(0) <= \^q\(0);
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(10 downto 0) <= \^axaddr_incr_reg[11]_0\(10 downto 0);
\axlen_cnt_reg[2]_0\ <= \^axlen_cnt_reg[2]_0\;
\axaddr_incr[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_7\,
O => \axaddr_incr[0]_i_1_n_0\
);
\axaddr_incr[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(10),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_5\,
O => \axaddr_incr[10]_i_1_n_0\
);
\axaddr_incr[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \next\,
O => \axaddr_incr[11]_i_1_n_0\
);
\axaddr_incr[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(11),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_4\,
O => \axaddr_incr[11]_i_2_n_0\
);
\axaddr_incr[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_6\,
O => \axaddr_incr[1]_i_1_n_0\
);
\axaddr_incr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_5\,
O => \axaddr_incr[2]_i_1_n_0\
);
\axaddr_incr[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_4\,
O => \axaddr_incr[3]_i_1_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"0102"
)
port map (
I0 => \m_payload_i_reg[46]\(0),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(3),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(2),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(1),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"262A"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"060A"
)
port map (
I0 => \m_payload_i_reg[46]\(1),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
I3 => \next\,
O => S(1)
);
\axaddr_incr[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(4),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_7\,
O => \axaddr_incr[4]_i_1_n_0\
);
\axaddr_incr[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(5),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_6\,
O => \axaddr_incr[5]_i_1_n_0\
);
\axaddr_incr[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(6),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_5\,
O => \axaddr_incr[6]_i_1_n_0\
);
\axaddr_incr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(7),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_4\,
O => \axaddr_incr[7]_i_1_n_0\
);
\axaddr_incr[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(8),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_7\,
O => \axaddr_incr[8]_i_1_n_0\
);
\axaddr_incr[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(9),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_6\,
O => \axaddr_incr[9]_i_1_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[0]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[10]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(9),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[11]_i_2_n_0\,
Q => \^axaddr_incr_reg[11]_0\(10),
R => '0'
);
\axaddr_incr_reg[11]_i_4\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(10 downto 7)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[1]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[2]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[3]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[3]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => \^axaddr_incr_reg[11]_0\(3 downto 0),
O(3) => \axaddr_incr_reg[3]_i_3_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[4]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[5]_i_1_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[6]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[7]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[7]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(6 downto 5),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(4)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[8]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[9]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(8),
R => '0'
);
\axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(8),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[1]_i_1__0_n_0\
);
\axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \^q\(0),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[2]_0\,
I4 => E(0),
I5 => \m_payload_i_reg[46]\(9),
O => \axlen_cnt[2]_i_1_n_0\
);
\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
I5 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[3]_i_2_n_0\
);
\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
O => \axlen_cnt[4]_i_1_n_0\
);
\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \^q\(0),
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[5]_i_1_n_0\
);
\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[6]_i_1_n_0\
);
\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[7]_i_2_n_0\
);
\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[7]_i_3_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \state_reg[1]\(0),
Q => \^q\(0),
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[4]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[5]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[6]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[7]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[0]_rep\
);
\m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \m_payload_i_reg[46]\(7),
O => \m_axi_awaddr[11]\
);
\m_axi_awaddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => \m_payload_i_reg[46]\(7),
I3 => \m_payload_i_reg[46]\(4),
O => \m_axi_awaddr[5]\
);
\next_pending_r_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => next_pending_r_i_5_n_0,
O => \^axlen_cnt_reg[2]_0\
);
next_pending_r_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[1]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
O => next_pending_r_i_5_n_0
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => incr_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
port (
incr_next_pending : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
\axlen_cnt_reg[0]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\m_axi_araddr[11]\ : out STD_LOGIC;
\m_axi_araddr[5]\ : out STD_LOGIC;
\m_axi_araddr[3]\ : out STD_LOGIC;
\m_axi_araddr[2]\ : out STD_LOGIC;
\m_axi_araddr[1]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 10 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_17_b2s_incr_cmd";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
signal \axaddr_incr[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \axaddr_incr_reg[11]_i_4__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[0]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \next_pending_r_i_2__0_n_0\ : STD_LOGIC;
signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_4\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \m_axi_araddr[11]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \m_axi_araddr[1]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \next_pending_r_i_2__0\ : label is "soft_lutpair7";
begin
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(7 downto 0) <= \^axaddr_incr_reg[11]_0\(7 downto 0);
\axlen_cnt_reg[0]_0\ <= \^axlen_cnt_reg[0]_0\;
incr_next_pending <= \^incr_next_pending\;
\axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_7\,
O => \axaddr_incr[0]_i_1__0_n_0\
);
\axaddr_incr[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_5\,
O => \axaddr_incr[10]_i_1__0_n_0\
);
\axaddr_incr[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_4\,
O => \axaddr_incr[11]_i_2__0_n_0\
);
\axaddr_incr[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_6\,
O => \axaddr_incr[1]_i_1__0_n_0\
);
\axaddr_incr[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_5\,
O => \axaddr_incr[2]_i_1__0_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"0201020202020202"
)
port map (
I0 => Q(0),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[3]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[2]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[1]\,
I1 => Q(6),
I2 => Q(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_4\,
O => \axaddr_incr[3]_i_1__0_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA6AAAAAAAAAAAAA"
)
port map (
I0 => Q(3),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"2A262A2A2A2A2A2A"
)
port map (
I0 => Q(2),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"0A060A0A0A0A0A0A"
)
port map (
I0 => Q(1),
I1 => Q(5),
I2 => Q(6),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(1)
);
\axaddr_incr[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_7\,
O => \axaddr_incr[4]_i_1__0_n_0\
);
\axaddr_incr[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_6\,
O => \axaddr_incr[5]_i_1__0_n_0\
);
\axaddr_incr[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_5\,
O => \axaddr_incr[6]_i_1__0_n_0\
);
\axaddr_incr[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_4\,
O => \axaddr_incr[7]_i_1__0_n_0\
);
\axaddr_incr[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_7\,
O => \axaddr_incr[8]_i_1__0_n_0\
);
\axaddr_incr[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_6\,
O => \axaddr_incr[9]_i_1__0_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[0]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[10]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[11]_i_2__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[11]_i_4__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4__0_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4__0_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4__0_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4__0_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(7 downto 4)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[1]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[1]\,
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[2]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[2]\,
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[3]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[3]\,
R => '0'
);
\axaddr_incr_reg[3]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_incr_reg_n_0_[3]\,
DI(2) => \axaddr_incr_reg_n_0_[2]\,
DI(1) => \axaddr_incr_reg_n_0_[1]\,
DI(0) => \^axaddr_incr_reg[11]_0\(0),
O(3) => \axaddr_incr_reg[3]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3__0_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[4]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[5]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[6]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[7]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[7]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3__0_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(3 downto 2),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(1)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[8]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[9]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"20FF2020"
)
port map (
I0 => si_rs_arvalid,
I1 => \state_reg[0]_rep\,
I2 => Q(8),
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[0]_i_1__2_n_0\
);
\axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => Q(9),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[1]_i_1__1_n_0\
);
\axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[0]_0\,
I4 => E(0),
I5 => Q(10),
O => \axlen_cnt[2]_i_1__1_n_0\
);
\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => \next_pending_r_i_4__0_n_0\,
O => \^axlen_cnt_reg[0]_0\
);
\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[4]_i_1__0_n_0\
);
\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[5]_i_1__0_n_0\
);
\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[6]_i_1__0_n_0\
);
\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[7]_i_2__0_n_0\
);
\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
O => \axlen_cnt[7]_i_3__0_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[4]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[5]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[6]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[7]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[1]\
);
\m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => Q(7),
O => \m_axi_araddr[11]\
);
\m_axi_araddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[1]\,
I2 => Q(7),
I3 => Q(1),
O => \m_axi_araddr[1]\
);
\m_axi_araddr[2]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[2]\,
I2 => Q(7),
I3 => Q(2),
O => \m_axi_araddr[2]\
);
\m_axi_araddr[3]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[3]\,
I2 => Q(7),
I3 => Q(3),
O => \m_axi_araddr[3]\
);
\m_axi_araddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => Q(7),
I3 => Q(4),
O => \m_axi_araddr[5]\
);
\next_pending_r_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF505C"
)
port map (
I0 => \next_pending_r_i_2__0_n_0\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => E(0),
I4 => \m_payload_i_reg[47]_0\,
O => \^incr_next_pending\
);
\next_pending_r_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0002"
)
port map (
I0 => \next_pending_r_i_4__0_n_0\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[7]\,
O => \next_pending_r_i_2__0_n_0\
);
\next_pending_r_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[4]\,
O => \next_pending_r_i_4__0_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^incr_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_incr_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
\m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_0\ : in STD_LOGIC;
s_axburst_eq1_reg : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg_1 : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm : entity is "axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_payload_i_reg[0]\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \next_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__2\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of m_axi_arvalid_INST_0 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \s_ready_i_i_1__0\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \state[1]_i_1\ : label is "soft_lutpair1";
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \state_reg[0]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[0]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[1]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute FSM_ENCODED_STATES of \state_reg[1]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4__0\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6__0\ : label is "soft_lutpair4";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\;
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
\axaddr_incr[11]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AAEA"
)
port map (
I0 => sel_first,
I1 => m_axi_arready,
I2 => \^m_payload_i_reg[0]_0\,
I3 => \^m_payload_i_reg[0]\,
O => \axaddr_incr_reg[0]\(0)
);
\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(0),
I2 => \^m_payload_i_reg[0]_0\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"00CA"
)
port map (
I0 => si_rs_arvalid,
I1 => m_axi_arready,
I2 => \^q\(0),
I3 => \^q\(1),
O => E(0)
);
\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00005140"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => m_axi_arready,
I3 => si_rs_arvalid,
I4 => \axlen_cnt_reg[7]_0\,
O => \axlen_cnt_reg[7]\
);
m_axi_arvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
O => m_axi_arvalid
);
\m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"D5"
)
port map (
I0 => si_rs_arvalid,
I1 => \^m_payload_i_reg[0]\,
I2 => \^m_payload_i_reg[0]_0\,
O => \m_payload_i_reg[0]_1\(0)
);
\m_valid_i_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF70FFFF"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => m_valid_i0
);
r_push_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => \^m_payload_i_reg[0]_0\,
I2 => m_axi_arready,
O => r_push_r_reg
);
\s_ready_i_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8FFF8F8F"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => s_ready_i0
);
\sel_first_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first_reg_1,
I2 => \^q\(1),
I3 => si_rs_arvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first,
I2 => \^m_payload_i_reg[0]\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]_0\,
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FCFFFFFFCCCECCCE"
)
port map (
I0 => si_rs_arvalid,
I1 => areset_d1,
I2 => \^m_payload_i_reg[0]\,
I3 => \^m_payload_i_reg[0]_0\,
I4 => m_axi_arready,
I5 => sel_first_reg_2,
O => sel_first_i
);
\state[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"003030303E3E3E3E"
)
port map (
I0 => si_rs_arvalid,
I1 => \^q\(1),
I2 => \^q\(0),
I3 => m_axi_arready,
I4 => s_axburst_eq1_reg,
I5 => \cnt_read_reg[2]_rep__0\,
O => \next_state__0\(0)
);
\state[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00AAB000"
)
port map (
I0 => \cnt_read_reg[2]_rep__0\,
I1 => s_axburst_eq1_reg,
I2 => m_axi_arready,
I3 => \^m_payload_i_reg[0]_0\,
I4 => \^m_payload_i_reg[0]\,
O => \next_state__0\(1)
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^m_payload_i_reg[0]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^m_payload_i_reg[0]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => si_rs_arvalid,
I2 => \^m_payload_i_reg[0]_0\,
O => \wrap_boundary_axaddr_r_reg[11]\(0)
);
\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
port (
\cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : out STD_LOGIC;
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
bresp_push : out STD_LOGIC;
bvalid_i_reg : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
b_push : in STD_LOGIC;
shandshake_r : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
\bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
mhandshake_r : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
bvalid_i_reg_0 : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_4_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_5_n_0\ : STD_LOGIC;
signal \^bresp_push\ : STD_LOGIC;
signal bvalid_i_i_2_n_0 : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[1]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair121";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair121";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 ";
attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 ";
attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 ";
attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 ";
attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 ";
attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 ";
attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 ";
attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 ";
attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 ";
attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 ";
attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 ";
attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 ";
attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 ";
attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 ";
begin
bresp_push <= \^bresp_push\;
\cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\;
\cnt_read_reg[1]_rep__0_0\ <= \^cnt_read_reg[1]_rep__0_0\;
\bresp_cnt[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABAA"
)
port map (
I0 => areset_d1,
I1 => \bresp_cnt[7]_i_3_n_0\,
I2 => \bresp_cnt[7]_i_4_n_0\,
I3 => \bresp_cnt[7]_i_5_n_0\,
O => SR(0)
);
\bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEFEFFFFFFFFEEFE"
)
port map (
I0 => \bresp_cnt_reg[7]\(7),
I1 => \bresp_cnt_reg[7]\(6),
I2 => \bresp_cnt_reg[7]\(0),
I3 => \memory_reg[3][0]_srl4_n_0\,
I4 => \bresp_cnt_reg[7]\(3),
I5 => \memory_reg[3][3]_srl4_n_0\,
O => \bresp_cnt[7]_i_3_n_0\
);
\bresp_cnt[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFF6FFFF"
)
port map (
I0 => \bresp_cnt_reg[7]\(1),
I1 => \memory_reg[3][1]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(4),
I3 => \bresp_cnt_reg[7]\(5),
I4 => mhandshake_r,
O => \bresp_cnt[7]_i_4_n_0\
);
\bresp_cnt[7]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000D00DD00DD00D"
)
port map (
I0 => \memory_reg[3][0]_srl4_n_0\,
I1 => \bresp_cnt_reg[7]\(0),
I2 => \bresp_cnt_reg[7]\(2),
I3 => \memory_reg[3][2]_srl4_n_0\,
I4 => \^cnt_read_reg[1]_rep__0_0\,
I5 => \^cnt_read_reg[0]_rep__0_0\,
O => \bresp_cnt[7]_i_5_n_0\
);
bvalid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"0444"
)
port map (
I0 => areset_d1,
I1 => bvalid_i_i_2_n_0,
I2 => si_rs_bready,
I3 => bvalid_i_reg_0,
O => bvalid_i_reg
);
bvalid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00070707"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
I2 => shandshake_r,
I3 => Q(1),
I4 => Q(0),
I5 => bvalid_i_reg_0,
O => bvalid_i_i_2_n_0
);
\cnt_read[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^bresp_push\,
I1 => shandshake_r,
I2 => Q(0),
O => D(0)
);
\cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
O => \cnt_read[0]_i_1__2_n_0\
);
\cnt_read[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
I3 => \^cnt_read_reg[1]_rep__0_0\,
O => \cnt_read[1]_i_1_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \^cnt_read_reg[0]_rep__0_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \^cnt_read_reg[1]_rep__0_0\,
S => areset_d1
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(0),
Q => \memory_reg[3][0]_srl4_n_0\
);
\memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000041004141"
)
port map (
I0 => \memory_reg[3][0]_srl4_i_2__0_n_0\,
I1 => \memory_reg[3][2]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(2),
I3 => \bresp_cnt_reg[7]\(0),
I4 => \memory_reg[3][0]_srl4_n_0\,
I5 => \memory_reg[3][0]_srl4_i_3_n_0\,
O => \^bresp_push\
);
\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
O => \memory_reg[3][0]_srl4_i_2__0_n_0\
);
\memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFBFFFFFFFFFFFB"
)
port map (
I0 => \bresp_cnt[7]_i_3_n_0\,
I1 => mhandshake_r,
I2 => \bresp_cnt_reg[7]\(5),
I3 => \bresp_cnt_reg[7]\(4),
I4 => \memory_reg[3][1]_srl4_n_0\,
I5 => \bresp_cnt_reg[7]\(1),
O => \memory_reg[3][0]_srl4_i_3_n_0\
);
\memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(6),
Q => \out\(2)
);
\memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(7),
Q => \out\(3)
);
\memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(8),
Q => \out\(4)
);
\memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(9),
Q => \out\(5)
);
\memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(10),
Q => \out\(6)
);
\memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(11),
Q => \out\(7)
);
\memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(12),
Q => \out\(8)
);
\memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(13),
Q => \out\(9)
);
\memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(14),
Q => \out\(10)
);
\memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(15),
Q => \out\(11)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(1),
Q => \memory_reg[3][1]_srl4_n_0\
);
\memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(2),
Q => \memory_reg[3][2]_srl4_n_0\
);
\memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(3),
Q => \memory_reg[3][3]_srl4_n_0\
);
\memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(4),
Q => \out\(0)
);
\memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(5),
Q => \out\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
port (
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
mhandshake : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
shandshake_r : in STD_LOGIC;
sel : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
mhandshake_r : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\;
architecture STRUCTURE of \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair122";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair122";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 ";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A69A"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => shandshake_r,
I3 => sel,
O => \cnt_read[1]_i_1__0_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \^q\(0),
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__0_n_0\,
Q => \^q\(1),
S => areset_d1
);
m_axi_bready_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => mhandshake_r,
O => m_axi_bready
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[1]\(0)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[1]\(1)
);
mhandshake_r_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"2000"
)
port map (
I0 => m_axi_bvalid,
I1 => mhandshake_r,
I2 => \^q\(0),
I3 => \^q\(1),
O => mhandshake
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
port (
\cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_2\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
s_ready_i_reg : in STD_LOGIC;
\cnt_read_reg[4]_rep__0_0\ : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\;
architecture STRUCTURE of \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_5_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_2\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal wr_en0 : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair18";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__3\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__3\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__3\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]";
attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair19";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 ";
attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 ";
attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 ";
attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 ";
attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 ";
attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 ";
attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 ";
attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 ";
attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 ";
attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 ";
attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 ";
attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 ";
attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 ";
attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 ";
attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 ";
attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 ";
attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 ";
attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 ";
attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 ";
attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair19";
begin
\cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\;
\cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\;
\cnt_read_reg[4]_rep__2_2\ <= \^cnt_read_reg[4]_rep__2_2\;
\cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => s_ready_i_reg,
I2 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[0]_i_1__1_n_0\
);
\cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9AA6"
)
port map (
I0 => \cnt_read_reg[1]_rep__2_n_0\,
I1 => \cnt_read_reg[0]_rep__2_n_0\,
I2 => s_ready_i_reg,
I3 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[1]_i_1__2_n_0\
);
\cnt_read[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"A9AAAA6A"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[0]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
O => \cnt_read[2]_i_1_n_0\
);
\cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAA6AA9AAAAAA"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_0\,
I1 => \cnt_read_reg[2]_rep__2_n_0\,
I2 => \cnt_read_reg[1]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[3]_i_1__0_n_0\
);
\cnt_read[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"99AA99AA99AA55A6"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_1\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_2\,
I3 => \cnt_read[4]_i_3__0_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[4]_i_1_n_0\
);
\cnt_read[4]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[2]_rep__2_n_0\,
O => \^cnt_read_reg[4]_rep__2_2\
);
\cnt_read[4]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000100000"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read[4]_i_5_n_0\,
I3 => \cnt_read_reg[4]_rep__0_0\,
I4 => si_rs_rready,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[4]_i_3__0_n_0\
);
\cnt_read[4]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6000E000FFFFFFFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
I5 => m_axi_rvalid,
O => \cnt_read[4]_i_5_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__3\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__3_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \^cnt_read_reg[4]_rep__2_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \^cnt_read_reg[4]_rep__2_1\,
S => areset_d1
);
m_axi_rready_INST_0: unisim.vcomponents.LUT5
generic map(
INIT => X"9FFF1FFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
O => m_axi_rready
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(0),
Q => \out\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8AAA0AAA0AAAAAAA"
)
port map (
I0 => m_axi_rvalid,
I1 => \cnt_read_reg[0]_rep__3_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_0\,
I3 => \^cnt_read_reg[4]_rep__2_1\,
I4 => \cnt_read_reg[1]_rep__2_n_0\,
I5 => \cnt_read_reg[2]_rep__2_n_0\,
O => wr_en0
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(10),
Q => \out\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(11),
Q => \out\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(12),
Q => \out\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(13),
Q => \out\(13),
Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(14),
Q => \out\(14),
Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(15),
Q => \out\(15),
Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(16),
Q => \out\(16),
Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(17),
Q => \out\(17),
Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(18),
Q => \out\(18),
Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(19),
Q => \out\(19),
Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(1),
Q => \out\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(20),
Q => \out\(20),
Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(21),
Q => \out\(21),
Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(22),
Q => \out\(22),
Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(23),
Q => \out\(23),
Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(24),
Q => \out\(24),
Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(25),
Q => \out\(25),
Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(26),
Q => \out\(26),
Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(27),
Q => \out\(27),
Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(28),
Q => \out\(28),
Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(29),
Q => \out\(29),
Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(2),
Q => \out\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(30),
Q => \out\(30),
Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(31),
Q => \out\(31),
Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(32),
Q => \out\(32),
Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(33),
Q => \out\(33),
Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(3),
Q => \out\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(4),
Q => \out\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(5),
Q => \out\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(6),
Q => \out\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(7),
Q => \out\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(8),
Q => \out\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(9),
Q => \out\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"40C0C000"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \cnt_read_reg[1]_rep__2_n_0\,
I4 => \cnt_read_reg[2]_rep__2_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
s_ready_i_reg : in STD_LOGIC;
r_push_r : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
\cnt_read_reg[3]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3_0\ : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 12 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\;
architecture STRUCTURE of \gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal m_valid_i_i_3_n_0 : STD_LOGIC;
signal \^m_valid_i_reg\ : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair20";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 ";
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => r_push_r,
I2 => s_ready_i_reg,
O => \cnt_read[0]_i_1__0_n_0\
);
\cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"DB24"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[1]_i_1__1_n_0\
);
\cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9AAAAAA6"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[0]_rep__0_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[2]_i_1__0_n_0\
);
\cnt_read[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF7F0080FEFF0100"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__0_n_0\,
I2 => r_push_r,
I3 => s_ready_i_reg,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[2]_rep__0_n_0\,
O => \cnt_read[3]_i_1_n_0\
);
\cnt_read[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9A999AAA"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read[4]_i_2_n_0\,
I2 => \cnt_read_reg[2]_rep__0_n_0\,
I3 => \cnt_read_reg[3]_rep__0_n_0\,
I4 => \cnt_read[4]_i_3_n_0\,
O => \cnt_read[4]_i_1__0_n_0\
);
\cnt_read[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"2AAAAAAA2AAA2AAA"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[1]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => r_push_r,
I4 => \^m_valid_i_reg\,
I5 => si_rs_rready,
O => \cnt_read[4]_i_2_n_0\
);
\cnt_read[4]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000004"
)
port map (
I0 => r_push_r,
I1 => si_rs_rready,
I2 => \^m_valid_i_reg\,
I3 => \cnt_read_reg[0]_rep__1_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[4]_i_3_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
m_valid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"80808080FF808080"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read_reg[3]_rep__0_n_0\,
I2 => m_valid_i_i_3_n_0,
I3 => \cnt_read_reg[3]_rep__2\,
I4 => \cnt_read_reg[4]_rep__2\,
I5 => \cnt_read_reg[0]_rep__3\,
O => \^m_valid_i_reg\
);
m_valid_i_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__1_n_0\,
I2 => \cnt_read_reg[1]_rep__0_n_0\,
O => m_valid_i_i_3_n_0
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[46]\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(10),
Q => \skid_buffer_reg[46]\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(11),
Q => \skid_buffer_reg[46]\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(12),
Q => \skid_buffer_reg[46]\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[46]\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(2),
Q => \skid_buffer_reg[46]\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(3),
Q => \skid_buffer_reg[46]\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(4),
Q => \skid_buffer_reg[46]\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(5),
Q => \skid_buffer_reg[46]\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(6),
Q => \skid_buffer_reg[46]\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(7),
Q => \skid_buffer_reg[46]\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(8),
Q => \skid_buffer_reg[46]\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(9),
Q => \skid_buffer_reg[46]\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"BFEEAAAAAAAAAAAA"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_0\,
I1 => \cnt_read_reg[2]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[4]_rep__0_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\axlen_cnt_reg[7]_1\ : out STD_LOGIC;
\next\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axburst_eq0_reg : out STD_LOGIC;
incr_next_pending : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
s_axburst_eq1_reg_0 : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
si_rs_awvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
wrap_next_pending : in STD_LOGIC;
next_pending_r_reg : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
sel_first : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first_0 : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm : entity is "axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^axlen_cnt_reg[7]\ : STD_LOGIC;
signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC;
signal \^b_push\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \^next\ : STD_LOGIC;
signal \^sel_first_i\ : STD_LOGIC;
signal \state[0]_i_1_n_0\ : STD_LOGIC;
signal \state[0]_i_2_n_0\ : STD_LOGIC;
signal \state[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__0\ : label is "soft_lutpair109";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair109";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4\ : label is "soft_lutpair111";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6\ : label is "soft_lutpair111";
begin
E(0) <= \^e\(0);
Q(1 downto 0) <= \^q\(1 downto 0);
\axlen_cnt_reg[7]\ <= \^axlen_cnt_reg[7]\;
\axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\;
b_push <= \^b_push\;
incr_next_pending <= \^incr_next_pending\;
\next\ <= \^next\;
sel_first_i <= \^sel_first_i\;
\axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(2),
I2 => \^axlen_cnt_reg[7]_0\,
I3 => si_rs_awvalid,
I4 => \^axlen_cnt_reg[7]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0400FFFF04000400"
)
port map (
I0 => \^q\(1),
I1 => si_rs_awvalid,
I2 => \^q\(0),
I3 => \m_payload_i_reg[46]\(1),
I4 => \axlen_cnt_reg[0]_0\(0),
I5 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[0]\(0)
);
\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FF04"
)
port map (
I0 => \^q\(0),
I1 => si_rs_awvalid,
I2 => \^q\(1),
I3 => \^next\,
O => \axaddr_wrap_reg[11]\(0)
);
\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]\,
I3 => \^next\,
I4 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[7]_1\
);
m_axi_awvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
O => m_axi_awvalid
);
\m_payload_i[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^b_push\,
I1 => si_rs_awvalid,
O => \m_payload_i_reg[0]\(0)
);
\memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"88008888A800A8A8"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
I2 => m_axi_awready,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \cnt_read_reg[1]_rep__0\,
I5 => s_axburst_eq1_reg_0,
O => \^b_push\
);
next_pending_r_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFF404"
)
port map (
I0 => \^e\(0),
I1 => next_pending_r_reg,
I2 => \^next\,
I3 => \axlen_cnt_reg[7]_2\,
I4 => \m_payload_i_reg[47]\,
O => \^incr_next_pending\
);
next_pending_r_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"F3F3FFFF51000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \^next\
);
s_axburst_eq0_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => \^incr_next_pending\,
I1 => \^sel_first_i\,
I2 => \m_payload_i_reg[46]\(0),
I3 => wrap_next_pending,
O => s_axburst_eq0_reg
);
s_axburst_eq1_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FE02"
)
port map (
I0 => \^incr_next_pending\,
I1 => \m_payload_i_reg[46]\(0),
I2 => \^sel_first_i\,
I3 => wrap_next_pending,
O => s_axburst_eq1_reg
);
sel_first_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first_0,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF04FFFFFF04FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
I3 => areset_d1,
I4 => \^next\,
I5 => sel_first_reg_1,
O => \^sel_first_i\
);
\state[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"BBBA"
)
port map (
I0 => \state[0]_i_2_n_0\,
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
O => \state[0]_i_1_n_0\
);
\state[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00F000F055750000"
)
port map (
I0 => m_axi_awready,
I1 => s_axburst_eq1_reg_0,
I2 => \cnt_read_reg[1]_rep__0\,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \state[0]_i_2_n_0\
);
\state[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0CAE0000000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]\,
I5 => \^axlen_cnt_reg[7]_0\,
O => \state[1]_i_1__0_n_0\
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^axlen_cnt_reg[7]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^axlen_cnt_reg[7]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
O => \^e\(0)
);
\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
port (
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 10 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd : entity is "axi_protocol_converter_v2_1_17_b2s_wrap_cmd";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 );
signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__1_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 1 to 1 );
signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^wrap_next_pending\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
wrap_next_pending <= \^wrap_next_pending\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(0),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(0),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(0),
O => \axaddr_wrap[0]_i_1_n_0\
);
\axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(10),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(10),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(10),
O => \axaddr_wrap[10]_i_1_n_0\
);
\axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(11),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(11),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(11),
O => \axaddr_wrap[11]_i_1_n_0\
);
\axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4_n_0\,
I1 => wrap_cnt_r(3),
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2_n_0\
);
\axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => wrap_cnt_r(0),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => wrap_cnt_r(1),
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => wrap_cnt_r(2),
O => \axaddr_wrap[11]_i_4_n_0\
);
\axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(1),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(1),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(1),
O => \axaddr_wrap[1]_i_1_n_0\
);
\axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(2),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(2),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(2),
O => \axaddr_wrap[2]_i_1_n_0\
);
\axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(3),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(3),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(3),
O => \axaddr_wrap[3]_i_1_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => axaddr_wrap(3),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(2),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(1),
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => axaddr_wrap(0),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(4),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(4),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(4),
O => \axaddr_wrap[4]_i_1_n_0\
);
\axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(5),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(5),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(5),
O => \axaddr_wrap[5]_i_1_n_0\
);
\axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(6),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(6),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(6),
O => \axaddr_wrap[6]_i_1_n_0\
);
\axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(7),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(7),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(7),
O => \axaddr_wrap[7]_i_1_n_0\
);
\axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(8),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(8),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(8),
O => \axaddr_wrap[8]_i_1_n_0\
);
\axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(9),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(9),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(9),
O => \axaddr_wrap[9]_i_1_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[0]_i_1_n_0\,
Q => axaddr_wrap(0),
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[10]_i_1_n_0\,
Q => axaddr_wrap(10),
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[11]_i_1_n_0\,
Q => axaddr_wrap(11),
R => '0'
);
\axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(11 downto 8),
S(3 downto 0) => axaddr_wrap(11 downto 8)
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[1]_i_1_n_0\,
Q => axaddr_wrap(1),
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[2]_i_1_n_0\,
Q => axaddr_wrap(2),
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[3]_i_1_n_0\,
Q => axaddr_wrap(3),
R => '0'
);
\axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => axaddr_wrap(3 downto 0),
O(3 downto 0) => axaddr_wrap0(3 downto 0),
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[4]_i_1_n_0\,
Q => axaddr_wrap(4),
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[5]_i_1_n_0\,
Q => axaddr_wrap(5),
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[6]_i_1_n_0\,
Q => axaddr_wrap(6),
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[7]_i_1_n_0\,
Q => axaddr_wrap(7),
R => '0'
);
\axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(7 downto 4),
S(3 downto 0) => axaddr_wrap(7 downto 4)
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[8]_i_1_n_0\,
Q => axaddr_wrap(8),
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[9]_i_1_n_0\,
Q => axaddr_wrap(9),
R => '0'
);
\axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => \m_payload_i_reg[47]\(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1_n_0\
);
\axlen_cnt[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => \m_payload_i_reg[47]\(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1_n_0\
);
\axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => \m_payload_i_reg[47]\(17),
O => \axlen_cnt[2]_i_1__0_n_0\
);
\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => \m_payload_i_reg[47]\(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[0]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(0),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_awaddr(0)
);
\m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(10),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(9),
O => m_axi_awaddr(10)
);
\m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(11),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(10),
O => m_axi_awaddr(11)
);
\m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(1),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(1),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_awaddr(1)
);
\m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(2),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(2),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_awaddr(2)
);
\m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(3),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(3),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_awaddr(3)
);
\m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(4),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_awaddr(4)
);
\m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \m_payload_i_reg[47]\(5),
I1 => \^sel_first_reg_0\,
I2 => axaddr_wrap(5),
I3 => \m_payload_i_reg[47]\(14),
I4 => sel_first_reg_3,
O => m_axi_awaddr(5)
);
\m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(6),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_awaddr(6)
);
\m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(7),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_awaddr(7)
);
\m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(8),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_awaddr(8)
);
\m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(9),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(8),
O => m_axi_awaddr(9)
);
\next_pending_r_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]_0\,
I1 => next_pending_r_reg_n_0,
I2 => \next\,
I3 => \next_pending_r_i_2__1_n_0\,
I4 => E(0),
O => \^wrap_next_pending\
);
\next_pending_r_i_2__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_awvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__1_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^wrap_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => wrap_boundary_axaddr_r(0),
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(10),
Q => wrap_boundary_axaddr_r(10),
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(11),
Q => wrap_boundary_axaddr_r(11),
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => wrap_boundary_axaddr_r(1),
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => wrap_boundary_axaddr_r(2),
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => wrap_boundary_axaddr_r(3),
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => wrap_boundary_axaddr_r(4),
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => wrap_boundary_axaddr_r(5),
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => wrap_boundary_axaddr_r(6),
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(7),
Q => wrap_boundary_axaddr_r(7),
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(8),
Q => wrap_boundary_axaddr_r(8),
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(9),
Q => wrap_boundary_axaddr_r(9),
R => '0'
);
\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => wrap_cnt(1)
);
\wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => wrap_cnt_r(0),
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cnt(1),
Q => wrap_cnt_r(1),
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => wrap_cnt_r(2),
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => wrap_cnt_r(3),
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
port (
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axburst_eq0_reg : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first_i : in STD_LOGIC;
incr_next_pending : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
sel_first_reg_4 : in STD_LOGIC;
sel_first_reg_5 : in STD_LOGIC;
sel_first_reg_6 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_17_b2s_wrap_cmd";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__2_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_cnt_r[1]_i_1__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC;
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair16";
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(0),
O => \axaddr_wrap[0]_i_1__0_n_0\
);
\axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(10),
O => \axaddr_wrap[10]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(11),
O => \axaddr_wrap[11]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4__0_n_0\,
I1 => \wrap_cnt_r_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2__0_n_0\
);
\axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => \wrap_cnt_r_reg_n_0_[0]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \wrap_cnt_r_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \wrap_cnt_r_reg_n_0_[1]\,
O => \axaddr_wrap[11]_i_4__0_n_0\
);
\axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(1),
O => \axaddr_wrap[1]_i_1__0_n_0\
);
\axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(2),
O => \axaddr_wrap[2]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(3),
O => \axaddr_wrap[3]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[3]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[2]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[1]\,
I1 => Q(13),
I2 => Q(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[0]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(4),
O => \axaddr_wrap[4]_i_1__0_n_0\
);
\axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(5),
O => \axaddr_wrap[5]_i_1__0_n_0\
);
\axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(6),
O => \axaddr_wrap[6]_i_1__0_n_0\
);
\axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(7),
O => \axaddr_wrap[7]_i_1__0_n_0\
);
\axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(8),
O => \axaddr_wrap[8]_i_1__0_n_0\
);
\axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(9),
O => \axaddr_wrap[9]_i_1__0_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[0]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[0]\,
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[10]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[10]\,
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[11]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[11]\,
R => '0'
);
\axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\,
O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\,
O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\,
O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[11]\,
S(2) => \axaddr_wrap_reg_n_0_[10]\,
S(1) => \axaddr_wrap_reg_n_0_[9]\,
S(0) => \axaddr_wrap_reg_n_0_[8]\
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[1]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[1]\,
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[2]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[2]\,
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[3]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[3]\,
R => '0'
);
\axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_wrap_reg_n_0_[3]\,
DI(2) => \axaddr_wrap_reg_n_0_[2]\,
DI(1) => \axaddr_wrap_reg_n_0_[1]\,
DI(0) => \axaddr_wrap_reg_n_0_[0]\,
O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\,
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[4]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[4]\,
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[5]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[5]\,
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[6]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[6]\,
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[7]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[7]\,
R => '0'
);
\axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[7]\,
S(2) => \axaddr_wrap_reg_n_0_[6]\,
S(1) => \axaddr_wrap_reg_n_0_[5]\,
S(0) => \axaddr_wrap_reg_n_0_[4]\
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[8]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[8]\,
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[9]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[9]\,
R => '0'
);
\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => Q(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1__1_n_0\
);
\axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => Q(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1__2_n_0\
);
\axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => Q(17),
O => \axlen_cnt[2]_i_1__2_n_0\
);
\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => Q(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1__1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[0]\,
I2 => Q(14),
I3 => Q(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_araddr(0)
);
\m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[10]\,
I2 => Q(14),
I3 => Q(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_araddr(10)
);
\m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[11]\,
I2 => Q(14),
I3 => Q(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_araddr(11)
);
\m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(1),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[1]\,
I3 => Q(14),
I4 => sel_first_reg_6,
O => m_axi_araddr(1)
);
\m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(2),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[2]\,
I3 => Q(14),
I4 => sel_first_reg_5,
O => m_axi_araddr(2)
);
\m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(3),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[3]\,
I3 => Q(14),
I4 => sel_first_reg_4,
O => m_axi_araddr(3)
);
\m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[4]\,
I2 => Q(14),
I3 => Q(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_araddr(4)
);
\m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(5),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[5]\,
I3 => Q(14),
I4 => sel_first_reg_3,
O => m_axi_araddr(5)
);
\m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[6]\,
I2 => Q(14),
I3 => Q(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_araddr(6)
);
\m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[7]\,
I2 => Q(14),
I3 => Q(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_araddr(7)
);
\m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[8]\,
I2 => Q(14),
I3 => Q(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_araddr(8)
);
\m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[9]\,
I2 => Q(14),
I3 => Q(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_araddr(9)
);
\next_pending_r_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => \next_pending_r_i_2__2_n_0\,
I4 => E(0),
O => wrap_next_pending
);
\next_pending_r_i_2__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_arvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__2_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_next_pending,
Q => next_pending_r_reg_n_0,
R => '0'
);
\s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq0_reg
);
\s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABA8"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq1_reg
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(10),
Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(11),
Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(7),
Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(8),
Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(9),
Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
R => '0'
);
\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => \wrap_cnt_r[1]_i_1__0_n_0\
);
\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => \wrap_cnt_r_reg_n_0_[0]\,
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_cnt_r[1]_i_1__0_n_0\,
Q => \wrap_cnt_r_reg_n_0_[1]\,
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => \wrap_cnt_r_reg_n_0_[2]\,
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => \wrap_cnt_r_reg_n_0_[3]\,
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice is
port (
s_axi_arready : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[0]\ : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
aclk : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
\aresetn_d_reg[0]_0\ : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \axaddr_incr[3]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \^axaddr_offset_0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[52]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_arready\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5__0_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3__0_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair21";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset_0(1 downto 0) <= \^axaddr_offset_0\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axaddr_offset_r_reg[3]\ <= \^axaddr_offset_r_reg[3]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_arready <= \^s_axi_arready\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \aresetn_d_reg[0]_0\,
Q => \^m_valid_i_reg_0\,
R => '0'
);
\axaddr_incr[3]_i_4__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4__0_n_0\
);
\axaddr_incr[3]_i_5__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5__0_n_0\
);
\axaddr_incr[3]_i_6__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6__0_n_0\
);
\axaddr_incr_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => O(3 downto 0),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4__0_n_0\,
DI(1) => \axaddr_incr[3]_i_5__0_n_0\,
DI(0) => \axaddr_incr[3]_i_6__0_n_0\,
O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0)
);
\axaddr_incr_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2__0_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2__0_n_0\
);
\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2__0_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(1),
O => \^axaddr_offset_0\(0)
);
\axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2__0_n_0\
);
\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2__0_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^axaddr_offset_0\(1)
);
\axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_3__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__0_n_0\
);
\m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__0_n_0\
);
\m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__0_n_0\
);
\m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(12),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__0_n_0\
);
\m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(13),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__1_n_0\
);
\m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(14),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__0_n_0\
);
\m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(15),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__0_n_0\
);
\m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(16),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__0_n_0\
);
\m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(17),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__0_n_0\
);
\m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(18),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__0_n_0\
);
\m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(19),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__0_n_0\
);
\m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__0_n_0\
);
\m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(20),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__0_n_0\
);
\m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(21),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__0_n_0\
);
\m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(22),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__0_n_0\
);
\m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(23),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__0_n_0\
);
\m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(24),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__0_n_0\
);
\m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(25),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__0_n_0\
);
\m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(26),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__0_n_0\
);
\m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(27),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__0_n_0\
);
\m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(28),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__0_n_0\
);
\m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(29),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__0_n_0\
);
\m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__0_n_0\
);
\m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(30),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__0_n_0\
);
\m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(31),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_2__0_n_0\
);
\m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__0_n_0\
);
\m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__0_n_0\
);
\m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__0_n_0\
);
\m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__0_n_0\
);
\m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__0_n_0\
);
\m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__0_n_0\
);
\m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__0_n_0\
);
\m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__0_n_0\
);
\m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__0_n_0\
);
\m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__0_n_0\
);
\m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_1__1_n_0\
);
\m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => \m_payload_i[47]_i_1__0_n_0\
);
\m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__0_n_0\
);
\m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => \m_payload_i[50]_i_1__0_n_0\
);
\m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => \m_payload_i[51]_i_1__0_n_0\
);
\m_payload_i[52]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => \m_payload_i[52]_i_1__0_n_0\
);
\m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => \m_payload_i[53]_i_1__0_n_0\
);
\m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => \m_payload_i[54]_i_1__0_n_0\
);
\m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => \m_payload_i[55]_i_1__0_n_0\
);
\m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => \m_payload_i[56]_i_1__0_n_0\
);
\m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => \m_payload_i[57]_i_1__0_n_0\
);
\m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => \m_payload_i[58]_i_1__0_n_0\
);
\m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => \m_payload_i[59]_i_1__0_n_0\
);
\m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__0_n_0\
);
\m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => \m_payload_i[60]_i_1__0_n_0\
);
\m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => \m_payload_i[61]_i_1__0_n_0\
);
\m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__0_n_0\
);
\m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__0_n_0\
);
\m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__0_n_0\
);
\m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__0_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[0]_i_1__0_n_0\,
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[10]_i_1__0_n_0\,
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[11]_i_1__0_n_0\,
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[12]_i_1__0_n_0\,
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[13]_i_1__1_n_0\,
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[14]_i_1__0_n_0\,
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[15]_i_1__0_n_0\,
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[16]_i_1__0_n_0\,
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[17]_i_1__0_n_0\,
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[18]_i_1__0_n_0\,
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[19]_i_1__0_n_0\,
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[1]_i_1__0_n_0\,
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[20]_i_1__0_n_0\,
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[21]_i_1__0_n_0\,
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[22]_i_1__0_n_0\,
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[23]_i_1__0_n_0\,
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[24]_i_1__0_n_0\,
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[25]_i_1__0_n_0\,
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[26]_i_1__0_n_0\,
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[27]_i_1__0_n_0\,
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[28]_i_1__0_n_0\,
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[29]_i_1__0_n_0\,
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[2]_i_1__0_n_0\,
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[30]_i_1__0_n_0\,
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[31]_i_2__0_n_0\,
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[32]_i_1__0_n_0\,
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[33]_i_1__0_n_0\,
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[34]_i_1__0_n_0\,
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[35]_i_1__0_n_0\,
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[36]_i_1__0_n_0\,
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[38]_i_1__0_n_0\,
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[39]_i_1__0_n_0\,
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[3]_i_1__0_n_0\,
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[44]_i_1__0_n_0\,
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[45]_i_1__0_n_0\,
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[46]_i_1__1_n_0\,
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[47]_i_1__0_n_0\,
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[4]_i_1__0_n_0\,
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[50]_i_1__0_n_0\,
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[51]_i_1__0_n_0\,
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[52]_i_1__0_n_0\,
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[53]_i_1__0_n_0\,
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[54]_i_1__0_n_0\,
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[55]_i_1__0_n_0\,
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[56]_i_1__0_n_0\,
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[57]_i_1__0_n_0\,
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[58]_i_1__0_n_0\,
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[59]_i_1__0_n_0\,
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[5]_i_1__0_n_0\,
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[60]_i_1__0_n_0\,
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[61]_i_1__0_n_0\,
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[6]_i_1__0_n_0\,
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[7]_i_1__0_n_0\,
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[8]_i_1__0_n_0\,
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[9]_i_1__0_n_0\,
Q => \^q\(9),
R => '0'
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^axaddr_offset_r_reg[3]\,
R => \^m_valid_i_reg_0\
);
next_pending_r_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_arready\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => \wrap_cnt_r_reg[3]\(0)
);
\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => \wrap_cnt_r_reg[3]\(1)
);
\wrap_cnt_r[3]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_1\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2__0_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5__0_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r[3]_i_5__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5__0_n_0\
);
\wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset_0\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_0\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2__0_n_0\
);
\wrap_second_len_r[3]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3__0_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0 is
port (
s_axi_awready : out STD_LOGIC;
s_ready_i_reg_0 : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[1]_inv\ : out STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[1]_inv_0\ : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0 : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0 is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_incr[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_awready\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^s_ready_i_reg_0\ : STD_LOGIC;
signal skid_buffer : STD_LOGIC_VECTOR ( 61 downto 0 );
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair49";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset(1 downto 0) <= \^axaddr_offset\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_awready <= \^s_axi_awready\;
s_ready_i_reg_0 <= \^s_ready_i_reg_0\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
I1 => aresetn,
O => \aresetn_d_reg[1]_inv\
);
\aresetn_d_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => aresetn,
Q => \aresetn_d_reg_n_0_[0]\,
R => '0'
);
\axaddr_incr[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4_n_0\
);
\axaddr_incr[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5_n_0\
);
\axaddr_incr[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6_n_0\
);
\axaddr_incr_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(11 downto 8),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4_n_0\,
DI(1) => \axaddr_incr[3]_i_5_n_0\,
DI(0) => \axaddr_incr[3]_i_6_n_0\,
O(3 downto 0) => axaddr_incr(3 downto 0),
S(3 downto 0) => S(3 downto 0)
);
\axaddr_incr_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(7 downto 4),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2_n_0\
);
\axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(1),
O => \^axaddr_offset\(0)
);
\axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2_n_0\
);
\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^axaddr_offset\(1)
);
\axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2_n_0\
);
\axlen_cnt[3]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => skid_buffer(0)
);
\m_payload_i[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => skid_buffer(10)
);
\m_payload_i[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => skid_buffer(11)
);
\m_payload_i[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(12),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => skid_buffer(12)
);
\m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(13),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => skid_buffer(13)
);
\m_payload_i[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(14),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => skid_buffer(14)
);
\m_payload_i[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(15),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => skid_buffer(15)
);
\m_payload_i[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(16),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => skid_buffer(16)
);
\m_payload_i[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(17),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => skid_buffer(17)
);
\m_payload_i[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(18),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => skid_buffer(18)
);
\m_payload_i[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(19),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => skid_buffer(19)
);
\m_payload_i[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => skid_buffer(1)
);
\m_payload_i[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(20),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => skid_buffer(20)
);
\m_payload_i[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(21),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => skid_buffer(21)
);
\m_payload_i[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(22),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => skid_buffer(22)
);
\m_payload_i[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(23),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => skid_buffer(23)
);
\m_payload_i[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(24),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => skid_buffer(24)
);
\m_payload_i[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(25),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => skid_buffer(25)
);
\m_payload_i[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(26),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => skid_buffer(26)
);
\m_payload_i[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(27),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => skid_buffer(27)
);
\m_payload_i[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(28),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => skid_buffer(28)
);
\m_payload_i[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(29),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => skid_buffer(29)
);
\m_payload_i[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => skid_buffer(2)
);
\m_payload_i[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(30),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => skid_buffer(30)
);
\m_payload_i[31]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(31),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => skid_buffer(31)
);
\m_payload_i[32]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => skid_buffer(32)
);
\m_payload_i[33]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => skid_buffer(33)
);
\m_payload_i[34]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => skid_buffer(34)
);
\m_payload_i[35]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => skid_buffer(35)
);
\m_payload_i[36]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => skid_buffer(36)
);
\m_payload_i[38]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => skid_buffer(38)
);
\m_payload_i[39]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => skid_buffer(39)
);
\m_payload_i[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => skid_buffer(3)
);
\m_payload_i[44]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => skid_buffer(44)
);
\m_payload_i[45]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => skid_buffer(45)
);
\m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => skid_buffer(46)
);
\m_payload_i[47]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => skid_buffer(47)
);
\m_payload_i[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => skid_buffer(4)
);
\m_payload_i[50]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => skid_buffer(50)
);
\m_payload_i[51]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => skid_buffer(51)
);
\m_payload_i[52]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => skid_buffer(52)
);
\m_payload_i[53]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => skid_buffer(53)
);
\m_payload_i[54]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => skid_buffer(54)
);
\m_payload_i[55]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => skid_buffer(55)
);
\m_payload_i[56]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => skid_buffer(56)
);
\m_payload_i[57]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => skid_buffer(57)
);
\m_payload_i[58]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => skid_buffer(58)
);
\m_payload_i[59]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => skid_buffer(59)
);
\m_payload_i[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => skid_buffer(5)
);
\m_payload_i[60]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => skid_buffer(60)
);
\m_payload_i[61]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => skid_buffer(61)
);
\m_payload_i[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => skid_buffer(6)
);
\m_payload_i[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => skid_buffer(7)
);
\m_payload_i[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => skid_buffer(8)
);
\m_payload_i[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => skid_buffer(9)
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(0),
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(10),
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(11),
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(12),
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(13),
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(14),
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(15),
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(16),
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(17),
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(18),
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(19),
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(1),
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(20),
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(21),
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(22),
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(23),
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(24),
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(25),
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(26),
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(27),
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(28),
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(29),
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(2),
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(30),
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(31),
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(32),
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(33),
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(34),
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(35),
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(36),
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(38),
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(39),
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(3),
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(44),
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(45),
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(46),
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(47),
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(4),
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(50),
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(51),
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(52),
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(53),
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(54),
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(55),
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(56),
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(57),
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(58),
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(59),
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(5),
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(60),
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(61),
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(6),
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(7),
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(8),
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(9),
Q => \^q\(9),
R => '0'
);
m_valid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => b_push,
I1 => \^m_valid_i_reg_0\,
I2 => s_axi_awvalid,
I3 => \^s_axi_awready\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^m_valid_i_reg_0\,
R => \aresetn_d_reg[1]_inv_0\
);
next_pending_r_i_4: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
\s_ready_i_i_1__1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
O => \^s_ready_i_reg_0\
);
s_ready_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_awvalid,
I1 => \^s_axi_awready\,
I2 => b_push,
I3 => \^m_valid_i_reg_0\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_awready\,
R => \^s_ready_i_reg_0\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => D(0)
);
\wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => D(1)
);
\wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5_n_0\
);
\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2_n_0\
);
\wrap_second_len_r[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
port (
s_axi_bvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\;
architecture STRUCTURE of \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_bvalid\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair79";
begin
s_axi_bvalid <= \^s_axi_bvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__1_n_0\
);
\m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__1_n_0\
);
\m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__1_n_0\
);
\m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__1_n_0\
);
\m_payload_i[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
O => p_1_in
);
\m_payload_i[13]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_2_n_0\
);
\m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__1_n_0\
);
\m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__1_n_0\
);
\m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__1_n_0\
);
\m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__1_n_0\
);
\m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__1_n_0\
);
\m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__1_n_0\
);
\m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__1_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_2_n_0\,
Q => \s_axi_bid[11]\(13),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(1),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(2),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(3),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(9),
R => '0'
);
\m_valid_i_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
I2 => si_rs_bvalid,
I3 => \^skid_buffer_reg[0]_0\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^s_axi_bvalid\,
R => \aresetn_d_reg[1]_inv\
);
s_ready_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => si_rs_bvalid,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_bready,
I3 => \^s_axi_bvalid\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(8),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(9),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(10),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(11),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(0),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(1),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(2),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(3),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(4),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(5),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(6),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(7),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
port (
s_axi_rvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\;
architecture STRUCTURE of \gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC;
signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_rvalid\ : STD_LOGIC;
signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[4]_i_4\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_valid_i_i_1__2\ : label is "soft_lutpair84";
begin
s_axi_rvalid <= \^s_axi_rvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\cnt_read[4]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^skid_buffer_reg[0]_0\,
I1 => \cnt_read_reg[4]_rep__0\,
O => \cnt_read_reg[2]_rep__0\
);
\m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__2_n_0\
);
\m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__2_n_0\
);
\m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__2_n_0\
);
\m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__2_n_0\
);
\m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(13),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__2_n_0\
);
\m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(14),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__1_n_0\
);
\m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(15),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__1_n_0\
);
\m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(16),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__1_n_0\
);
\m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(17),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__1_n_0\
);
\m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(18),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__1_n_0\
);
\m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(19),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__1_n_0\
);
\m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__2_n_0\
);
\m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(20),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__1_n_0\
);
\m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(21),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__1_n_0\
);
\m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(22),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__1_n_0\
);
\m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(23),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__1_n_0\
);
\m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(24),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__1_n_0\
);
\m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(25),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__1_n_0\
);
\m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(26),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__1_n_0\
);
\m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(27),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__1_n_0\
);
\m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(28),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__1_n_0\
);
\m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(29),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__2_n_0\
);
\m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(30),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__1_n_0\
);
\m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(31),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_1__1_n_0\
);
\m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(32),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__1_n_0\
);
\m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(33),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__1_n_0\
);
\m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__1_n_0\
);
\m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__1_n_0\
);
\m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__1_n_0\
);
\m_payload_i[37]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[37]\,
O => \m_payload_i[37]_i_1_n_0\
);
\m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__1_n_0\
);
\m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__2_n_0\
);
\m_payload_i[40]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[40]\,
O => \m_payload_i[40]_i_1_n_0\
);
\m_payload_i[41]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[41]\,
O => \m_payload_i[41]_i_1_n_0\
);
\m_payload_i[42]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[42]\,
O => \m_payload_i[42]_i_1_n_0\
);
\m_payload_i[43]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[43]\,
O => \m_payload_i[43]_i_1_n_0\
);
\m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__1_n_0\
);
\m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__1_n_0\
);
\m_payload_i[46]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
O => p_1_in
);
\m_payload_i[46]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_2_n_0\
);
\m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__2_n_0\
);
\m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__2_n_0\
);
\m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__2_n_0\
);
\m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__2_n_0\
);
\m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__2_n_0\
);
\m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__2_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[14]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[15]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[16]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[17]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[18]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[19]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[20]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[21]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[22]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[23]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[24]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[25]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[26]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[27]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[28]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[29]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[30]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[31]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[32]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[33]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[34]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[35]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[36]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(36),
R => '0'
);
\m_payload_i_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[37]_i_1_n_0\,
Q => \s_axi_rid[11]\(37),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[38]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(38),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[39]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(39),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(3),
R => '0'
);
\m_payload_i_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[40]_i_1_n_0\,
Q => \s_axi_rid[11]\(40),
R => '0'
);
\m_payload_i_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[41]_i_1_n_0\,
Q => \s_axi_rid[11]\(41),
R => '0'
);
\m_payload_i_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[42]_i_1_n_0\,
Q => \s_axi_rid[11]\(42),
R => '0'
);
\m_payload_i_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[43]_i_1_n_0\,
Q => \s_axi_rid[11]\(43),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[44]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(44),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[45]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(45),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[46]_i_2_n_0\,
Q => \s_axi_rid[11]\(46),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(9),
R => '0'
);
\m_valid_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"4FFF"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
I2 => \cnt_read_reg[4]_rep__0\,
I3 => \^skid_buffer_reg[0]_0\,
O => \m_valid_i_i_1__2_n_0\
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \m_valid_i_i_1__2_n_0\,
Q => \^s_axi_rvalid\,
R => \aresetn_d_reg[1]_inv\
);
\s_ready_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F8FF"
)
port map (
I0 => \cnt_read_reg[4]_rep__0\,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
O => \s_ready_i_i_1__2_n_0\
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \s_ready_i_i_1__2_n_0\,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(32),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(33),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(0),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(1),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(2),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(3),
Q => \skid_buffer_reg_n_0_[37]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(4),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(5),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(6),
Q => \skid_buffer_reg_n_0_[40]\,
R => '0'
);
\skid_buffer_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(7),
Q => \skid_buffer_reg_n_0_[41]\,
R => '0'
);
\skid_buffer_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(8),
Q => \skid_buffer_reg_n_0_[42]\,
R => '0'
);
\skid_buffer_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(9),
Q => \skid_buffer_reg_n_0_[43]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(10),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(11),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(12),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel is
port (
si_rs_bvalid : out STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
areset_d1 : in STD_LOGIC;
aclk : in STD_LOGIC;
b_push : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel : entity is "axi_protocol_converter_v2_1_17_b2s_b_channel";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel is
signal bid_fifo_0_n_3 : STD_LOGIC;
signal bid_fifo_0_n_5 : STD_LOGIC;
signal \bresp_cnt[7]_i_6_n_0\ : STD_LOGIC;
signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal bresp_push : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mhandshake : STD_LOGIC;
signal mhandshake_r : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s_bresp_acc0 : STD_LOGIC;
signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC;
signal shandshake : STD_LOGIC;
signal shandshake_r : STD_LOGIC;
signal \^si_rs_bvalid\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair124";
attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair124";
begin
si_rs_bvalid <= \^si_rs_bvalid\;
bid_fifo_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
SR(0) => s_bresp_acc0,
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0),
bresp_push => bresp_push,
bvalid_i_reg => bid_fifo_0_n_5,
bvalid_i_reg_0 => \^si_rs_bvalid\,
\cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0\,
\in\(15 downto 0) => \in\(15 downto 0),
mhandshake_r => mhandshake_r,
\out\(11 downto 0) => \out\(11 downto 0),
shandshake_r => shandshake_r,
si_rs_bready => si_rs_bready
);
\bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \bresp_cnt_reg__0\(0),
O => p_0_in(0)
);
\bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(1),
I1 => \bresp_cnt_reg__0\(0),
O => p_0_in(1)
);
\bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(2),
I1 => \bresp_cnt_reg__0\(0),
I2 => \bresp_cnt_reg__0\(1),
O => p_0_in(2)
);
\bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \bresp_cnt_reg__0\(3),
I1 => \bresp_cnt_reg__0\(1),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(2),
O => p_0_in(3)
);
\bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(4),
I1 => \bresp_cnt_reg__0\(2),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(1),
I4 => \bresp_cnt_reg__0\(3),
O => p_0_in(4)
);
\bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => p_0_in(5)
);
\bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(6),
I1 => \bresp_cnt[7]_i_6_n_0\,
O => p_0_in(6)
);
\bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(7),
I1 => \bresp_cnt[7]_i_6_n_0\,
I2 => \bresp_cnt_reg__0\(6),
O => p_0_in(7)
);
\bresp_cnt[7]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => \bresp_cnt[7]_i_6_n_0\
);
\bresp_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(0),
Q => \bresp_cnt_reg__0\(0),
R => s_bresp_acc0
);
\bresp_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(1),
Q => \bresp_cnt_reg__0\(1),
R => s_bresp_acc0
);
\bresp_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(2),
Q => \bresp_cnt_reg__0\(2),
R => s_bresp_acc0
);
\bresp_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(3),
Q => \bresp_cnt_reg__0\(3),
R => s_bresp_acc0
);
\bresp_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(4),
Q => \bresp_cnt_reg__0\(4),
R => s_bresp_acc0
);
\bresp_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(5),
Q => \bresp_cnt_reg__0\(5),
R => s_bresp_acc0
);
\bresp_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(6),
Q => \bresp_cnt_reg__0\(6),
R => s_bresp_acc0
);
\bresp_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(7),
Q => \bresp_cnt_reg__0\(7),
R => s_bresp_acc0
);
bresp_fifo_0: entity work.\gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(1) => \s_bresp_acc_reg_n_0_[1]\,
\in\(0) => \s_bresp_acc_reg_n_0_[0]\,
m_axi_bready => m_axi_bready,
m_axi_bvalid => m_axi_bvalid,
mhandshake => mhandshake,
mhandshake_r => mhandshake_r,
sel => bresp_push,
shandshake_r => shandshake_r,
\skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0)
);
bvalid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => bid_fifo_0_n_5,
Q => \^si_rs_bvalid\,
R => '0'
);
mhandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => mhandshake,
Q => mhandshake_r,
R => areset_d1
);
\s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EACEAAAA"
)
port map (
I0 => \s_bresp_acc_reg_n_0_[0]\,
I1 => m_axi_bresp(0),
I2 => m_axi_bresp(1),
I3 => \s_bresp_acc_reg_n_0_[1]\,
I4 => mhandshake,
I5 => s_bresp_acc0,
O => \s_bresp_acc[0]_i_1_n_0\
);
\s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00EC"
)
port map (
I0 => m_axi_bresp(1),
I1 => \s_bresp_acc_reg_n_0_[1]\,
I2 => mhandshake,
I3 => s_bresp_acc0,
O => \s_bresp_acc[1]_i_1_n_0\
);
\s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[0]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[0]\,
R => '0'
);
\s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[1]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[1]\,
R => '0'
);
shandshake_r_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^si_rs_bvalid\,
I1 => si_rs_bready,
O => shandshake
);
shandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => shandshake,
Q => shandshake_r,
R => areset_d1
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
port (
next_pending_r_reg : out STD_LOGIC;
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC;
\m_payload_i_reg[39]_0\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\m_payload_i_reg[47]_1\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator : entity is "axi_protocol_converter_v2_1_17_b2s_cmd_translator";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_16 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
begin
incr_cmd_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd
port map (
E(0) => E(0),
Q(0) => Q(0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[0]_0\ => sel_first_0,
\axaddr_incr_reg[11]_0\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_14,
\axlen_cnt_reg[2]_0\ => \axlen_cnt_reg[2]\,
incr_next_pending => incr_next_pending,
\m_axi_awaddr[11]\ => incr_cmd_0_n_15,
\m_axi_awaddr[5]\ => incr_cmd_0_n_16,
\m_payload_i_reg[46]\(9 downto 8) => \m_payload_i_reg[47]\(18 downto 17),
\m_payload_i_reg[46]\(7 downto 5) => \m_payload_i_reg[47]\(14 downto 12),
\m_payload_i_reg[46]\(4) => \m_payload_i_reg[47]\(5),
\m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\next\ => \next\,
next_pending_r_reg_0 => next_pending_r_reg,
sel_first_reg_0 => sel_first_reg_1,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(0) => \state_reg[1]_0\(0)
);
\memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => \m_payload_i_reg[47]\(15),
I2 => s_axburst_eq0,
O => \state_reg[1]_rep\
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]\,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]_0\,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
wrap_cmd_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
aclk => aclk,
\axaddr_incr_reg[11]\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_14,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[47]\(19 downto 15),
\m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[47]\(13 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_1\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
sel_first_reg_0 => sel_first,
sel_first_reg_1 => sel_first_reg_2,
sel_first_reg_2 => incr_cmd_0_n_15,
sel_first_reg_3 => incr_cmd_0_n_16,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
port (
sel_first_reg_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
sel_first_reg_1 : out STD_LOGIC;
\axlen_cnt_reg[0]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
r_rlast : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
sel_first_reg_3 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]_rep_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 );
sel_first_reg_4 : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_17_b2s_cmd_translator";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_3 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
signal wrap_cmd_0_n_6 : STD_LOGIC;
signal wrap_cmd_0_n_7 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair17";
begin
incr_cmd_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2
port map (
E(0) => E(0),
O(3 downto 0) => O(3 downto 0),
Q(10 downto 8) => Q(18 downto 16),
Q(7 downto 5) => Q(14 downto 12),
Q(4) => Q(5),
Q(3 downto 0) => Q(3 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_incr_reg[0]_0\ => sel_first,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_10,
\axlen_cnt_reg[0]_0\ => \axlen_cnt_reg[0]\,
incr_next_pending => incr_next_pending,
\m_axi_araddr[11]\ => incr_cmd_0_n_11,
\m_axi_araddr[1]\ => incr_cmd_0_n_15,
\m_axi_araddr[2]\ => incr_cmd_0_n_14,
\m_axi_araddr[3]\ => incr_cmd_0_n_13,
\m_axi_araddr[5]\ => incr_cmd_0_n_12,
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
sel_first_reg_0 => sel_first_reg_2,
sel_first_reg_1(0) => sel_first_reg_4(0),
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\ => \state_reg[1]_0\,
\state_reg[1]_0\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
r_rlast_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => s_axburst_eq0,
I1 => Q(15),
I2 => s_axburst_eq1,
O => r_rlast
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_6,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_7,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
\state[1]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => Q(15),
I2 => s_axburst_eq0,
O => \state_reg[0]_rep\
);
wrap_cmd_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
Q(18 downto 14) => Q(19 downto 15),
Q(13 downto 0) => Q(13 downto 0),
aclk => aclk,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_10,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
incr_next_pending => incr_next_pending,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
s_axburst_eq0_reg => wrap_cmd_0_n_6,
s_axburst_eq1_reg => wrap_cmd_0_n_7,
sel_first_i => sel_first_i,
sel_first_reg_0 => sel_first_reg_1,
sel_first_reg_1 => sel_first_reg_3,
sel_first_reg_2 => incr_cmd_0_n_11,
sel_first_reg_3 => incr_cmd_0_n_12,
sel_first_reg_4 => incr_cmd_0_n_13,
sel_first_reg_5 => incr_cmd_0_n_14,
sel_first_reg_6 => incr_cmd_0_n_15,
si_rs_arvalid => si_rs_arvalid,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
\state_reg[1]_rep_0\ : in STD_LOGIC;
aclk : in STD_LOGIC;
r_rlast : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel : entity is "axi_protocol_converter_v2_1_17_b2s_r_channel";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel is
signal \^m_valid_i_reg\ : STD_LOGIC;
signal r_push_r : STD_LOGIC;
signal rd_data_fifo_0_n_0 : STD_LOGIC;
signal rd_data_fifo_0_n_1 : STD_LOGIC;
signal rd_data_fifo_0_n_2 : STD_LOGIC;
signal rd_data_fifo_0_n_4 : STD_LOGIC;
signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 );
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\r_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => trans_in(1),
R => '0'
);
\r_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(10),
Q => trans_in(11),
R => '0'
);
\r_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(11),
Q => trans_in(12),
R => '0'
);
\r_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => trans_in(2),
R => '0'
);
\r_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => trans_in(3),
R => '0'
);
\r_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => trans_in(4),
R => '0'
);
\r_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(4),
Q => trans_in(5),
R => '0'
);
\r_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(5),
Q => trans_in(6),
R => '0'
);
\r_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(6),
Q => trans_in(7),
R => '0'
);
\r_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(7),
Q => trans_in(8),
R => '0'
);
\r_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(8),
Q => trans_in(9),
R => '0'
);
\r_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(9),
Q => trans_in(10),
R => '0'
);
r_push_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \state_reg[1]_rep_0\,
Q => r_push_r,
R => '0'
);
r_rlast_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => r_rlast,
Q => trans_in(0),
R => '0'
);
rd_data_fifo_0: entity work.\gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[4]_rep__0_0\ => \^m_valid_i_reg\,
\cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_1,
\cnt_read_reg[4]_rep__2_2\ => rd_data_fifo_0_n_2,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
\out\(33 downto 0) => \out\(33 downto 0),
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\state_reg[1]_rep\ => rd_data_fifo_0_n_4
);
transaction_fifo_0: entity work.\gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[0]_rep__3\ => rd_data_fifo_0_n_2,
\cnt_read_reg[0]_rep__3_0\ => rd_data_fifo_0_n_4,
\cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2\ => rd_data_fifo_0_n_1,
\in\(12 downto 0) => trans_in(12 downto 0),
m_valid_i_reg => \^m_valid_i_reg\,
r_push_r => r_push_r,
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice is
port (
s_axi_awready : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
si_rs_awvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
si_rs_bready : out STD_LOGIC;
si_rs_arvalid : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
si_rs_rready : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axlen_cnt_reg[3]_0\ : out STD_LOGIC;
\s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]_0\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : out STD_LOGIC;
next_pending_r_reg_0 : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
aclk : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_2\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_4\ : in STD_LOGIC;
\state_reg[0]_rep_0\ : in STD_LOGIC;
\state_reg[1]_rep_2\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice : entity is "axi_register_slice_v2_1_17_axi_register_slice";
end gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice is
signal \ar.ar_pipe_n_2\ : STD_LOGIC;
signal \aw.aw_pipe_n_1\ : STD_LOGIC;
signal \aw.aw_pipe_n_90\ : STD_LOGIC;
begin
\ar.ar_pipe\: entity work.gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice
port map (
O(3 downto 0) => O(3 downto 0),
Q(54 downto 0) => \s_arid_r_reg[11]\(54 downto 0),
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[0]_0\ => \aw.aw_pipe_n_90\,
\axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
\axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
axaddr_offset_0(1 downto 0) => axaddr_offset_0(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset_0(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]_0\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_3\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_4\,
\axaddr_offset_r_reg[3]\ => si_rs_arvalid,
\axaddr_offset_r_reg[3]_0\(2 downto 0) => \axaddr_offset_r_reg[3]_1\(2 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_2\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\,
\m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
m_valid_i0 => m_valid_i0,
m_valid_i_reg_0 => \ar.ar_pipe_n_2\,
m_valid_i_reg_1(0) => m_valid_i_reg(0),
next_pending_r_reg => next_pending_r_reg_0,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_ready_i0 => s_ready_i0,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\(1 downto 0) => \state_reg[1]_0\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep_1\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_2\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]_0\,
\wrap_cnt_r_reg[3]\(1 downto 0) => \wrap_cnt_r_reg[3]_0\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]_0\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_2\(3 downto 0)
);
\aw.aw_pipe\: entity work.gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0
port map (
D(1 downto 0) => D(1 downto 0),
E(0) => E(0),
Q(54 downto 0) => Q(54 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
aresetn => aresetn,
\aresetn_d_reg[1]_inv\ => \aw.aw_pipe_n_90\,
\aresetn_d_reg[1]_inv_0\ => \ar.ar_pipe_n_2\,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(1 downto 0) => axaddr_offset(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_1\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_2\,
\axaddr_offset_r_reg[3]\(2 downto 0) => \axaddr_offset_r_reg[3]\(2 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\,
b_push => b_push,
m_valid_i_reg_0 => si_rs_awvalid,
next_pending_r_reg => next_pending_r_reg,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_ready_i_reg_0 => \aw.aw_pipe_n_1\,
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_0\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0)
);
\b.b_pipe\: entity work.\gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\out\(11 downto 0) => \out\(11 downto 0),
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0),
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[0]_0\ => si_rs_bready
);
\r.r_pipe\: entity work.\gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
\cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0),
\cnt_read_reg[4]_rep__0\ => \cnt_read_reg[4]_rep__0\,
r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0),
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\skid_buffer_reg[0]_0\ => si_rs_rready
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
r_rlast : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel : entity is "axi_protocol_converter_v2_1_17_b2s_ar_channel";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel is
signal ar_cmd_fsm_0_n_0 : STD_LOGIC;
signal ar_cmd_fsm_0_n_10 : STD_LOGIC;
signal ar_cmd_fsm_0_n_16 : STD_LOGIC;
signal ar_cmd_fsm_0_n_6 : STD_LOGIC;
signal ar_cmd_fsm_0_n_8 : STD_LOGIC;
signal ar_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_10 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_3 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \^r_push_r_reg\ : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
r_push_r_reg <= \^r_push_r_reg\;
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
ar_cmd_fsm_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm
port map (
D(0) => ar_cmd_fsm_0_n_6,
E(0) => ar_cmd_fsm_0_n_8,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\axaddr_incr_reg[0]\(0) => ar_cmd_fsm_0_n_16,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axlen_cnt_reg[7]\ => ar_cmd_fsm_0_n_0,
\axlen_cnt_reg[7]_0\ => cmd_translator_0_n_3,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \m_payload_i_reg[0]\,
\m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]_0\,
\m_payload_i_reg[0]_1\(0) => E(0),
\m_payload_i_reg[46]\(0) => Q(18),
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
m_valid_i0 => m_valid_i0,
r_push_r_reg => \^r_push_r_reg\,
s_axburst_eq1_reg => cmd_translator_0_n_10,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => s_ready_i0,
s_ready_i_reg => s_ready_i_reg,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => ar_cmd_fsm_0_n_9,
sel_first_reg_0 => ar_cmd_fsm_0_n_10,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => cmd_translator_0_n_0,
si_rs_arvalid => si_rs_arvalid,
\wrap_boundary_axaddr_r_reg[11]\(0) => \^wrap_boundary_axaddr_r_reg[11]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
O(3 downto 0) => O(3 downto 0),
Q(19 downto 0) => Q(19 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[0]\ => cmd_translator_0_n_3,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => ar_cmd_fsm_0_n_8,
r_rlast => r_rlast,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_0,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => ar_cmd_fsm_0_n_10,
sel_first_reg_3 => ar_cmd_fsm_0_n_9,
sel_first_reg_4(0) => ar_cmd_fsm_0_n_16,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => cmd_translator_0_n_10,
\state_reg[0]_rep_0\ => \^m_payload_i_reg[0]_0\,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\ => ar_cmd_fsm_0_n_0,
\state_reg[1]_rep\ => \^r_push_r_reg\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 1) => D(2 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[3]_1\(2 downto 1) => \wrap_second_len_r_reg[3]_0\(1 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_6
);
\s_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \r_arid_r_reg[11]\(0),
R => '0'
);
\s_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \r_arid_r_reg[11]\(10),
R => '0'
);
\s_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \r_arid_r_reg[11]\(11),
R => '0'
);
\s_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \r_arid_r_reg[11]\(1),
R => '0'
);
\s_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \r_arid_r_reg[11]\(2),
R => '0'
);
\s_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \r_arid_r_reg[11]\(3),
R => '0'
);
\s_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \r_arid_r_reg[11]\(4),
R => '0'
);
\s_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \r_arid_r_reg[11]\(5),
R => '0'
);
\s_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \r_arid_r_reg[11]\(6),
R => '0'
);
\s_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \r_arid_r_reg[11]\(7),
R => '0'
);
\s_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \r_arid_r_reg[11]\(8),
R => '0'
);
\s_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \r_arid_r_reg[11]\(9),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\in\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel : entity is "axi_protocol_converter_v2_1_17_b2s_aw_channel";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel is
signal aw_cmd_fsm_0_n_12 : STD_LOGIC;
signal aw_cmd_fsm_0_n_14 : STD_LOGIC;
signal aw_cmd_fsm_0_n_15 : STD_LOGIC;
signal aw_cmd_fsm_0_n_16 : STD_LOGIC;
signal aw_cmd_fsm_0_n_2 : STD_LOGIC;
signal aw_cmd_fsm_0_n_8 : STD_LOGIC;
signal aw_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_12 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_5 : STD_LOGIC;
signal cmd_translator_0_n_6 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal \next\ : STD_LOGIC;
signal sel_first : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
aw_cmd_fsm_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm
port map (
D(0) => wrap_cnt(0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_wrap_reg[11]\(0) => aw_cmd_fsm_0_n_14,
\axlen_cnt_reg[0]\(0) => aw_cmd_fsm_0_n_8,
\axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_5,
\axlen_cnt_reg[7]\ => \axlen_cnt_reg[7]\,
\axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]_0\,
\axlen_cnt_reg[7]_1\ => aw_cmd_fsm_0_n_2,
\axlen_cnt_reg[7]_2\ => cmd_translator_0_n_6,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
incr_next_pending => incr_next_pending,
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[0]\(0) => E(0),
\m_payload_i_reg[46]\(2) => Q(18),
\m_payload_i_reg[46]\(1 downto 0) => Q(16 downto 15),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
s_axburst_eq0_reg => aw_cmd_fsm_0_n_9,
s_axburst_eq1_reg => aw_cmd_fsm_0_n_12,
s_axburst_eq1_reg_0 => cmd_translator_0_n_12,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => aw_cmd_fsm_0_n_15,
sel_first_reg_0 => aw_cmd_fsm_0_n_16,
sel_first_reg_1 => cmd_translator_0_n_2,
si_rs_awvalid => si_rs_awvalid,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(0) => cmd_translator_0_n_5,
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[2]\ => cmd_translator_0_n_6,
incr_next_pending => incr_next_pending,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_9,
\m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_12,
\m_payload_i_reg[47]\(19 downto 0) => Q(19 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_1\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_2,
sel_first_reg_1 => aw_cmd_fsm_0_n_16,
sel_first_reg_2 => aw_cmd_fsm_0_n_15,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => aw_cmd_fsm_0_n_14,
\state_reg[0]_rep\ => aw_cmd_fsm_0_n_2,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\(0) => aw_cmd_fsm_0_n_8,
\state_reg[1]_rep\ => cmd_translator_0_n_12,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 1) => D(1 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => wrap_cnt(0),
\wrap_second_len_r_reg[3]_1\(3 downto 1) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => \wrap_cmd_0/wrap_second_len\(0)
);
\s_awid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \in\(4),
R => '0'
);
\s_awid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \in\(14),
R => '0'
);
\s_awid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \in\(15),
R => '0'
);
\s_awid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \in\(5),
R => '0'
);
\s_awid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \in\(6),
R => '0'
);
\s_awid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \in\(7),
R => '0'
);
\s_awid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \in\(8),
R => '0'
);
\s_awid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \in\(9),
R => '0'
);
\s_awid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \in\(10),
R => '0'
);
\s_awid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \in\(11),
R => '0'
);
\s_awid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \in\(12),
R => '0'
);
\s_awid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \in\(13),
R => '0'
);
\s_awlen_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(16),
Q => \in\(0),
R => '0'
);
\s_awlen_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(17),
Q => \in\(1),
R => '0'
);
\s_awlen_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(18),
Q => \in\(2),
R => '0'
);
\s_awlen_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(19),
Q => \in\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s is
port (
s_axi_rvalid : out STD_LOGIC;
s_axi_awready : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_arready : out STD_LOGIC;
\m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_bvalid : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awready : in STD_LOGIC;
m_axi_arready : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
aclk : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awvalid : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
aresetn : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s : entity is "axi_protocol_converter_v2_1_17_b2s";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s is
signal \RD.ar_channel_0_n_0\ : STD_LOGIC;
signal \RD.ar_channel_0_n_10\ : STD_LOGIC;
signal \RD.ar_channel_0_n_11\ : STD_LOGIC;
signal \RD.ar_channel_0_n_16\ : STD_LOGIC;
signal \RD.ar_channel_0_n_3\ : STD_LOGIC;
signal \RD.ar_channel_0_n_4\ : STD_LOGIC;
signal \RD.ar_channel_0_n_46\ : STD_LOGIC;
signal \RD.ar_channel_0_n_47\ : STD_LOGIC;
signal \RD.ar_channel_0_n_48\ : STD_LOGIC;
signal \RD.ar_channel_0_n_49\ : STD_LOGIC;
signal \RD.ar_channel_0_n_5\ : STD_LOGIC;
signal \RD.r_channel_0_n_0\ : STD_LOGIC;
signal \RD.r_channel_0_n_1\ : STD_LOGIC;
signal SI_REG_n_132 : STD_LOGIC;
signal SI_REG_n_133 : STD_LOGIC;
signal SI_REG_n_134 : STD_LOGIC;
signal SI_REG_n_135 : STD_LOGIC;
signal SI_REG_n_136 : STD_LOGIC;
signal SI_REG_n_137 : STD_LOGIC;
signal SI_REG_n_138 : STD_LOGIC;
signal SI_REG_n_139 : STD_LOGIC;
signal SI_REG_n_140 : STD_LOGIC;
signal SI_REG_n_141 : STD_LOGIC;
signal SI_REG_n_142 : STD_LOGIC;
signal SI_REG_n_143 : STD_LOGIC;
signal SI_REG_n_149 : STD_LOGIC;
signal SI_REG_n_153 : STD_LOGIC;
signal SI_REG_n_154 : STD_LOGIC;
signal SI_REG_n_155 : STD_LOGIC;
signal SI_REG_n_156 : STD_LOGIC;
signal SI_REG_n_157 : STD_LOGIC;
signal SI_REG_n_161 : STD_LOGIC;
signal SI_REG_n_165 : STD_LOGIC;
signal SI_REG_n_166 : STD_LOGIC;
signal SI_REG_n_167 : STD_LOGIC;
signal SI_REG_n_168 : STD_LOGIC;
signal SI_REG_n_169 : STD_LOGIC;
signal SI_REG_n_170 : STD_LOGIC;
signal SI_REG_n_171 : STD_LOGIC;
signal SI_REG_n_172 : STD_LOGIC;
signal SI_REG_n_173 : STD_LOGIC;
signal SI_REG_n_174 : STD_LOGIC;
signal SI_REG_n_175 : STD_LOGIC;
signal SI_REG_n_176 : STD_LOGIC;
signal SI_REG_n_177 : STD_LOGIC;
signal SI_REG_n_178 : STD_LOGIC;
signal SI_REG_n_179 : STD_LOGIC;
signal SI_REG_n_180 : STD_LOGIC;
signal SI_REG_n_181 : STD_LOGIC;
signal SI_REG_n_182 : STD_LOGIC;
signal SI_REG_n_26 : STD_LOGIC;
signal SI_REG_n_64 : STD_LOGIC;
signal SI_REG_n_8 : STD_LOGIC;
signal SI_REG_n_82 : STD_LOGIC;
signal \WR.aw_channel_0_n_0\ : STD_LOGIC;
signal \WR.aw_channel_0_n_10\ : STD_LOGIC;
signal \WR.aw_channel_0_n_15\ : STD_LOGIC;
signal \WR.aw_channel_0_n_3\ : STD_LOGIC;
signal \WR.aw_channel_0_n_4\ : STD_LOGIC;
signal \WR.aw_channel_0_n_47\ : STD_LOGIC;
signal \WR.aw_channel_0_n_48\ : STD_LOGIC;
signal \WR.aw_channel_0_n_49\ : STD_LOGIC;
signal \WR.aw_channel_0_n_50\ : STD_LOGIC;
signal \WR.aw_channel_0_n_9\ : STD_LOGIC;
signal \WR.b_channel_0_n_1\ : STD_LOGIC;
signal \WR.b_channel_0_n_2\ : STD_LOGIC;
signal \ar.ar_pipe/m_valid_i0\ : STD_LOGIC;
signal \ar.ar_pipe/p_1_in\ : STD_LOGIC;
signal \ar.ar_pipe/s_ready_i0\ : STD_LOGIC;
signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal areset_d1 : STD_LOGIC;
signal areset_d1_i_1_n_0 : STD_LOGIC;
signal \aw.aw_pipe/p_1_in\ : STD_LOGIC;
signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axaddr_incr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal b_push : STD_LOGIC;
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal r_rlast : STD_LOGIC;
signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \^s_axi_arready\ : STD_LOGIC;
signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_arvalid : STD_LOGIC;
signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_awvalid : STD_LOGIC;
signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_bready : STD_LOGIC;
signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_bvalid : STD_LOGIC;
signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 );
signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_rlast : STD_LOGIC;
signal si_rs_rready : STD_LOGIC;
signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 2 );
begin
s_axi_arready <= \^s_axi_arready\;
\RD.ar_channel_0\: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel
port map (
D(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
E(0) => \ar.ar_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(31 downto 20) => s_arid(11 downto 0),
Q(19 downto 16) => si_rs_arlen(3 downto 0),
Q(15) => si_rs_arburst(1),
Q(14) => SI_REG_n_82,
Q(13 downto 12) => si_rs_arsize(1 downto 0),
Q(11 downto 0) => si_rs_araddr(11 downto 0),
S(3) => \RD.ar_channel_0_n_46\,
S(2) => \RD.ar_channel_0_n_47\,
S(1) => \RD.ar_channel_0_n_48\,
S(0) => \RD.ar_channel_0_n_49\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_161,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_165,
\cnt_read_reg[2]_rep__0\ => \RD.r_channel_0_n_1\,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \RD.ar_channel_0_n_4\,
\m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_5\,
\m_payload_i_reg[3]\(3) => SI_REG_n_132,
\m_payload_i_reg[3]\(2) => SI_REG_n_133,
\m_payload_i_reg[3]\(1) => SI_REG_n_134,
\m_payload_i_reg[3]\(0) => SI_REG_n_135,
\m_payload_i_reg[47]\ => SI_REG_n_64,
\m_payload_i_reg[47]_0\ => SI_REG_n_167,
\m_payload_i_reg[5]\ => SI_REG_n_166,
\m_payload_i_reg[6]\(6) => SI_REG_n_176,
\m_payload_i_reg[6]\(5) => SI_REG_n_177,
\m_payload_i_reg[6]\(4) => SI_REG_n_178,
\m_payload_i_reg[6]\(3) => SI_REG_n_179,
\m_payload_i_reg[6]\(2) => SI_REG_n_180,
\m_payload_i_reg[6]\(1) => SI_REG_n_181,
\m_payload_i_reg[6]\(0) => SI_REG_n_182,
\m_payload_i_reg[7]\(3) => SI_REG_n_136,
\m_payload_i_reg[7]\(2) => SI_REG_n_137,
\m_payload_i_reg[7]\(1) => SI_REG_n_138,
\m_payload_i_reg[7]\(0) => SI_REG_n_139,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
\r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0),
r_push_r_reg => \RD.ar_channel_0_n_3\,
r_rlast => r_rlast,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
s_ready_i_reg => \^s_axi_arready\,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \RD.ar_channel_0_n_10\,
\wrap_cnt_r_reg[3]_0\ => \RD.ar_channel_0_n_11\,
\wrap_cnt_r_reg[3]_1\ => \RD.ar_channel_0_n_16\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_157
);
\RD.r_channel_0\: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel
port map (
D(11 downto 0) => s_arid_r(11 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
m_valid_i_reg => \RD.r_channel_0_n_0\,
\out\(33 downto 32) => si_rs_rresp(1 downto 0),
\out\(31 downto 0) => si_rs_rdata(31 downto 0),
r_rlast => r_rlast,
s_ready_i_reg => SI_REG_n_168,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0),
\skid_buffer_reg[46]\(0) => si_rs_rlast,
\state_reg[1]_rep\ => \RD.r_channel_0_n_1\,
\state_reg[1]_rep_0\ => \RD.ar_channel_0_n_3\
);
SI_REG: entity work.gcd_zynq_snick_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(54 downto 43) => s_awid(11 downto 0),
Q(42 downto 39) => si_rs_awlen(3 downto 0),
Q(38) => si_rs_awburst(1),
Q(37) => SI_REG_n_26,
Q(36 downto 35) => si_rs_awsize(1 downto 0),
Q(34 downto 12) => Q(22 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
aresetn => aresetn,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[3]\(3) => SI_REG_n_132,
\axaddr_incr_reg[3]\(2) => SI_REG_n_133,
\axaddr_incr_reg[3]\(1) => SI_REG_n_134,
\axaddr_incr_reg[3]\(0) => SI_REG_n_135,
\axaddr_incr_reg[7]\(3) => SI_REG_n_136,
\axaddr_incr_reg[7]\(2) => SI_REG_n_137,
\axaddr_incr_reg[7]\(1) => SI_REG_n_138,
\axaddr_incr_reg[7]\(0) => SI_REG_n_139,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
axaddr_offset_0(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset_0(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\ => SI_REG_n_154,
\axaddr_offset_r_reg[2]_0\ => SI_REG_n_166,
\axaddr_offset_r_reg[2]_1\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[2]_2\ => \WR.aw_channel_0_n_15\,
\axaddr_offset_r_reg[2]_3\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[2]_4\ => \RD.ar_channel_0_n_16\,
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\axaddr_offset_r_reg[3]_1\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]_1\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_2\ => \RD.ar_channel_0_n_11\,
\axlen_cnt_reg[3]\ => SI_REG_n_8,
\axlen_cnt_reg[3]_0\ => SI_REG_n_64,
b_push => b_push,
\cnt_read_reg[2]_rep__0\ => SI_REG_n_168,
\cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0),
\cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0),
\cnt_read_reg[4]_rep__0\ => \RD.r_channel_0_n_0\,
\m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_46\,
\m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_47\,
\m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_48\,
\m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_49\,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
m_valid_i_reg(0) => \ar.ar_pipe/p_1_in\,
next_pending_r_reg => SI_REG_n_155,
next_pending_r_reg_0 => SI_REG_n_167,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0),
r_push_r_reg(0) => si_rs_rlast,
\s_arid_r_reg[11]\(54 downto 43) => s_arid(11 downto 0),
\s_arid_r_reg[11]\(42 downto 39) => si_rs_arlen(3 downto 0),
\s_arid_r_reg[11]\(38) => si_rs_arburst(1),
\s_arid_r_reg[11]\(37) => SI_REG_n_82,
\s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0),
\s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0),
\s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0),
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => \^s_axi_arready\,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0),
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
si_rs_arvalid => si_rs_arvalid,
si_rs_awvalid => si_rs_awvalid,
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
si_rs_rready => si_rs_rready,
\state_reg[0]_rep\ => \WR.aw_channel_0_n_4\,
\state_reg[0]_rep_0\ => \RD.ar_channel_0_n_5\,
\state_reg[1]\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_0\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_rep\ => \WR.aw_channel_0_n_0\,
\state_reg[1]_rep_0\ => \WR.aw_channel_0_n_3\,
\state_reg[1]_rep_1\ => \RD.ar_channel_0_n_0\,
\state_reg[1]_rep_2\ => \RD.ar_channel_0_n_4\,
\wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_169,
\wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_170,
\wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_171,
\wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_172,
\wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_173,
\wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_174,
\wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_175,
\wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_176,
\wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_177,
\wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_178,
\wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_179,
\wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_180,
\wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_181,
\wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_182,
\wrap_cnt_r_reg[2]\ => SI_REG_n_149,
\wrap_cnt_r_reg[2]_0\ => SI_REG_n_161,
\wrap_cnt_r_reg[3]\ => SI_REG_n_153,
\wrap_cnt_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_cnt_r_reg[3]_0\(0) => SI_REG_n_157,
\wrap_cnt_r_reg[3]_1\ => SI_REG_n_165,
\wrap_second_len_r_reg[1]\ => \WR.aw_channel_0_n_9\,
\wrap_second_len_r_reg[1]_0\ => \RD.ar_channel_0_n_10\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0)
);
\WR.aw_channel_0\: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
Q(31 downto 20) => s_awid(11 downto 0),
Q(19 downto 16) => si_rs_awlen(3 downto 0),
Q(15) => si_rs_awburst(1),
Q(14) => SI_REG_n_26,
Q(13 downto 12) => si_rs_awsize(1 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_149,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_153,
\axlen_cnt_reg[7]\ => \WR.aw_channel_0_n_3\,
\axlen_cnt_reg[7]_0\ => \WR.aw_channel_0_n_4\,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[47]\ => SI_REG_n_8,
\m_payload_i_reg[47]_0\ => SI_REG_n_155,
\m_payload_i_reg[5]\ => SI_REG_n_154,
\m_payload_i_reg[6]\(6) => SI_REG_n_169,
\m_payload_i_reg[6]\(5) => SI_REG_n_170,
\m_payload_i_reg[6]\(4) => SI_REG_n_171,
\m_payload_i_reg[6]\(3) => SI_REG_n_172,
\m_payload_i_reg[6]\(2) => SI_REG_n_173,
\m_payload_i_reg[6]\(1) => SI_REG_n_174,
\m_payload_i_reg[6]\(0) => SI_REG_n_175,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]_rep\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \WR.aw_channel_0_n_9\,
\wrap_cnt_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\wrap_cnt_r_reg[3]_1\ => \WR.aw_channel_0_n_15\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1)
);
\WR.b_channel_0\: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel
port map (
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0)
);
areset_d1_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aresetn,
O => areset_d1_i_1_n_0
);
areset_d1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => areset_d1_i_1_n_0,
Q => areset_d1,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "yes";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "axi_protocol_converter_v2_1_17_axi_protocol_converter";
attribute P_AXI3 : integer;
attribute P_AXI3 of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_DECERR : string;
attribute P_DECERR of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b11";
attribute P_INCR : string;
attribute P_INCR of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b10";
end gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axi_wready\ : STD_LOGIC;
signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^s_axi_wvalid\ : STD_LOGIC;
begin
\^m_axi_wready\ <= m_axi_wready;
\^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0);
\^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0);
\^s_axi_wvalid\ <= s_axi_wvalid;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const1>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(11) <= \<const0>\;
m_axi_arid(10) <= \<const0>\;
m_axi_arid(9) <= \<const0>\;
m_axi_arid(8) <= \<const0>\;
m_axi_arid(7) <= \<const0>\;
m_axi_arid(6) <= \<const0>\;
m_axi_arid(5) <= \<const0>\;
m_axi_arid(4) <= \<const0>\;
m_axi_arid(3) <= \<const0>\;
m_axi_arid(2) <= \<const0>\;
m_axi_arid(1) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const1>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const1>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(11) <= \<const0>\;
m_axi_awid(10) <= \<const0>\;
m_axi_awid(9) <= \<const0>\;
m_axi_awid(8) <= \<const0>\;
m_axi_awid(7) <= \<const0>\;
m_axi_awid(6) <= \<const0>\;
m_axi_awid(5) <= \<const0>\;
m_axi_awid(4) <= \<const0>\;
m_axi_awid(3) <= \<const0>\;
m_axi_awid(2) <= \<const0>\;
m_axi_awid(1) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const1>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0);
m_axi_wid(11) <= \<const0>\;
m_axi_wid(10) <= \<const0>\;
m_axi_wid(9) <= \<const0>\;
m_axi_wid(8) <= \<const0>\;
m_axi_wid(7) <= \<const0>\;
m_axi_wid(6) <= \<const0>\;
m_axi_wid(5) <= \<const0>\;
m_axi_wid(4) <= \<const0>\;
m_axi_wid(3) <= \<const0>\;
m_axi_wid(2) <= \<const0>\;
m_axi_wid(1) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const1>\;
m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0);
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \^s_axi_wvalid\;
s_axi_buser(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_wready <= \^m_axi_wready\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_b2s
port map (
Q(22 downto 20) => m_axi_awprot(2 downto 0),
Q(19 downto 0) => m_axi_awaddr(31 downto 12),
aclk => aclk,
aresetn => aresetn,
\in\(33 downto 32) => m_axi_rresp(1 downto 0),
\in\(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0),
\m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0),
\s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0),
\s_axi_rid[11]\(34) => s_axi_rlast,
\s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0),
\s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_auto_pc_0 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of gcd_zynq_snick_auto_pc_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of gcd_zynq_snick_auto_pc_0 : entity is "gcd_zynq_snick_auto_pc_0,axi_protocol_converter_v2_1_17_axi_protocol_converter,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of gcd_zynq_snick_auto_pc_0 : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of gcd_zynq_snick_auto_pc_0 : entity is "axi_protocol_converter_v2_1_17_axi_protocol_converter,Vivado 2018.2";
end gcd_zynq_snick_auto_pc_0;
architecture STRUCTURE of gcd_zynq_snick_auto_pc_0 is
signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of inst : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of inst : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of inst : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of inst : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of inst : label is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of inst : label is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of inst : label is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of inst : label is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of inst : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of inst : label is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of inst : label is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of inst : label is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of inst : label is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of inst : label is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of inst : label is 2;
attribute DowngradeIPIdentifiedWarnings of inst : label is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of inst : label is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of inst : label is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of inst : label is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of inst : label is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of inst : label is 2;
attribute P_DECERR : string;
attribute P_DECERR of inst : label is "2'b11";
attribute P_INCR : string;
attribute P_INCR of inst : label is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of inst : label is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of inst : label is "2'b10";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of aclk : signal is "xilinx.com:signal:clock:1.0 CLK CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of aclk : signal is "XIL_INTERFACENAME CLK, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, ASSOCIATED_BUSIF S_AXI:M_AXI, ASSOCIATED_RESET ARESETN";
attribute X_INTERFACE_INFO of aresetn : signal is "xilinx.com:signal:reset:1.0 RST RST";
attribute X_INTERFACE_PARAMETER of aresetn : signal is "XIL_INTERFACENAME RST, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
attribute X_INTERFACE_INFO of m_axi_arready : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARREADY";
attribute X_INTERFACE_INFO of m_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARVALID";
attribute X_INTERFACE_INFO of m_axi_awready : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWREADY";
attribute X_INTERFACE_INFO of m_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWVALID";
attribute X_INTERFACE_INFO of m_axi_bready : signal is "xilinx.com:interface:aximm:1.0 M_AXI BREADY";
attribute X_INTERFACE_INFO of m_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI BVALID";
attribute X_INTERFACE_INFO of m_axi_rready : signal is "xilinx.com:interface:aximm:1.0 M_AXI RREADY";
attribute X_INTERFACE_PARAMETER of m_axi_rready : signal is "XIL_INTERFACENAME M_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 49999947, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of m_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI RVALID";
attribute X_INTERFACE_INFO of m_axi_wready : signal is "xilinx.com:interface:aximm:1.0 M_AXI WREADY";
attribute X_INTERFACE_INFO of m_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI WVALID";
attribute X_INTERFACE_INFO of s_axi_arready : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
attribute X_INTERFACE_INFO of s_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
attribute X_INTERFACE_INFO of s_axi_awready : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
attribute X_INTERFACE_INFO of s_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
attribute X_INTERFACE_INFO of s_axi_bready : signal is "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
attribute X_INTERFACE_INFO of s_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
attribute X_INTERFACE_INFO of s_axi_rlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI RLAST";
attribute X_INTERFACE_INFO of s_axi_rready : signal is "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_rready : signal is "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 49999947, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
attribute X_INTERFACE_INFO of s_axi_wlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI WLAST";
attribute X_INTERFACE_INFO of s_axi_wready : signal is "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
attribute X_INTERFACE_INFO of s_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
attribute X_INTERFACE_INFO of m_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARADDR";
attribute X_INTERFACE_INFO of m_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARPROT";
attribute X_INTERFACE_INFO of m_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWADDR";
attribute X_INTERFACE_INFO of m_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWPROT";
attribute X_INTERFACE_INFO of m_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI BRESP";
attribute X_INTERFACE_INFO of m_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI RDATA";
attribute X_INTERFACE_INFO of m_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI RRESP";
attribute X_INTERFACE_INFO of m_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI WDATA";
attribute X_INTERFACE_INFO of m_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 M_AXI WSTRB";
attribute X_INTERFACE_INFO of s_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
attribute X_INTERFACE_INFO of s_axi_arburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARBURST";
attribute X_INTERFACE_INFO of s_axi_arcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE";
attribute X_INTERFACE_INFO of s_axi_arid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARID";
attribute X_INTERFACE_INFO of s_axi_arlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLEN";
attribute X_INTERFACE_INFO of s_axi_arlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK";
attribute X_INTERFACE_INFO of s_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARPROT";
attribute X_INTERFACE_INFO of s_axi_arqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARQOS";
attribute X_INTERFACE_INFO of s_axi_arsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE";
attribute X_INTERFACE_INFO of s_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
attribute X_INTERFACE_INFO of s_axi_awburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWBURST";
attribute X_INTERFACE_INFO of s_axi_awcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE";
attribute X_INTERFACE_INFO of s_axi_awid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWID";
attribute X_INTERFACE_INFO of s_axi_awlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLEN";
attribute X_INTERFACE_INFO of s_axi_awlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK";
attribute X_INTERFACE_INFO of s_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWPROT";
attribute X_INTERFACE_INFO of s_axi_awqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWQOS";
attribute X_INTERFACE_INFO of s_axi_awsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE";
attribute X_INTERFACE_INFO of s_axi_bid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BID";
attribute X_INTERFACE_INFO of s_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
attribute X_INTERFACE_INFO of s_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
attribute X_INTERFACE_INFO of s_axi_rid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RID";
attribute X_INTERFACE_INFO of s_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
attribute X_INTERFACE_INFO of s_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
attribute X_INTERFACE_INFO of s_axi_wid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WID";
attribute X_INTERFACE_INFO of s_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
begin
inst: entity work.gcd_zynq_snick_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter
port map (
aclk => aclk,
aresetn => aresetn,
m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0),
m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0),
m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => m_axi_awvalid,
m_axi_bid(11 downto 0) => B"000000000000",
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_buser(0) => '0',
m_axi_bvalid => m_axi_bvalid,
m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_rid(11 downto 0) => B"000000000000",
m_axi_rlast => '1',
m_axi_rready => m_axi_rready,
m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0),
m_axi_ruser(0) => '0',
m_axi_rvalid => m_axi_rvalid,
m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0),
m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0),
m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED,
m_axi_wready => m_axi_wready,
m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0),
m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => m_axi_wvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0),
s_axi_aruser(0) => '0',
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0),
s_axi_awuser(0) => '0',
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0),
s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wuser(0) => '0',
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
| mit | 018c9f66fb27b9118aa45eb928d2accc | 0.536077 | 2.544652 | false | false | false | false |
MartinCura/SistDig-TP4 | src/video_ram/video_ram.vhd | 1 | 1,344 | -- Recibe para escribir (A) y devuelve lectura (B)
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity video_ram is
generic(
N_bits_row : integer := 10;
N_bits_col : integer := 10;
N_rows : integer := 480;
N_cols : integer := 640
);
port(
clock: in std_logic;
write_enable : in std_logic;
A_row : in std_logic_vector(N_bits_row-1 downto 0);
B_row : in std_logic_vector(N_bits_row-1 downto 0);
A_col : in std_logic_vector(N_bits_col-1 downto 0);
B_col : in std_logic_vector(N_bits_col-1 downto 0);
data_A : in std_logic;
data_B : out std_logic
);
end;
architecture video_ram_arq of video_ram is
signal A_address : std_logic_vector(N_bits_row + N_bits_col - 1 downto 0) := (others => '0');
signal B_address : std_logic_vector(N_bits_row + N_bits_col - 1 downto 0) := (others => '0');
begin
A_address <= A_row & A_col;
B_address <= B_row & B_col;
mem: entity work.dual_port_ram
generic map(
DATA_WIDTH => 1,
ADDRESS_WIDTH => N_bits_row + N_bits_col
)
port map(
clock => clock,
write_enable => write_enable,
address_A => A_address,
address_B => B_address,
data_A(0) => data_A,
data_B(0) => data_B
);
end;
| gpl-3.0 | 5bf2a43a412cdb7ceef237b01b1be7d1 | 0.559524 | 3.027027 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd/gcd.srcs/sources_1/bd/gcd_block_design/ip/gcd_block_design_processing_system7_0_2/gcd_block_design_processing_system7_0_2_sim_netlist.vhdl | 2 | 204,816 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Sun Sep 22 03:32:43 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim
-- d:/github/Digital-Hardware-Modelling/xilinx-vivado/gcd/gcd.srcs/sources_1/bd/gcd_block_design/ip/gcd_block_design_processing_system7_0_2/gcd_block_design_processing_system7_0_2_sim_netlist.vhdl
-- Design : gcd_block_design_processing_system7_0_2
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 is
port (
CAN0_PHY_TX : out STD_LOGIC;
CAN0_PHY_RX : in STD_LOGIC;
CAN1_PHY_TX : out STD_LOGIC;
CAN1_PHY_RX : in STD_LOGIC;
ENET0_GMII_TX_EN : out STD_LOGIC;
ENET0_GMII_TX_ER : out STD_LOGIC;
ENET0_MDIO_MDC : out STD_LOGIC;
ENET0_MDIO_O : out STD_LOGIC;
ENET0_MDIO_T : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET0_SOF_RX : out STD_LOGIC;
ENET0_SOF_TX : out STD_LOGIC;
ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET0_GMII_COL : in STD_LOGIC;
ENET0_GMII_CRS : in STD_LOGIC;
ENET0_GMII_RX_CLK : in STD_LOGIC;
ENET0_GMII_RX_DV : in STD_LOGIC;
ENET0_GMII_RX_ER : in STD_LOGIC;
ENET0_GMII_TX_CLK : in STD_LOGIC;
ENET0_MDIO_I : in STD_LOGIC;
ENET0_EXT_INTIN : in STD_LOGIC;
ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_TX_EN : out STD_LOGIC;
ENET1_GMII_TX_ER : out STD_LOGIC;
ENET1_MDIO_MDC : out STD_LOGIC;
ENET1_MDIO_O : out STD_LOGIC;
ENET1_MDIO_T : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET1_SOF_RX : out STD_LOGIC;
ENET1_SOF_TX : out STD_LOGIC;
ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_COL : in STD_LOGIC;
ENET1_GMII_CRS : in STD_LOGIC;
ENET1_GMII_RX_CLK : in STD_LOGIC;
ENET1_GMII_RX_DV : in STD_LOGIC;
ENET1_GMII_RX_ER : in STD_LOGIC;
ENET1_GMII_TX_CLK : in STD_LOGIC;
ENET1_MDIO_I : in STD_LOGIC;
ENET1_EXT_INTIN : in STD_LOGIC;
ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
I2C0_SDA_I : in STD_LOGIC;
I2C0_SDA_O : out STD_LOGIC;
I2C0_SDA_T : out STD_LOGIC;
I2C0_SCL_I : in STD_LOGIC;
I2C0_SCL_O : out STD_LOGIC;
I2C0_SCL_T : out STD_LOGIC;
I2C1_SDA_I : in STD_LOGIC;
I2C1_SDA_O : out STD_LOGIC;
I2C1_SDA_T : out STD_LOGIC;
I2C1_SCL_I : in STD_LOGIC;
I2C1_SCL_O : out STD_LOGIC;
I2C1_SCL_T : out STD_LOGIC;
PJTAG_TCK : in STD_LOGIC;
PJTAG_TMS : in STD_LOGIC;
PJTAG_TDI : in STD_LOGIC;
PJTAG_TDO : out STD_LOGIC;
SDIO0_CLK : out STD_LOGIC;
SDIO0_CLK_FB : in STD_LOGIC;
SDIO0_CMD_O : out STD_LOGIC;
SDIO0_CMD_I : in STD_LOGIC;
SDIO0_CMD_T : out STD_LOGIC;
SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_LED : out STD_LOGIC;
SDIO0_CDN : in STD_LOGIC;
SDIO0_WP : in STD_LOGIC;
SDIO0_BUSPOW : out STD_LOGIC;
SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SDIO1_CLK : out STD_LOGIC;
SDIO1_CLK_FB : in STD_LOGIC;
SDIO1_CMD_O : out STD_LOGIC;
SDIO1_CMD_I : in STD_LOGIC;
SDIO1_CMD_T : out STD_LOGIC;
SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_LED : out STD_LOGIC;
SDIO1_CDN : in STD_LOGIC;
SDIO1_WP : in STD_LOGIC;
SDIO1_BUSPOW : out STD_LOGIC;
SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SPI0_SCLK_I : in STD_LOGIC;
SPI0_SCLK_O : out STD_LOGIC;
SPI0_SCLK_T : out STD_LOGIC;
SPI0_MOSI_I : in STD_LOGIC;
SPI0_MOSI_O : out STD_LOGIC;
SPI0_MOSI_T : out STD_LOGIC;
SPI0_MISO_I : in STD_LOGIC;
SPI0_MISO_O : out STD_LOGIC;
SPI0_MISO_T : out STD_LOGIC;
SPI0_SS_I : in STD_LOGIC;
SPI0_SS_O : out STD_LOGIC;
SPI0_SS1_O : out STD_LOGIC;
SPI0_SS2_O : out STD_LOGIC;
SPI0_SS_T : out STD_LOGIC;
SPI1_SCLK_I : in STD_LOGIC;
SPI1_SCLK_O : out STD_LOGIC;
SPI1_SCLK_T : out STD_LOGIC;
SPI1_MOSI_I : in STD_LOGIC;
SPI1_MOSI_O : out STD_LOGIC;
SPI1_MOSI_T : out STD_LOGIC;
SPI1_MISO_I : in STD_LOGIC;
SPI1_MISO_O : out STD_LOGIC;
SPI1_MISO_T : out STD_LOGIC;
SPI1_SS_I : in STD_LOGIC;
SPI1_SS_O : out STD_LOGIC;
SPI1_SS1_O : out STD_LOGIC;
SPI1_SS2_O : out STD_LOGIC;
SPI1_SS_T : out STD_LOGIC;
UART0_DTRN : out STD_LOGIC;
UART0_RTSN : out STD_LOGIC;
UART0_TX : out STD_LOGIC;
UART0_CTSN : in STD_LOGIC;
UART0_DCDN : in STD_LOGIC;
UART0_DSRN : in STD_LOGIC;
UART0_RIN : in STD_LOGIC;
UART0_RX : in STD_LOGIC;
UART1_DTRN : out STD_LOGIC;
UART1_RTSN : out STD_LOGIC;
UART1_TX : out STD_LOGIC;
UART1_CTSN : in STD_LOGIC;
UART1_DCDN : in STD_LOGIC;
UART1_DSRN : in STD_LOGIC;
UART1_RIN : in STD_LOGIC;
UART1_RX : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
TTC0_CLK0_IN : in STD_LOGIC;
TTC0_CLK1_IN : in STD_LOGIC;
TTC0_CLK2_IN : in STD_LOGIC;
TTC1_WAVE0_OUT : out STD_LOGIC;
TTC1_WAVE1_OUT : out STD_LOGIC;
TTC1_WAVE2_OUT : out STD_LOGIC;
TTC1_CLK0_IN : in STD_LOGIC;
TTC1_CLK1_IN : in STD_LOGIC;
TTC1_CLK2_IN : in STD_LOGIC;
WDT_CLK_IN : in STD_LOGIC;
WDT_RST_OUT : out STD_LOGIC;
TRACE_CLK : in STD_LOGIC;
TRACE_CTL : out STD_LOGIC;
TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 );
TRACE_CLK_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB1_VBUS_PWRSELECT : out STD_LOGIC;
USB1_VBUS_PWRFAULT : in STD_LOGIC;
SRAM_INTIN : in STD_LOGIC;
M_AXI_GP0_ARESETN : out STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARESETN : out STD_LOGIC;
M_AXI_GP1_ARVALID : out STD_LOGIC;
M_AXI_GP1_AWVALID : out STD_LOGIC;
M_AXI_GP1_BREADY : out STD_LOGIC;
M_AXI_GP1_RREADY : out STD_LOGIC;
M_AXI_GP1_WLAST : out STD_LOGIC;
M_AXI_GP1_WVALID : out STD_LOGIC;
M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ACLK : in STD_LOGIC;
M_AXI_GP1_ARREADY : in STD_LOGIC;
M_AXI_GP1_AWREADY : in STD_LOGIC;
M_AXI_GP1_BVALID : in STD_LOGIC;
M_AXI_GP1_RLAST : in STD_LOGIC;
M_AXI_GP1_RVALID : in STD_LOGIC;
M_AXI_GP1_WREADY : in STD_LOGIC;
M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARESETN : out STD_LOGIC;
S_AXI_GP0_ARREADY : out STD_LOGIC;
S_AXI_GP0_AWREADY : out STD_LOGIC;
S_AXI_GP0_BVALID : out STD_LOGIC;
S_AXI_GP0_RLAST : out STD_LOGIC;
S_AXI_GP0_RVALID : out STD_LOGIC;
S_AXI_GP0_WREADY : out STD_LOGIC;
S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_ACLK : in STD_LOGIC;
S_AXI_GP0_ARVALID : in STD_LOGIC;
S_AXI_GP0_AWVALID : in STD_LOGIC;
S_AXI_GP0_BREADY : in STD_LOGIC;
S_AXI_GP0_RREADY : in STD_LOGIC;
S_AXI_GP0_WLAST : in STD_LOGIC;
S_AXI_GP0_WVALID : in STD_LOGIC;
S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ARESETN : out STD_LOGIC;
S_AXI_GP1_ARREADY : out STD_LOGIC;
S_AXI_GP1_AWREADY : out STD_LOGIC;
S_AXI_GP1_BVALID : out STD_LOGIC;
S_AXI_GP1_RLAST : out STD_LOGIC;
S_AXI_GP1_RVALID : out STD_LOGIC;
S_AXI_GP1_WREADY : out STD_LOGIC;
S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ACLK : in STD_LOGIC;
S_AXI_GP1_ARVALID : in STD_LOGIC;
S_AXI_GP1_AWVALID : in STD_LOGIC;
S_AXI_GP1_BREADY : in STD_LOGIC;
S_AXI_GP1_RREADY : in STD_LOGIC;
S_AXI_GP1_WLAST : in STD_LOGIC;
S_AXI_GP1_WVALID : in STD_LOGIC;
S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_ACP_ARESETN : out STD_LOGIC;
S_AXI_ACP_ARREADY : out STD_LOGIC;
S_AXI_ACP_AWREADY : out STD_LOGIC;
S_AXI_ACP_BVALID : out STD_LOGIC;
S_AXI_ACP_RLAST : out STD_LOGIC;
S_AXI_ACP_RVALID : out STD_LOGIC;
S_AXI_ACP_WREADY : out STD_LOGIC;
S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_ACLK : in STD_LOGIC;
S_AXI_ACP_ARVALID : in STD_LOGIC;
S_AXI_ACP_AWVALID : in STD_LOGIC;
S_AXI_ACP_BREADY : in STD_LOGIC;
S_AXI_ACP_RREADY : in STD_LOGIC;
S_AXI_ACP_WLAST : in STD_LOGIC;
S_AXI_ACP_WVALID : in STD_LOGIC;
S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_ARESETN : out STD_LOGIC;
S_AXI_HP0_ARREADY : out STD_LOGIC;
S_AXI_HP0_AWREADY : out STD_LOGIC;
S_AXI_HP0_BVALID : out STD_LOGIC;
S_AXI_HP0_RLAST : out STD_LOGIC;
S_AXI_HP0_RVALID : out STD_LOGIC;
S_AXI_HP0_WREADY : out STD_LOGIC;
S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_ACLK : in STD_LOGIC;
S_AXI_HP0_ARVALID : in STD_LOGIC;
S_AXI_HP0_AWVALID : in STD_LOGIC;
S_AXI_HP0_BREADY : in STD_LOGIC;
S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_RREADY : in STD_LOGIC;
S_AXI_HP0_WLAST : in STD_LOGIC;
S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_WVALID : in STD_LOGIC;
S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_ARESETN : out STD_LOGIC;
S_AXI_HP1_ARREADY : out STD_LOGIC;
S_AXI_HP1_AWREADY : out STD_LOGIC;
S_AXI_HP1_BVALID : out STD_LOGIC;
S_AXI_HP1_RLAST : out STD_LOGIC;
S_AXI_HP1_RVALID : out STD_LOGIC;
S_AXI_HP1_WREADY : out STD_LOGIC;
S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_ACLK : in STD_LOGIC;
S_AXI_HP1_ARVALID : in STD_LOGIC;
S_AXI_HP1_AWVALID : in STD_LOGIC;
S_AXI_HP1_BREADY : in STD_LOGIC;
S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_RREADY : in STD_LOGIC;
S_AXI_HP1_WLAST : in STD_LOGIC;
S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_WVALID : in STD_LOGIC;
S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_ARESETN : out STD_LOGIC;
S_AXI_HP2_ARREADY : out STD_LOGIC;
S_AXI_HP2_AWREADY : out STD_LOGIC;
S_AXI_HP2_BVALID : out STD_LOGIC;
S_AXI_HP2_RLAST : out STD_LOGIC;
S_AXI_HP2_RVALID : out STD_LOGIC;
S_AXI_HP2_WREADY : out STD_LOGIC;
S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_ACLK : in STD_LOGIC;
S_AXI_HP2_ARVALID : in STD_LOGIC;
S_AXI_HP2_AWVALID : in STD_LOGIC;
S_AXI_HP2_BREADY : in STD_LOGIC;
S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_RREADY : in STD_LOGIC;
S_AXI_HP2_WLAST : in STD_LOGIC;
S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_WVALID : in STD_LOGIC;
S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_ARESETN : out STD_LOGIC;
S_AXI_HP3_ARREADY : out STD_LOGIC;
S_AXI_HP3_AWREADY : out STD_LOGIC;
S_AXI_HP3_BVALID : out STD_LOGIC;
S_AXI_HP3_RLAST : out STD_LOGIC;
S_AXI_HP3_RVALID : out STD_LOGIC;
S_AXI_HP3_WREADY : out STD_LOGIC;
S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_ACLK : in STD_LOGIC;
S_AXI_HP3_ARVALID : in STD_LOGIC;
S_AXI_HP3_AWVALID : in STD_LOGIC;
S_AXI_HP3_BREADY : in STD_LOGIC;
S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_RREADY : in STD_LOGIC;
S_AXI_HP3_WLAST : in STD_LOGIC;
S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_WVALID : in STD_LOGIC;
S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
IRQ_P2F_DMAC_ABORT : out STD_LOGIC;
IRQ_P2F_DMAC0 : out STD_LOGIC;
IRQ_P2F_DMAC1 : out STD_LOGIC;
IRQ_P2F_DMAC2 : out STD_LOGIC;
IRQ_P2F_DMAC3 : out STD_LOGIC;
IRQ_P2F_DMAC4 : out STD_LOGIC;
IRQ_P2F_DMAC5 : out STD_LOGIC;
IRQ_P2F_DMAC6 : out STD_LOGIC;
IRQ_P2F_DMAC7 : out STD_LOGIC;
IRQ_P2F_SMC : out STD_LOGIC;
IRQ_P2F_QSPI : out STD_LOGIC;
IRQ_P2F_CTI : out STD_LOGIC;
IRQ_P2F_GPIO : out STD_LOGIC;
IRQ_P2F_USB0 : out STD_LOGIC;
IRQ_P2F_ENET0 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE0 : out STD_LOGIC;
IRQ_P2F_SDIO0 : out STD_LOGIC;
IRQ_P2F_I2C0 : out STD_LOGIC;
IRQ_P2F_SPI0 : out STD_LOGIC;
IRQ_P2F_UART0 : out STD_LOGIC;
IRQ_P2F_CAN0 : out STD_LOGIC;
IRQ_P2F_USB1 : out STD_LOGIC;
IRQ_P2F_ENET1 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE1 : out STD_LOGIC;
IRQ_P2F_SDIO1 : out STD_LOGIC;
IRQ_P2F_I2C1 : out STD_LOGIC;
IRQ_P2F_SPI1 : out STD_LOGIC;
IRQ_P2F_UART1 : out STD_LOGIC;
IRQ_P2F_CAN1 : out STD_LOGIC;
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
Core0_nFIQ : in STD_LOGIC;
Core0_nIRQ : in STD_LOGIC;
Core1_nFIQ : in STD_LOGIC;
Core1_nIRQ : in STD_LOGIC;
DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA0_DAVALID : out STD_LOGIC;
DMA0_DRREADY : out STD_LOGIC;
DMA0_RSTN : out STD_LOGIC;
DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DAVALID : out STD_LOGIC;
DMA1_DRREADY : out STD_LOGIC;
DMA1_RSTN : out STD_LOGIC;
DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DAVALID : out STD_LOGIC;
DMA2_DRREADY : out STD_LOGIC;
DMA2_RSTN : out STD_LOGIC;
DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DAVALID : out STD_LOGIC;
DMA3_DRREADY : out STD_LOGIC;
DMA3_RSTN : out STD_LOGIC;
DMA0_ACLK : in STD_LOGIC;
DMA0_DAREADY : in STD_LOGIC;
DMA0_DRLAST : in STD_LOGIC;
DMA0_DRVALID : in STD_LOGIC;
DMA1_ACLK : in STD_LOGIC;
DMA1_DAREADY : in STD_LOGIC;
DMA1_DRLAST : in STD_LOGIC;
DMA1_DRVALID : in STD_LOGIC;
DMA2_ACLK : in STD_LOGIC;
DMA2_DAREADY : in STD_LOGIC;
DMA2_DRLAST : in STD_LOGIC;
DMA2_DRVALID : in STD_LOGIC;
DMA3_ACLK : in STD_LOGIC;
DMA3_DAREADY : in STD_LOGIC;
DMA3_DRLAST : in STD_LOGIC;
DMA3_DRVALID : in STD_LOGIC;
DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
FCLK_CLK3 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLKTRIG3_N : in STD_LOGIC;
FCLK_CLKTRIG2_N : in STD_LOGIC;
FCLK_CLKTRIG1_N : in STD_LOGIC;
FCLK_CLKTRIG0_N : in STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMD_TRACEIN_VALID : in STD_LOGIC;
FTMD_TRACEIN_CLK : in STD_LOGIC;
FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 );
FTMT_F2P_TRIG_0 : in STD_LOGIC;
FTMT_F2P_TRIGACK_0 : out STD_LOGIC;
FTMT_F2P_TRIG_1 : in STD_LOGIC;
FTMT_F2P_TRIGACK_1 : out STD_LOGIC;
FTMT_F2P_TRIG_2 : in STD_LOGIC;
FTMT_F2P_TRIGACK_2 : out STD_LOGIC;
FTMT_F2P_TRIG_3 : in STD_LOGIC;
FTMT_F2P_TRIGACK_3 : out STD_LOGIC;
FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMT_P2F_TRIGACK_0 : in STD_LOGIC;
FTMT_P2F_TRIG_0 : out STD_LOGIC;
FTMT_P2F_TRIGACK_1 : in STD_LOGIC;
FTMT_P2F_TRIG_1 : out STD_LOGIC;
FTMT_P2F_TRIGACK_2 : in STD_LOGIC;
FTMT_P2F_TRIG_2 : out STD_LOGIC;
FTMT_P2F_TRIGACK_3 : in STD_LOGIC;
FTMT_P2F_TRIG_3 : out STD_LOGIC;
FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 );
FPGA_IDLE_N : in STD_LOGIC;
EVENT_EVENTO : out STD_LOGIC;
EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_EVENTI : in STD_LOGIC;
DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 );
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is "gcd_block_design_processing_system7_0_2.hwdef";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is "processing_system7_v5_5_processing_system7";
attribute POWER : string;
attribute POWER of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={650} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={525} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={9} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={108.333336} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={HSTL_I_18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={7} ioBank={Vcco_p0} clockFreq={200} usageRate={0.5} /><PLL domain={Processor} vco={1300.000} /><PLL domain={Memory} vco={1050.000} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 : entity is 0;
end gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7;
architecture STRUCTURE of gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7 is
signal \<const0>\ : STD_LOGIC;
signal ENET0_MDIO_T_n : STD_LOGIC;
signal ENET1_MDIO_T_n : STD_LOGIC;
signal FCLK_CLK_unbuffered : STD_LOGIC_VECTOR ( 0 to 0 );
signal I2C0_SCL_T_n : STD_LOGIC;
signal I2C0_SDA_T_n : STD_LOGIC;
signal I2C1_SCL_T_n : STD_LOGIC;
signal I2C1_SDA_T_n : STD_LOGIC;
signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal SDIO0_CMD_T_n : STD_LOGIC;
signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SDIO1_CMD_T_n : STD_LOGIC;
signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SPI0_MISO_T_n : STD_LOGIC;
signal SPI0_MOSI_T_n : STD_LOGIC;
signal SPI0_SCLK_T_n : STD_LOGIC;
signal SPI0_SS_T_n : STD_LOGIC;
signal SPI1_MISO_T_n : STD_LOGIC;
signal SPI1_MOSI_T_n : STD_LOGIC;
signal SPI1_SCLK_T_n : STD_LOGIC;
signal SPI1_SS_T_n : STD_LOGIC;
signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true";
signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true";
signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true";
signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true";
signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true";
signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true";
signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true";
signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true";
signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true";
signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true";
signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true";
signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true";
signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true";
signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true";
signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true";
signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true";
signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 );
signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 );
signal buffered_DDR_CAS_n : STD_LOGIC;
signal buffered_DDR_CKE : STD_LOGIC;
signal buffered_DDR_CS_n : STD_LOGIC;
signal buffered_DDR_Clk : STD_LOGIC;
signal buffered_DDR_Clk_n : STD_LOGIC;
signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DRSTB : STD_LOGIC;
signal buffered_DDR_ODT : STD_LOGIC;
signal buffered_DDR_RAS_n : STD_LOGIC;
signal buffered_DDR_VRN : STD_LOGIC;
signal buffered_DDR_VRP : STD_LOGIC;
signal buffered_DDR_WEB : STD_LOGIC;
signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 );
signal buffered_PS_CLK : STD_LOGIC;
signal buffered_PS_PORB : STD_LOGIC;
signal buffered_PS_SRSTB : STD_LOGIC;
signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS7_i : label is "PRIMITIVE";
attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
begin
ENET0_GMII_TXD(7) <= \<const0>\;
ENET0_GMII_TXD(6) <= \<const0>\;
ENET0_GMII_TXD(5) <= \<const0>\;
ENET0_GMII_TXD(4) <= \<const0>\;
ENET0_GMII_TXD(3) <= \<const0>\;
ENET0_GMII_TXD(2) <= \<const0>\;
ENET0_GMII_TXD(1) <= \<const0>\;
ENET0_GMII_TXD(0) <= \<const0>\;
ENET0_GMII_TX_EN <= \<const0>\;
ENET0_GMII_TX_ER <= \<const0>\;
ENET1_GMII_TXD(7) <= \<const0>\;
ENET1_GMII_TXD(6) <= \<const0>\;
ENET1_GMII_TXD(5) <= \<const0>\;
ENET1_GMII_TXD(4) <= \<const0>\;
ENET1_GMII_TXD(3) <= \<const0>\;
ENET1_GMII_TXD(2) <= \<const0>\;
ENET1_GMII_TXD(1) <= \<const0>\;
ENET1_GMII_TXD(0) <= \<const0>\;
ENET1_GMII_TX_EN <= \<const0>\;
ENET1_GMII_TX_ER <= \<const0>\;
M_AXI_GP0_ARSIZE(2) <= \<const0>\;
M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0);
M_AXI_GP0_AWSIZE(2) <= \<const0>\;
M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0);
M_AXI_GP1_ARSIZE(2) <= \<const0>\;
M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0);
M_AXI_GP1_AWSIZE(2) <= \<const0>\;
M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0);
PJTAG_TDO <= \<const0>\;
TRACE_CLK_OUT <= \<const0>\;
TRACE_CTL <= \TRACE_CTL_PIPE[0]\;
TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0);
DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CAS_n,
PAD => DDR_CAS_n
);
DDR_CKE_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CKE,
PAD => DDR_CKE
);
DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CS_n,
PAD => DDR_CS_n
);
DDR_Clk_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk,
PAD => DDR_Clk
);
DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk_n,
PAD => DDR_Clk_n
);
DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DRSTB,
PAD => DDR_DRSTB
);
DDR_ODT_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_ODT,
PAD => DDR_ODT
);
DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_RAS_n,
PAD => DDR_RAS_n
);
DDR_VRN_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRN,
PAD => DDR_VRN
);
DDR_VRP_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRP,
PAD => DDR_VRP
);
DDR_WEB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_WEB,
PAD => DDR_WEB
);
ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET0_MDIO_T_n,
O => ENET0_MDIO_T
);
ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET1_MDIO_T_n,
O => ENET1_MDIO_T
);
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(0),
O => GPIO_T(0)
);
\GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(10),
O => GPIO_T(10)
);
\GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(11),
O => GPIO_T(11)
);
\GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(12),
O => GPIO_T(12)
);
\GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(13),
O => GPIO_T(13)
);
\GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(14),
O => GPIO_T(14)
);
\GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(15),
O => GPIO_T(15)
);
\GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(16),
O => GPIO_T(16)
);
\GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(17),
O => GPIO_T(17)
);
\GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(18),
O => GPIO_T(18)
);
\GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(19),
O => GPIO_T(19)
);
\GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(1),
O => GPIO_T(1)
);
\GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(20),
O => GPIO_T(20)
);
\GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(21),
O => GPIO_T(21)
);
\GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(22),
O => GPIO_T(22)
);
\GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(23),
O => GPIO_T(23)
);
\GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(24),
O => GPIO_T(24)
);
\GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(25),
O => GPIO_T(25)
);
\GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(26),
O => GPIO_T(26)
);
\GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(27),
O => GPIO_T(27)
);
\GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(28),
O => GPIO_T(28)
);
\GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(29),
O => GPIO_T(29)
);
\GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(2),
O => GPIO_T(2)
);
\GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(30),
O => GPIO_T(30)
);
\GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(31),
O => GPIO_T(31)
);
\GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(32),
O => GPIO_T(32)
);
\GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(33),
O => GPIO_T(33)
);
\GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(34),
O => GPIO_T(34)
);
\GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(35),
O => GPIO_T(35)
);
\GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(36),
O => GPIO_T(36)
);
\GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(37),
O => GPIO_T(37)
);
\GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(38),
O => GPIO_T(38)
);
\GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(39),
O => GPIO_T(39)
);
\GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(3),
O => GPIO_T(3)
);
\GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(40),
O => GPIO_T(40)
);
\GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(41),
O => GPIO_T(41)
);
\GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(42),
O => GPIO_T(42)
);
\GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(43),
O => GPIO_T(43)
);
\GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(44),
O => GPIO_T(44)
);
\GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(45),
O => GPIO_T(45)
);
\GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(46),
O => GPIO_T(46)
);
\GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(47),
O => GPIO_T(47)
);
\GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(48),
O => GPIO_T(48)
);
\GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(49),
O => GPIO_T(49)
);
\GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(4),
O => GPIO_T(4)
);
\GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(50),
O => GPIO_T(50)
);
\GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(51),
O => GPIO_T(51)
);
\GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(52),
O => GPIO_T(52)
);
\GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(53),
O => GPIO_T(53)
);
\GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(54),
O => GPIO_T(54)
);
\GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(55),
O => GPIO_T(55)
);
\GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(56),
O => GPIO_T(56)
);
\GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(57),
O => GPIO_T(57)
);
\GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(58),
O => GPIO_T(58)
);
\GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(59),
O => GPIO_T(59)
);
\GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(5),
O => GPIO_T(5)
);
\GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(60),
O => GPIO_T(60)
);
\GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(61),
O => GPIO_T(61)
);
\GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(62),
O => GPIO_T(62)
);
\GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(63),
O => GPIO_T(63)
);
\GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(6),
O => GPIO_T(6)
);
\GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(7),
O => GPIO_T(7)
);
\GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(8),
O => GPIO_T(8)
);
\GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(9),
O => GPIO_T(9)
);
I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SCL_T_n,
O => I2C0_SCL_T
);
I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SDA_T_n,
O => I2C0_SDA_T
);
I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SCL_T_n,
O => I2C1_SCL_T
);
I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SDA_T_n,
O => I2C1_SDA_T
);
PS7_i: unisim.vcomponents.PS7
port map (
DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0),
DDRARB(3 downto 0) => DDR_ARB(3 downto 0),
DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0),
DDRCASB => buffered_DDR_CAS_n,
DDRCKE => buffered_DDR_CKE,
DDRCKN => buffered_DDR_Clk_n,
DDRCKP => buffered_DDR_Clk,
DDRCSB => buffered_DDR_CS_n,
DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0),
DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0),
DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0),
DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0),
DDRDRSTB => buffered_DDR_DRSTB,
DDRODT => buffered_DDR_ODT,
DDRRASB => buffered_DDR_RAS_n,
DDRVRN => buffered_DDR_VRN,
DDRVRP => buffered_DDR_VRP,
DDRWEB => buffered_DDR_WEB,
DMA0ACLK => DMA0_ACLK,
DMA0DAREADY => DMA0_DAREADY,
DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0),
DMA0DAVALID => DMA0_DAVALID,
DMA0DRLAST => DMA0_DRLAST,
DMA0DRREADY => DMA0_DRREADY,
DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0),
DMA0DRVALID => DMA0_DRVALID,
DMA0RSTN => DMA0_RSTN,
DMA1ACLK => DMA1_ACLK,
DMA1DAREADY => DMA1_DAREADY,
DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0),
DMA1DAVALID => DMA1_DAVALID,
DMA1DRLAST => DMA1_DRLAST,
DMA1DRREADY => DMA1_DRREADY,
DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0),
DMA1DRVALID => DMA1_DRVALID,
DMA1RSTN => DMA1_RSTN,
DMA2ACLK => DMA2_ACLK,
DMA2DAREADY => DMA2_DAREADY,
DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0),
DMA2DAVALID => DMA2_DAVALID,
DMA2DRLAST => DMA2_DRLAST,
DMA2DRREADY => DMA2_DRREADY,
DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0),
DMA2DRVALID => DMA2_DRVALID,
DMA2RSTN => DMA2_RSTN,
DMA3ACLK => DMA3_ACLK,
DMA3DAREADY => DMA3_DAREADY,
DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0),
DMA3DAVALID => DMA3_DAVALID,
DMA3DRLAST => DMA3_DRLAST,
DMA3DRREADY => DMA3_DRREADY,
DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0),
DMA3DRVALID => DMA3_DRVALID,
DMA3RSTN => DMA3_RSTN,
EMIOCAN0PHYRX => CAN0_PHY_RX,
EMIOCAN0PHYTX => CAN0_PHY_TX,
EMIOCAN1PHYRX => CAN1_PHY_RX,
EMIOCAN1PHYTX => CAN1_PHY_TX,
EMIOENET0EXTINTIN => ENET0_EXT_INTIN,
EMIOENET0GMIICOL => '0',
EMIOENET0GMIICRS => '0',
EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK,
EMIOENET0GMIIRXD(7 downto 0) => B"00000000",
EMIOENET0GMIIRXDV => '0',
EMIOENET0GMIIRXER => '0',
EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK,
EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED,
EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED,
EMIOENET0MDIOI => ENET0_MDIO_I,
EMIOENET0MDIOMDC => ENET0_MDIO_MDC,
EMIOENET0MDIOO => ENET0_MDIO_O,
EMIOENET0MDIOTN => ENET0_MDIO_T_n,
EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX,
EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX,
EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX,
EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX,
EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX,
EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX,
EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX,
EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX,
EMIOENET0SOFRX => ENET0_SOF_RX,
EMIOENET0SOFTX => ENET0_SOF_TX,
EMIOENET1EXTINTIN => ENET1_EXT_INTIN,
EMIOENET1GMIICOL => '0',
EMIOENET1GMIICRS => '0',
EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK,
EMIOENET1GMIIRXD(7 downto 0) => B"00000000",
EMIOENET1GMIIRXDV => '0',
EMIOENET1GMIIRXER => '0',
EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK,
EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED,
EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED,
EMIOENET1MDIOI => ENET1_MDIO_I,
EMIOENET1MDIOMDC => ENET1_MDIO_MDC,
EMIOENET1MDIOO => ENET1_MDIO_O,
EMIOENET1MDIOTN => ENET1_MDIO_T_n,
EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX,
EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX,
EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX,
EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX,
EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX,
EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX,
EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX,
EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX,
EMIOENET1SOFRX => ENET1_SOF_RX,
EMIOENET1SOFTX => ENET1_SOF_TX,
EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0),
EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0),
EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0),
EMIOI2C0SCLI => I2C0_SCL_I,
EMIOI2C0SCLO => I2C0_SCL_O,
EMIOI2C0SCLTN => I2C0_SCL_T_n,
EMIOI2C0SDAI => I2C0_SDA_I,
EMIOI2C0SDAO => I2C0_SDA_O,
EMIOI2C0SDATN => I2C0_SDA_T_n,
EMIOI2C1SCLI => I2C1_SCL_I,
EMIOI2C1SCLO => I2C1_SCL_O,
EMIOI2C1SCLTN => I2C1_SCL_T_n,
EMIOI2C1SDAI => I2C1_SDA_I,
EMIOI2C1SDAO => I2C1_SDA_O,
EMIOI2C1SDATN => I2C1_SDA_T_n,
EMIOPJTAGTCK => PJTAG_TCK,
EMIOPJTAGTDI => PJTAG_TDI,
EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED,
EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED,
EMIOPJTAGTMS => PJTAG_TMS,
EMIOSDIO0BUSPOW => SDIO0_BUSPOW,
EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0),
EMIOSDIO0CDN => SDIO0_CDN,
EMIOSDIO0CLK => SDIO0_CLK,
EMIOSDIO0CLKFB => SDIO0_CLK_FB,
EMIOSDIO0CMDI => SDIO0_CMD_I,
EMIOSDIO0CMDO => SDIO0_CMD_O,
EMIOSDIO0CMDTN => SDIO0_CMD_T_n,
EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0),
EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0),
EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0),
EMIOSDIO0LED => SDIO0_LED,
EMIOSDIO0WP => SDIO0_WP,
EMIOSDIO1BUSPOW => SDIO1_BUSPOW,
EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0),
EMIOSDIO1CDN => SDIO1_CDN,
EMIOSDIO1CLK => SDIO1_CLK,
EMIOSDIO1CLKFB => SDIO1_CLK_FB,
EMIOSDIO1CMDI => SDIO1_CMD_I,
EMIOSDIO1CMDO => SDIO1_CMD_O,
EMIOSDIO1CMDTN => SDIO1_CMD_T_n,
EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0),
EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0),
EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0),
EMIOSDIO1LED => SDIO1_LED,
EMIOSDIO1WP => SDIO1_WP,
EMIOSPI0MI => SPI0_MISO_I,
EMIOSPI0MO => SPI0_MOSI_O,
EMIOSPI0MOTN => SPI0_MOSI_T_n,
EMIOSPI0SCLKI => SPI0_SCLK_I,
EMIOSPI0SCLKO => SPI0_SCLK_O,
EMIOSPI0SCLKTN => SPI0_SCLK_T_n,
EMIOSPI0SI => SPI0_MOSI_I,
EMIOSPI0SO => SPI0_MISO_O,
EMIOSPI0SSIN => SPI0_SS_I,
EMIOSPI0SSNTN => SPI0_SS_T_n,
EMIOSPI0SSON(2) => SPI0_SS2_O,
EMIOSPI0SSON(1) => SPI0_SS1_O,
EMIOSPI0SSON(0) => SPI0_SS_O,
EMIOSPI0STN => SPI0_MISO_T_n,
EMIOSPI1MI => SPI1_MISO_I,
EMIOSPI1MO => SPI1_MOSI_O,
EMIOSPI1MOTN => SPI1_MOSI_T_n,
EMIOSPI1SCLKI => SPI1_SCLK_I,
EMIOSPI1SCLKO => SPI1_SCLK_O,
EMIOSPI1SCLKTN => SPI1_SCLK_T_n,
EMIOSPI1SI => SPI1_MOSI_I,
EMIOSPI1SO => SPI1_MISO_O,
EMIOSPI1SSIN => SPI1_SS_I,
EMIOSPI1SSNTN => SPI1_SS_T_n,
EMIOSPI1SSON(2) => SPI1_SS2_O,
EMIOSPI1SSON(1) => SPI1_SS1_O,
EMIOSPI1SSON(0) => SPI1_SS_O,
EMIOSPI1STN => SPI1_MISO_T_n,
EMIOSRAMINTIN => SRAM_INTIN,
EMIOTRACECLK => TRACE_CLK,
EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED,
EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0),
EMIOTTC0CLKI(2) => TTC0_CLK2_IN,
EMIOTTC0CLKI(1) => TTC0_CLK1_IN,
EMIOTTC0CLKI(0) => TTC0_CLK0_IN,
EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT,
EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT,
EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT,
EMIOTTC1CLKI(2) => TTC1_CLK2_IN,
EMIOTTC1CLKI(1) => TTC1_CLK1_IN,
EMIOTTC1CLKI(0) => TTC1_CLK0_IN,
EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT,
EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT,
EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT,
EMIOUART0CTSN => UART0_CTSN,
EMIOUART0DCDN => UART0_DCDN,
EMIOUART0DSRN => UART0_DSRN,
EMIOUART0DTRN => UART0_DTRN,
EMIOUART0RIN => UART0_RIN,
EMIOUART0RTSN => UART0_RTSN,
EMIOUART0RX => UART0_RX,
EMIOUART0TX => UART0_TX,
EMIOUART1CTSN => UART1_CTSN,
EMIOUART1DCDN => UART1_DCDN,
EMIOUART1DSRN => UART1_DSRN,
EMIOUART1DTRN => UART1_DTRN,
EMIOUART1RIN => UART1_RIN,
EMIOUART1RTSN => UART1_RTSN,
EMIOUART1RX => UART1_RX,
EMIOUART1TX => UART1_TX,
EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0),
EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT,
EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT,
EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0),
EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT,
EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT,
EMIOWDTCLKI => WDT_CLK_IN,
EMIOWDTRSTO => WDT_RST_OUT,
EVENTEVENTI => EVENT_EVENTI,
EVENTEVENTO => EVENT_EVENTO,
EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0),
EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0),
FCLKCLK(3) => FCLK_CLK3,
FCLKCLK(2) => FCLK_CLK2,
FCLKCLK(1) => FCLK_CLK1,
FCLKCLK(0) => FCLK_CLK_unbuffered(0),
FCLKCLKTRIGN(3 downto 0) => B"0000",
FCLKRESETN(3) => FCLK_RESET3_N,
FCLKRESETN(2) => FCLK_RESET2_N,
FCLKRESETN(1) => FCLK_RESET1_N,
FCLKRESETN(0) => FCLK_RESET0_N,
FPGAIDLEN => FPGA_IDLE_N,
FTMDTRACEINATID(3 downto 0) => B"0000",
FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK,
FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000",
FTMDTRACEINVALID => '0',
FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0),
FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3,
FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2,
FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1,
FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0,
FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3,
FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2,
FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1,
FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0,
FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0),
FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3,
FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2,
FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1,
FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0,
FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3,
FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2,
FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1,
FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0,
IRQF2P(19) => Core1_nFIQ,
IRQF2P(18) => Core0_nFIQ,
IRQF2P(17) => Core1_nIRQ,
IRQF2P(16) => Core0_nIRQ,
IRQF2P(15 downto 1) => B"000000000000000",
IRQF2P(0) => IRQ_F2P(0),
IRQP2F(28) => IRQ_P2F_DMAC_ABORT,
IRQP2F(27) => IRQ_P2F_DMAC7,
IRQP2F(26) => IRQ_P2F_DMAC6,
IRQP2F(25) => IRQ_P2F_DMAC5,
IRQP2F(24) => IRQ_P2F_DMAC4,
IRQP2F(23) => IRQ_P2F_DMAC3,
IRQP2F(22) => IRQ_P2F_DMAC2,
IRQP2F(21) => IRQ_P2F_DMAC1,
IRQP2F(20) => IRQ_P2F_DMAC0,
IRQP2F(19) => IRQ_P2F_SMC,
IRQP2F(18) => IRQ_P2F_QSPI,
IRQP2F(17) => IRQ_P2F_CTI,
IRQP2F(16) => IRQ_P2F_GPIO,
IRQP2F(15) => IRQ_P2F_USB0,
IRQP2F(14) => IRQ_P2F_ENET0,
IRQP2F(13) => IRQ_P2F_ENET_WAKE0,
IRQP2F(12) => IRQ_P2F_SDIO0,
IRQP2F(11) => IRQ_P2F_I2C0,
IRQP2F(10) => IRQ_P2F_SPI0,
IRQP2F(9) => IRQ_P2F_UART0,
IRQP2F(8) => IRQ_P2F_CAN0,
IRQP2F(7) => IRQ_P2F_USB1,
IRQP2F(6) => IRQ_P2F_ENET1,
IRQP2F(5) => IRQ_P2F_ENET_WAKE1,
IRQP2F(4) => IRQ_P2F_SDIO1,
IRQP2F(3) => IRQ_P2F_I2C1,
IRQP2F(2) => IRQ_P2F_SPI1,
IRQP2F(1) => IRQ_P2F_UART1,
IRQP2F(0) => IRQ_P2F_CAN1,
MAXIGP0ACLK => M_AXI_GP0_ACLK,
MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
MAXIGP0ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0),
MAXIGP0ARESETN => M_AXI_GP0_ARESETN,
MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
MAXIGP0ARREADY => M_AXI_GP0_ARREADY,
MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0),
MAXIGP0ARVALID => M_AXI_GP0_ARVALID,
MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
MAXIGP0AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0),
MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
MAXIGP0AWREADY => M_AXI_GP0_AWREADY,
MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0),
MAXIGP0AWVALID => M_AXI_GP0_AWVALID,
MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
MAXIGP0BREADY => M_AXI_GP0_BREADY,
MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
MAXIGP0BVALID => M_AXI_GP0_BVALID,
MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
MAXIGP0RLAST => M_AXI_GP0_RLAST,
MAXIGP0RREADY => M_AXI_GP0_RREADY,
MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
MAXIGP0RVALID => M_AXI_GP0_RVALID,
MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
MAXIGP0WLAST => M_AXI_GP0_WLAST,
MAXIGP0WREADY => M_AXI_GP0_WREADY,
MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
MAXIGP0WVALID => M_AXI_GP0_WVALID,
MAXIGP1ACLK => M_AXI_GP1_ACLK,
MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0),
MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0),
MAXIGP1ARCACHE(3 downto 0) => M_AXI_GP1_ARCACHE(3 downto 0),
MAXIGP1ARESETN => M_AXI_GP1_ARESETN,
MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0),
MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0),
MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0),
MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0),
MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0),
MAXIGP1ARREADY => M_AXI_GP1_ARREADY,
MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0),
MAXIGP1ARVALID => M_AXI_GP1_ARVALID,
MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0),
MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0),
MAXIGP1AWCACHE(3 downto 0) => M_AXI_GP1_AWCACHE(3 downto 0),
MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0),
MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0),
MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0),
MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0),
MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0),
MAXIGP1AWREADY => M_AXI_GP1_AWREADY,
MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0),
MAXIGP1AWVALID => M_AXI_GP1_AWVALID,
MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0),
MAXIGP1BREADY => M_AXI_GP1_BREADY,
MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0),
MAXIGP1BVALID => M_AXI_GP1_BVALID,
MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0),
MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0),
MAXIGP1RLAST => M_AXI_GP1_RLAST,
MAXIGP1RREADY => M_AXI_GP1_RREADY,
MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0),
MAXIGP1RVALID => M_AXI_GP1_RVALID,
MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0),
MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0),
MAXIGP1WLAST => M_AXI_GP1_WLAST,
MAXIGP1WREADY => M_AXI_GP1_WREADY,
MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0),
MAXIGP1WVALID => M_AXI_GP1_WVALID,
MIO(53 downto 0) => buffered_MIO(53 downto 0),
PSCLK => buffered_PS_CLK,
PSPORB => buffered_PS_PORB,
PSSRSTB => buffered_PS_SRSTB,
SAXIACPACLK => S_AXI_ACP_ACLK,
SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0),
SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0),
SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0),
SAXIACPARESETN => S_AXI_ACP_ARESETN,
SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0),
SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0),
SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0),
SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0),
SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0),
SAXIACPARREADY => S_AXI_ACP_ARREADY,
SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0),
SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0),
SAXIACPARVALID => S_AXI_ACP_ARVALID,
SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0),
SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0),
SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0),
SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0),
SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0),
SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0),
SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0),
SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0),
SAXIACPAWREADY => S_AXI_ACP_AWREADY,
SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0),
SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0),
SAXIACPAWVALID => S_AXI_ACP_AWVALID,
SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0),
SAXIACPBREADY => S_AXI_ACP_BREADY,
SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0),
SAXIACPBVALID => S_AXI_ACP_BVALID,
SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0),
SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0),
SAXIACPRLAST => S_AXI_ACP_RLAST,
SAXIACPRREADY => S_AXI_ACP_RREADY,
SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0),
SAXIACPRVALID => S_AXI_ACP_RVALID,
SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0),
SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0),
SAXIACPWLAST => S_AXI_ACP_WLAST,
SAXIACPWREADY => S_AXI_ACP_WREADY,
SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0),
SAXIACPWVALID => S_AXI_ACP_WVALID,
SAXIGP0ACLK => S_AXI_GP0_ACLK,
SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0),
SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0),
SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0),
SAXIGP0ARESETN => S_AXI_GP0_ARESETN,
SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0),
SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0),
SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0),
SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0),
SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0),
SAXIGP0ARREADY => S_AXI_GP0_ARREADY,
SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0),
SAXIGP0ARVALID => S_AXI_GP0_ARVALID,
SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0),
SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0),
SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0),
SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0),
SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0),
SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0),
SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0),
SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0),
SAXIGP0AWREADY => S_AXI_GP0_AWREADY,
SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0),
SAXIGP0AWVALID => S_AXI_GP0_AWVALID,
SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0),
SAXIGP0BREADY => S_AXI_GP0_BREADY,
SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0),
SAXIGP0BVALID => S_AXI_GP0_BVALID,
SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0),
SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0),
SAXIGP0RLAST => S_AXI_GP0_RLAST,
SAXIGP0RREADY => S_AXI_GP0_RREADY,
SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0),
SAXIGP0RVALID => S_AXI_GP0_RVALID,
SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0),
SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0),
SAXIGP0WLAST => S_AXI_GP0_WLAST,
SAXIGP0WREADY => S_AXI_GP0_WREADY,
SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0),
SAXIGP0WVALID => S_AXI_GP0_WVALID,
SAXIGP1ACLK => S_AXI_GP1_ACLK,
SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0),
SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0),
SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0),
SAXIGP1ARESETN => S_AXI_GP1_ARESETN,
SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0),
SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0),
SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0),
SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0),
SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0),
SAXIGP1ARREADY => S_AXI_GP1_ARREADY,
SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0),
SAXIGP1ARVALID => S_AXI_GP1_ARVALID,
SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0),
SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0),
SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0),
SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0),
SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0),
SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0),
SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0),
SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0),
SAXIGP1AWREADY => S_AXI_GP1_AWREADY,
SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0),
SAXIGP1AWVALID => S_AXI_GP1_AWVALID,
SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0),
SAXIGP1BREADY => S_AXI_GP1_BREADY,
SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0),
SAXIGP1BVALID => S_AXI_GP1_BVALID,
SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0),
SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0),
SAXIGP1RLAST => S_AXI_GP1_RLAST,
SAXIGP1RREADY => S_AXI_GP1_RREADY,
SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0),
SAXIGP1RVALID => S_AXI_GP1_RVALID,
SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0),
SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0),
SAXIGP1WLAST => S_AXI_GP1_WLAST,
SAXIGP1WREADY => S_AXI_GP1_WREADY,
SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0),
SAXIGP1WVALID => S_AXI_GP1_WVALID,
SAXIHP0ACLK => S_AXI_HP0_ACLK,
SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0),
SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0),
SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0),
SAXIHP0ARESETN => S_AXI_HP0_ARESETN,
SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0),
SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0),
SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0),
SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0),
SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0),
SAXIHP0ARREADY => S_AXI_HP0_ARREADY,
SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0),
SAXIHP0ARVALID => S_AXI_HP0_ARVALID,
SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0),
SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0),
SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0),
SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0),
SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0),
SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0),
SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0),
SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0),
SAXIHP0AWREADY => S_AXI_HP0_AWREADY,
SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0),
SAXIHP0AWVALID => S_AXI_HP0_AWVALID,
SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0),
SAXIHP0BREADY => S_AXI_HP0_BREADY,
SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0),
SAXIHP0BVALID => S_AXI_HP0_BVALID,
SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0),
SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0),
SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0),
SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN,
SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0),
SAXIHP0RLAST => S_AXI_HP0_RLAST,
SAXIHP0RREADY => S_AXI_HP0_RREADY,
SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0),
SAXIHP0RVALID => S_AXI_HP0_RVALID,
SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0),
SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0),
SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0),
SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0),
SAXIHP0WLAST => S_AXI_HP0_WLAST,
SAXIHP0WREADY => S_AXI_HP0_WREADY,
SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN,
SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0),
SAXIHP0WVALID => S_AXI_HP0_WVALID,
SAXIHP1ACLK => S_AXI_HP1_ACLK,
SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0),
SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0),
SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0),
SAXIHP1ARESETN => S_AXI_HP1_ARESETN,
SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0),
SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0),
SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0),
SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0),
SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0),
SAXIHP1ARREADY => S_AXI_HP1_ARREADY,
SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0),
SAXIHP1ARVALID => S_AXI_HP1_ARVALID,
SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0),
SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0),
SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0),
SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0),
SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0),
SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0),
SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0),
SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0),
SAXIHP1AWREADY => S_AXI_HP1_AWREADY,
SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0),
SAXIHP1AWVALID => S_AXI_HP1_AWVALID,
SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0),
SAXIHP1BREADY => S_AXI_HP1_BREADY,
SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0),
SAXIHP1BVALID => S_AXI_HP1_BVALID,
SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0),
SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0),
SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0),
SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN,
SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0),
SAXIHP1RLAST => S_AXI_HP1_RLAST,
SAXIHP1RREADY => S_AXI_HP1_RREADY,
SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0),
SAXIHP1RVALID => S_AXI_HP1_RVALID,
SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0),
SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0),
SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0),
SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0),
SAXIHP1WLAST => S_AXI_HP1_WLAST,
SAXIHP1WREADY => S_AXI_HP1_WREADY,
SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN,
SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0),
SAXIHP1WVALID => S_AXI_HP1_WVALID,
SAXIHP2ACLK => S_AXI_HP2_ACLK,
SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0),
SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0),
SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0),
SAXIHP2ARESETN => S_AXI_HP2_ARESETN,
SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0),
SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0),
SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0),
SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0),
SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0),
SAXIHP2ARREADY => S_AXI_HP2_ARREADY,
SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0),
SAXIHP2ARVALID => S_AXI_HP2_ARVALID,
SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0),
SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0),
SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0),
SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0),
SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0),
SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0),
SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0),
SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0),
SAXIHP2AWREADY => S_AXI_HP2_AWREADY,
SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0),
SAXIHP2AWVALID => S_AXI_HP2_AWVALID,
SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0),
SAXIHP2BREADY => S_AXI_HP2_BREADY,
SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0),
SAXIHP2BVALID => S_AXI_HP2_BVALID,
SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0),
SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0),
SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0),
SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN,
SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0),
SAXIHP2RLAST => S_AXI_HP2_RLAST,
SAXIHP2RREADY => S_AXI_HP2_RREADY,
SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0),
SAXIHP2RVALID => S_AXI_HP2_RVALID,
SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0),
SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0),
SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0),
SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0),
SAXIHP2WLAST => S_AXI_HP2_WLAST,
SAXIHP2WREADY => S_AXI_HP2_WREADY,
SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN,
SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0),
SAXIHP2WVALID => S_AXI_HP2_WVALID,
SAXIHP3ACLK => S_AXI_HP3_ACLK,
SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0),
SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0),
SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0),
SAXIHP3ARESETN => S_AXI_HP3_ARESETN,
SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0),
SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0),
SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0),
SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0),
SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0),
SAXIHP3ARREADY => S_AXI_HP3_ARREADY,
SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0),
SAXIHP3ARVALID => S_AXI_HP3_ARVALID,
SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0),
SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0),
SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0),
SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0),
SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0),
SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0),
SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0),
SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0),
SAXIHP3AWREADY => S_AXI_HP3_AWREADY,
SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0),
SAXIHP3AWVALID => S_AXI_HP3_AWVALID,
SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0),
SAXIHP3BREADY => S_AXI_HP3_BREADY,
SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0),
SAXIHP3BVALID => S_AXI_HP3_BVALID,
SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0),
SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0),
SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0),
SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN,
SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0),
SAXIHP3RLAST => S_AXI_HP3_RLAST,
SAXIHP3RREADY => S_AXI_HP3_RREADY,
SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0),
SAXIHP3RVALID => S_AXI_HP3_RVALID,
SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0),
SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0),
SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0),
SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0),
SAXIHP3WLAST => S_AXI_HP3_WLAST,
SAXIHP3WREADY => S_AXI_HP3_WREADY,
SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN,
SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0),
SAXIHP3WVALID => S_AXI_HP3_WVALID
);
PS_CLK_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_CLK,
PAD => PS_CLK
);
PS_PORB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_PORB,
PAD => PS_PORB
);
PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_SRSTB,
PAD => PS_SRSTB
);
SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_CMD_T_n,
O => SDIO0_CMD_T
);
\SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(0),
O => SDIO0_DATA_T(0)
);
\SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(1),
O => SDIO0_DATA_T(1)
);
\SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(2),
O => SDIO0_DATA_T(2)
);
\SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(3),
O => SDIO0_DATA_T(3)
);
SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_CMD_T_n,
O => SDIO1_CMD_T
);
\SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(0),
O => SDIO1_DATA_T(0)
);
\SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(1),
O => SDIO1_DATA_T(1)
);
\SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(2),
O => SDIO1_DATA_T(2)
);
\SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(3),
O => SDIO1_DATA_T(3)
);
SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MISO_T_n,
O => SPI0_MISO_T
);
SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MOSI_T_n,
O => SPI0_MOSI_T
);
SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SCLK_T_n,
O => SPI0_SCLK_T
);
SPI0_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SS_T_n,
O => SPI0_SS_T
);
SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MISO_T_n,
O => SPI1_MISO_T
);
SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MOSI_T_n,
O => SPI1_MOSI_T
);
SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SCLK_T_n,
O => SPI1_SCLK_T
);
SPI1_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SS_T_n,
O => SPI1_SS_T
);
\buffer_fclk_clk_0.FCLK_CLK_0_BUFG\: unisim.vcomponents.BUFG
port map (
I => FCLK_CLK_unbuffered(0),
O => FCLK_CLK0
);
\genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(0),
PAD => MIO(0)
);
\genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(10),
PAD => MIO(10)
);
\genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(11),
PAD => MIO(11)
);
\genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(12),
PAD => MIO(12)
);
\genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(13),
PAD => MIO(13)
);
\genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(14),
PAD => MIO(14)
);
\genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(15),
PAD => MIO(15)
);
\genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(16),
PAD => MIO(16)
);
\genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(17),
PAD => MIO(17)
);
\genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(18),
PAD => MIO(18)
);
\genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(19),
PAD => MIO(19)
);
\genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(1),
PAD => MIO(1)
);
\genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(20),
PAD => MIO(20)
);
\genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(21),
PAD => MIO(21)
);
\genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(22),
PAD => MIO(22)
);
\genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(23),
PAD => MIO(23)
);
\genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(24),
PAD => MIO(24)
);
\genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(25),
PAD => MIO(25)
);
\genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(26),
PAD => MIO(26)
);
\genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(27),
PAD => MIO(27)
);
\genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(28),
PAD => MIO(28)
);
\genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(29),
PAD => MIO(29)
);
\genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(2),
PAD => MIO(2)
);
\genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(30),
PAD => MIO(30)
);
\genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(31),
PAD => MIO(31)
);
\genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(32),
PAD => MIO(32)
);
\genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(33),
PAD => MIO(33)
);
\genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(34),
PAD => MIO(34)
);
\genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(35),
PAD => MIO(35)
);
\genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(36),
PAD => MIO(36)
);
\genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(37),
PAD => MIO(37)
);
\genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(38),
PAD => MIO(38)
);
\genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(39),
PAD => MIO(39)
);
\genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(3),
PAD => MIO(3)
);
\genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(40),
PAD => MIO(40)
);
\genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(41),
PAD => MIO(41)
);
\genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(42),
PAD => MIO(42)
);
\genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(43),
PAD => MIO(43)
);
\genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(44),
PAD => MIO(44)
);
\genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(45),
PAD => MIO(45)
);
\genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(46),
PAD => MIO(46)
);
\genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(47),
PAD => MIO(47)
);
\genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(48),
PAD => MIO(48)
);
\genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(49),
PAD => MIO(49)
);
\genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(4),
PAD => MIO(4)
);
\genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(50),
PAD => MIO(50)
);
\genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(51),
PAD => MIO(51)
);
\genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(52),
PAD => MIO(52)
);
\genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(53),
PAD => MIO(53)
);
\genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(5),
PAD => MIO(5)
);
\genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(6),
PAD => MIO(6)
);
\genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(7),
PAD => MIO(7)
);
\genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(8),
PAD => MIO(8)
);
\genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(9),
PAD => MIO(9)
);
\genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(0),
PAD => DDR_BankAddr(0)
);
\genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(1),
PAD => DDR_BankAddr(1)
);
\genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(2),
PAD => DDR_BankAddr(2)
);
\genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(0),
PAD => DDR_Addr(0)
);
\genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(10),
PAD => DDR_Addr(10)
);
\genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(11),
PAD => DDR_Addr(11)
);
\genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(12),
PAD => DDR_Addr(12)
);
\genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(13),
PAD => DDR_Addr(13)
);
\genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(14),
PAD => DDR_Addr(14)
);
\genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(1),
PAD => DDR_Addr(1)
);
\genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(2),
PAD => DDR_Addr(2)
);
\genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(3),
PAD => DDR_Addr(3)
);
\genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(4),
PAD => DDR_Addr(4)
);
\genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(5),
PAD => DDR_Addr(5)
);
\genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(6),
PAD => DDR_Addr(6)
);
\genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(7),
PAD => DDR_Addr(7)
);
\genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(8),
PAD => DDR_Addr(8)
);
\genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(9),
PAD => DDR_Addr(9)
);
\genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(0),
PAD => DDR_DM(0)
);
\genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(1),
PAD => DDR_DM(1)
);
\genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(2),
PAD => DDR_DM(2)
);
\genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(3),
PAD => DDR_DM(3)
);
\genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(0),
PAD => DDR_DQ(0)
);
\genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(10),
PAD => DDR_DQ(10)
);
\genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(11),
PAD => DDR_DQ(11)
);
\genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(12),
PAD => DDR_DQ(12)
);
\genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(13),
PAD => DDR_DQ(13)
);
\genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(14),
PAD => DDR_DQ(14)
);
\genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(15),
PAD => DDR_DQ(15)
);
\genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(16),
PAD => DDR_DQ(16)
);
\genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(17),
PAD => DDR_DQ(17)
);
\genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(18),
PAD => DDR_DQ(18)
);
\genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(19),
PAD => DDR_DQ(19)
);
\genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(1),
PAD => DDR_DQ(1)
);
\genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(20),
PAD => DDR_DQ(20)
);
\genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(21),
PAD => DDR_DQ(21)
);
\genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(22),
PAD => DDR_DQ(22)
);
\genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(23),
PAD => DDR_DQ(23)
);
\genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(24),
PAD => DDR_DQ(24)
);
\genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(25),
PAD => DDR_DQ(25)
);
\genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(26),
PAD => DDR_DQ(26)
);
\genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(27),
PAD => DDR_DQ(27)
);
\genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(28),
PAD => DDR_DQ(28)
);
\genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(29),
PAD => DDR_DQ(29)
);
\genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(2),
PAD => DDR_DQ(2)
);
\genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(30),
PAD => DDR_DQ(30)
);
\genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(31),
PAD => DDR_DQ(31)
);
\genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(3),
PAD => DDR_DQ(3)
);
\genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(4),
PAD => DDR_DQ(4)
);
\genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(5),
PAD => DDR_DQ(5)
);
\genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(6),
PAD => DDR_DQ(6)
);
\genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(7),
PAD => DDR_DQ(7)
);
\genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(8),
PAD => DDR_DQ(8)
);
\genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(9),
PAD => DDR_DQ(9)
);
\genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(0),
PAD => DDR_DQS_n(0)
);
\genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(1),
PAD => DDR_DQS_n(1)
);
\genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(2),
PAD => DDR_DQS_n(2)
);
\genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(3),
PAD => DDR_DQS_n(3)
);
\genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(0),
PAD => DDR_DQS(0)
);
\genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(1),
PAD => DDR_DQS(1)
);
\genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(2),
PAD => DDR_DQS(2)
);
\genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(3),
PAD => DDR_DQS(3)
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[0]\
);
i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(1)
);
i_10: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(1)
);
i_11: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(0)
);
i_12: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(1)
);
i_13: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(0)
);
i_14: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(1)
);
i_15: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(0)
);
i_16: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(1)
);
i_17: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(0)
);
i_18: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(1)
);
i_19: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(0)
);
i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(0)
);
i_20: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(1)
);
i_21: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(0)
);
i_22: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(1)
);
i_23: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(0)
);
i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[7]\
);
i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[6]\
);
i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[5]\
);
i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[4]\
);
i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[3]\
);
i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[2]\
);
i_9: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[1]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_processing_system7_0_2 is
port (
SDIO0_WP : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of gcd_block_design_processing_system7_0_2 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of gcd_block_design_processing_system7_0_2 : entity is "gcd_block_design_processing_system7_0_2,processing_system7_v5_5_processing_system7,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of gcd_block_design_processing_system7_0_2 : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of gcd_block_design_processing_system7_0_2 : entity is "processing_system7_v5_5_processing_system7,Vivado 2018.2";
end gcd_block_design_processing_system7_0_2;
architecture STRUCTURE of gcd_block_design_processing_system7_0_2 is
signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_CLK3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET1_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET2_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FCLK_RESET3_N_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_GPIO_O_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_GPIO_T_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of inst : label is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of inst : label is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of inst : label is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of inst : label is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of inst : label is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of inst : label is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of inst : label is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of inst : label is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of inst : label is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of inst : label is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 0;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 0;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of inst : label is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of inst : label is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of inst : label is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of inst : label is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of inst : label is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of inst : label is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of inst : label is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of inst : label is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of inst : label is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of inst : label is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of inst : label is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of inst : label is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of inst : label is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of inst : label is "gcd_block_design_processing_system7_0_2.hwdef";
attribute POWER : string;
attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={650} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={525} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={9} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={108.333336} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={HSTL_I_18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={7} ioBank={Vcco_p0} clockFreq={200} usageRate={0.5} /><PLL domain={Processor} vco={1300.000} /><PLL domain={Memory} vco={1050.000} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0;
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of DDR_CAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CAS_N";
attribute X_INTERFACE_INFO of DDR_CKE : signal is "xilinx.com:interface:ddrx:1.0 DDR CKE";
attribute X_INTERFACE_INFO of DDR_CS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CS_N";
attribute X_INTERFACE_INFO of DDR_Clk : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_P";
attribute X_INTERFACE_INFO of DDR_Clk_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_N";
attribute X_INTERFACE_INFO of DDR_DRSTB : signal is "xilinx.com:interface:ddrx:1.0 DDR RESET_N";
attribute X_INTERFACE_INFO of DDR_ODT : signal is "xilinx.com:interface:ddrx:1.0 DDR ODT";
attribute X_INTERFACE_INFO of DDR_RAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR RAS_N";
attribute X_INTERFACE_INFO of DDR_VRN : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRN";
attribute X_INTERFACE_INFO of DDR_VRP : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRP";
attribute X_INTERFACE_INFO of DDR_WEB : signal is "xilinx.com:interface:ddrx:1.0 DDR WE_N";
attribute X_INTERFACE_INFO of FCLK_CLK0 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK0 CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of FCLK_CLK0 : signal is "XIL_INTERFACENAME FCLK_CLK0, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0";
attribute X_INTERFACE_INFO of FCLK_RESET0_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET0_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET0_N : signal is "XIL_INTERFACENAME FCLK_RESET0_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of M_AXI_GP0_ACLK : signal is "xilinx.com:signal:clock:1.0 M_AXI_GP0_ACLK CLK";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_ACLK : signal is "XIL_INTERFACENAME M_AXI_GP0_ACLK, ASSOCIATED_BUSIF M_AXI_GP0, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_BVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_RREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_RVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_WREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_WVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WVALID";
attribute X_INTERFACE_INFO of PS_CLK : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_CLK";
attribute X_INTERFACE_INFO of PS_PORB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_PORB";
attribute X_INTERFACE_PARAMETER of PS_PORB : signal is "XIL_INTERFACENAME FIXED_IO, CAN_DEBUG false";
attribute X_INTERFACE_INFO of PS_SRSTB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_SRSTB";
attribute X_INTERFACE_INFO of SDIO0_WP : signal is "xilinx.com:interface:sdio:1.0 SDIO_0 WP";
attribute X_INTERFACE_INFO of USB0_VBUS_PWRFAULT : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 VBUS_PWRFAULT";
attribute X_INTERFACE_INFO of USB0_VBUS_PWRSELECT : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 VBUS_PWRSELECT";
attribute X_INTERFACE_INFO of DDR_Addr : signal is "xilinx.com:interface:ddrx:1.0 DDR ADDR";
attribute X_INTERFACE_INFO of DDR_BankAddr : signal is "xilinx.com:interface:ddrx:1.0 DDR BA";
attribute X_INTERFACE_INFO of DDR_DM : signal is "xilinx.com:interface:ddrx:1.0 DDR DM";
attribute X_INTERFACE_INFO of DDR_DQ : signal is "xilinx.com:interface:ddrx:1.0 DDR DQ";
attribute X_INTERFACE_INFO of DDR_DQS : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_P";
attribute X_INTERFACE_PARAMETER of DDR_DQS : signal is "XIL_INTERFACENAME DDR, CAN_DEBUG false, TIMEPERIOD_PS 1250, MEMORY_TYPE COMPONENTS, DATA_WIDTH 8, CS_ENABLED true, DATA_MASK_ENABLED true, SLOT Single, MEM_ADDR_MAP ROW_COLUMN_BANK, BURST_LENGTH 8, AXI_ARBITRATION_SCHEME TDM, CAS_LATENCY 11, CAS_WRITE_LATENCY 11";
attribute X_INTERFACE_INFO of DDR_DQS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_N";
attribute X_INTERFACE_INFO of IRQ_F2P : signal is "xilinx.com:signal:interrupt:1.0 IRQ_F2P INTERRUPT";
attribute X_INTERFACE_PARAMETER of IRQ_F2P : signal is "XIL_INTERFACENAME IRQ_F2P, SENSITIVITY LEVEL_HIGH, PortWidth 1";
attribute X_INTERFACE_INFO of MIO : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO MIO";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARID";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_BID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_RDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RDATA";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_RDATA : signal is "XIL_INTERFACENAME M_AXI_GP0, SUPPORTS_NARROW_BURST 0, NUM_WRITE_OUTSTANDING 8, NUM_READ_OUTSTANDING 8, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 100000000, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of M_AXI_GP0_RID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_WDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WDATA";
attribute X_INTERFACE_INFO of M_AXI_GP0_WID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WSTRB : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WSTRB";
attribute X_INTERFACE_INFO of USB0_PORT_INDCTL : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 PORT_INDCTL";
begin
inst: entity work.gcd_block_design_processing_system7_0_2_processing_system7_v5_5_processing_system7
port map (
CAN0_PHY_RX => '0',
CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED,
CAN1_PHY_RX => '0',
CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED,
Core0_nFIQ => '0',
Core0_nIRQ => '0',
Core1_nFIQ => '0',
Core1_nIRQ => '0',
DDR_ARB(3 downto 0) => B"0000",
DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0),
DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0),
DDR_CAS_n => DDR_CAS_n,
DDR_CKE => DDR_CKE,
DDR_CS_n => DDR_CS_n,
DDR_Clk => DDR_Clk,
DDR_Clk_n => DDR_Clk_n,
DDR_DM(3 downto 0) => DDR_DM(3 downto 0),
DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0),
DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0),
DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0),
DDR_DRSTB => DDR_DRSTB,
DDR_ODT => DDR_ODT,
DDR_RAS_n => DDR_RAS_n,
DDR_VRN => DDR_VRN,
DDR_VRP => DDR_VRP,
DDR_WEB => DDR_WEB,
DMA0_ACLK => '0',
DMA0_DAREADY => '0',
DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0),
DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED,
DMA0_DRLAST => '0',
DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED,
DMA0_DRTYPE(1 downto 0) => B"00",
DMA0_DRVALID => '0',
DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED,
DMA1_ACLK => '0',
DMA1_DAREADY => '0',
DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0),
DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED,
DMA1_DRLAST => '0',
DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED,
DMA1_DRTYPE(1 downto 0) => B"00",
DMA1_DRVALID => '0',
DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED,
DMA2_ACLK => '0',
DMA2_DAREADY => '0',
DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0),
DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED,
DMA2_DRLAST => '0',
DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED,
DMA2_DRTYPE(1 downto 0) => B"00",
DMA2_DRVALID => '0',
DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED,
DMA3_ACLK => '0',
DMA3_DAREADY => '0',
DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0),
DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED,
DMA3_DRLAST => '0',
DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED,
DMA3_DRTYPE(1 downto 0) => B"00",
DMA3_DRVALID => '0',
DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED,
ENET0_EXT_INTIN => '0',
ENET0_GMII_COL => '0',
ENET0_GMII_CRS => '0',
ENET0_GMII_RXD(7 downto 0) => B"00000000",
ENET0_GMII_RX_CLK => '0',
ENET0_GMII_RX_DV => '0',
ENET0_GMII_RX_ER => '0',
ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0),
ENET0_GMII_TX_CLK => '0',
ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED,
ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED,
ENET0_MDIO_I => '0',
ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED,
ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED,
ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED,
ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED,
ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED,
ENET1_EXT_INTIN => '0',
ENET1_GMII_COL => '0',
ENET1_GMII_CRS => '0',
ENET1_GMII_RXD(7 downto 0) => B"00000000",
ENET1_GMII_RX_CLK => '0',
ENET1_GMII_RX_DV => '0',
ENET1_GMII_RX_ER => '0',
ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0),
ENET1_GMII_TX_CLK => '0',
ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED,
ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED,
ENET1_MDIO_I => '0',
ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED,
ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED,
ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED,
ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED,
ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED,
EVENT_EVENTI => '0',
EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED,
EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0),
EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0),
FCLK_CLK0 => FCLK_CLK0,
FCLK_CLK1 => NLW_inst_FCLK_CLK1_UNCONNECTED,
FCLK_CLK2 => NLW_inst_FCLK_CLK2_UNCONNECTED,
FCLK_CLK3 => NLW_inst_FCLK_CLK3_UNCONNECTED,
FCLK_CLKTRIG0_N => '0',
FCLK_CLKTRIG1_N => '0',
FCLK_CLKTRIG2_N => '0',
FCLK_CLKTRIG3_N => '0',
FCLK_RESET0_N => FCLK_RESET0_N,
FCLK_RESET1_N => NLW_inst_FCLK_RESET1_N_UNCONNECTED,
FCLK_RESET2_N => NLW_inst_FCLK_RESET2_N_UNCONNECTED,
FCLK_RESET3_N => NLW_inst_FCLK_RESET3_N_UNCONNECTED,
FPGA_IDLE_N => '0',
FTMD_TRACEIN_ATID(3 downto 0) => B"0000",
FTMD_TRACEIN_CLK => '0',
FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000",
FTMD_TRACEIN_VALID => '0',
FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000",
FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED,
FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED,
FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED,
FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED,
FTMT_F2P_TRIG_0 => '0',
FTMT_F2P_TRIG_1 => '0',
FTMT_F2P_TRIG_2 => '0',
FTMT_F2P_TRIG_3 => '0',
FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0),
FTMT_P2F_TRIGACK_0 => '0',
FTMT_P2F_TRIGACK_1 => '0',
FTMT_P2F_TRIGACK_2 => '0',
FTMT_P2F_TRIGACK_3 => '0',
FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED,
FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED,
FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED,
FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED,
GPIO_I(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
GPIO_O(63 downto 0) => NLW_inst_GPIO_O_UNCONNECTED(63 downto 0),
GPIO_T(63 downto 0) => NLW_inst_GPIO_T_UNCONNECTED(63 downto 0),
I2C0_SCL_I => '0',
I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED,
I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED,
I2C0_SDA_I => '0',
I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED,
I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED,
I2C1_SCL_I => '0',
I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED,
I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED,
I2C1_SDA_I => '0',
I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED,
I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED,
IRQ_F2P(0) => IRQ_F2P(0),
IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED,
IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED,
IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED,
IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED,
IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED,
IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED,
IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED,
IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED,
IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED,
IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED,
IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED,
IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED,
IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED,
IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED,
IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED,
IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED,
IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED,
IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED,
IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED,
IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED,
IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED,
IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED,
IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED,
IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED,
IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED,
IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED,
IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED,
IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED,
IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED,
MIO(53 downto 0) => MIO(53 downto 0),
M_AXI_GP0_ACLK => M_AXI_GP0_ACLK,
M_AXI_GP0_ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
M_AXI_GP0_ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
M_AXI_GP0_ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0),
M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED,
M_AXI_GP0_ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
M_AXI_GP0_ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
M_AXI_GP0_ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
M_AXI_GP0_ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
M_AXI_GP0_ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
M_AXI_GP0_ARREADY => M_AXI_GP0_ARREADY,
M_AXI_GP0_ARSIZE(2 downto 0) => M_AXI_GP0_ARSIZE(2 downto 0),
M_AXI_GP0_ARVALID => M_AXI_GP0_ARVALID,
M_AXI_GP0_AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
M_AXI_GP0_AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
M_AXI_GP0_AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0),
M_AXI_GP0_AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
M_AXI_GP0_AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
M_AXI_GP0_AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
M_AXI_GP0_AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
M_AXI_GP0_AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
M_AXI_GP0_AWREADY => M_AXI_GP0_AWREADY,
M_AXI_GP0_AWSIZE(2 downto 0) => M_AXI_GP0_AWSIZE(2 downto 0),
M_AXI_GP0_AWVALID => M_AXI_GP0_AWVALID,
M_AXI_GP0_BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
M_AXI_GP0_BREADY => M_AXI_GP0_BREADY,
M_AXI_GP0_BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
M_AXI_GP0_BVALID => M_AXI_GP0_BVALID,
M_AXI_GP0_RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
M_AXI_GP0_RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
M_AXI_GP0_RLAST => M_AXI_GP0_RLAST,
M_AXI_GP0_RREADY => M_AXI_GP0_RREADY,
M_AXI_GP0_RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
M_AXI_GP0_RVALID => M_AXI_GP0_RVALID,
M_AXI_GP0_WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
M_AXI_GP0_WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
M_AXI_GP0_WLAST => M_AXI_GP0_WLAST,
M_AXI_GP0_WREADY => M_AXI_GP0_WREADY,
M_AXI_GP0_WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
M_AXI_GP0_WVALID => M_AXI_GP0_WVALID,
M_AXI_GP1_ACLK => '0',
M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED,
M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0),
M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARREADY => '0',
M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED,
M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0),
M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWREADY => '0',
M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED,
M_AXI_GP1_BID(11 downto 0) => B"000000000000",
M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED,
M_AXI_GP1_BRESP(1 downto 0) => B"00",
M_AXI_GP1_BVALID => '0',
M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000",
M_AXI_GP1_RID(11 downto 0) => B"000000000000",
M_AXI_GP1_RLAST => '0',
M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED,
M_AXI_GP1_RRESP(1 downto 0) => B"00",
M_AXI_GP1_RVALID => '0',
M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0),
M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0),
M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED,
M_AXI_GP1_WREADY => '0',
M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0),
M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED,
PJTAG_TCK => '0',
PJTAG_TDI => '0',
PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED,
PJTAG_TMS => '0',
PS_CLK => PS_CLK,
PS_PORB => PS_PORB,
PS_SRSTB => PS_SRSTB,
SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED,
SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO0_CDN => '0',
SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED,
SDIO0_CLK_FB => '0',
SDIO0_CMD_I => '0',
SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED,
SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED,
SDIO0_DATA_I(3 downto 0) => B"0000",
SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0),
SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0),
SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED,
SDIO0_WP => SDIO0_WP,
SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED,
SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO1_CDN => '0',
SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED,
SDIO1_CLK_FB => '0',
SDIO1_CMD_I => '0',
SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED,
SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED,
SDIO1_DATA_I(3 downto 0) => B"0000",
SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0),
SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0),
SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED,
SDIO1_WP => '0',
SPI0_MISO_I => '0',
SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED,
SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED,
SPI0_MOSI_I => '0',
SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED,
SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED,
SPI0_SCLK_I => '0',
SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED,
SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED,
SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED,
SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED,
SPI0_SS_I => '0',
SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED,
SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED,
SPI1_MISO_I => '0',
SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED,
SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED,
SPI1_MOSI_I => '0',
SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED,
SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED,
SPI1_SCLK_I => '0',
SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED,
SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED,
SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED,
SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED,
SPI1_SS_I => '0',
SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED,
SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED,
SRAM_INTIN => '0',
S_AXI_ACP_ACLK => '0',
S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_ARBURST(1 downto 0) => B"00",
S_AXI_ACP_ARCACHE(3 downto 0) => B"0000",
S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED,
S_AXI_ACP_ARID(2 downto 0) => B"000",
S_AXI_ACP_ARLEN(3 downto 0) => B"0000",
S_AXI_ACP_ARLOCK(1 downto 0) => B"00",
S_AXI_ACP_ARPROT(2 downto 0) => B"000",
S_AXI_ACP_ARQOS(3 downto 0) => B"0000",
S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED,
S_AXI_ACP_ARSIZE(2 downto 0) => B"000",
S_AXI_ACP_ARUSER(4 downto 0) => B"00000",
S_AXI_ACP_ARVALID => '0',
S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_AWBURST(1 downto 0) => B"00",
S_AXI_ACP_AWCACHE(3 downto 0) => B"0000",
S_AXI_ACP_AWID(2 downto 0) => B"000",
S_AXI_ACP_AWLEN(3 downto 0) => B"0000",
S_AXI_ACP_AWLOCK(1 downto 0) => B"00",
S_AXI_ACP_AWPROT(2 downto 0) => B"000",
S_AXI_ACP_AWQOS(3 downto 0) => B"0000",
S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED,
S_AXI_ACP_AWSIZE(2 downto 0) => B"000",
S_AXI_ACP_AWUSER(4 downto 0) => B"00000",
S_AXI_ACP_AWVALID => '0',
S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0),
S_AXI_ACP_BREADY => '0',
S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED,
S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0),
S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0),
S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED,
S_AXI_ACP_RREADY => '0',
S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED,
S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_ACP_WID(2 downto 0) => B"000",
S_AXI_ACP_WLAST => '0',
S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED,
S_AXI_ACP_WSTRB(7 downto 0) => B"00000000",
S_AXI_ACP_WVALID => '0',
S_AXI_GP0_ACLK => '0',
S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_ARBURST(1 downto 0) => B"00",
S_AXI_GP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED,
S_AXI_GP0_ARID(5 downto 0) => B"000000",
S_AXI_GP0_ARLEN(3 downto 0) => B"0000",
S_AXI_GP0_ARLOCK(1 downto 0) => B"00",
S_AXI_GP0_ARPROT(2 downto 0) => B"000",
S_AXI_GP0_ARQOS(3 downto 0) => B"0000",
S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED,
S_AXI_GP0_ARSIZE(2 downto 0) => B"000",
S_AXI_GP0_ARVALID => '0',
S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_AWBURST(1 downto 0) => B"00",
S_AXI_GP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP0_AWID(5 downto 0) => B"000000",
S_AXI_GP0_AWLEN(3 downto 0) => B"0000",
S_AXI_GP0_AWLOCK(1 downto 0) => B"00",
S_AXI_GP0_AWPROT(2 downto 0) => B"000",
S_AXI_GP0_AWQOS(3 downto 0) => B"0000",
S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED,
S_AXI_GP0_AWSIZE(2 downto 0) => B"000",
S_AXI_GP0_AWVALID => '0',
S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0),
S_AXI_GP0_BREADY => '0',
S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED,
S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0),
S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED,
S_AXI_GP0_RREADY => '0',
S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED,
S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_WID(5 downto 0) => B"000000",
S_AXI_GP0_WLAST => '0',
S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED,
S_AXI_GP0_WSTRB(3 downto 0) => B"0000",
S_AXI_GP0_WVALID => '0',
S_AXI_GP1_ACLK => '0',
S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_ARBURST(1 downto 0) => B"00",
S_AXI_GP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED,
S_AXI_GP1_ARID(5 downto 0) => B"000000",
S_AXI_GP1_ARLEN(3 downto 0) => B"0000",
S_AXI_GP1_ARLOCK(1 downto 0) => B"00",
S_AXI_GP1_ARPROT(2 downto 0) => B"000",
S_AXI_GP1_ARQOS(3 downto 0) => B"0000",
S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED,
S_AXI_GP1_ARSIZE(2 downto 0) => B"000",
S_AXI_GP1_ARVALID => '0',
S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_AWBURST(1 downto 0) => B"00",
S_AXI_GP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP1_AWID(5 downto 0) => B"000000",
S_AXI_GP1_AWLEN(3 downto 0) => B"0000",
S_AXI_GP1_AWLOCK(1 downto 0) => B"00",
S_AXI_GP1_AWPROT(2 downto 0) => B"000",
S_AXI_GP1_AWQOS(3 downto 0) => B"0000",
S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED,
S_AXI_GP1_AWSIZE(2 downto 0) => B"000",
S_AXI_GP1_AWVALID => '0',
S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0),
S_AXI_GP1_BREADY => '0',
S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED,
S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0),
S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED,
S_AXI_GP1_RREADY => '0',
S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED,
S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_WID(5 downto 0) => B"000000",
S_AXI_GP1_WLAST => '0',
S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED,
S_AXI_GP1_WSTRB(3 downto 0) => B"0000",
S_AXI_GP1_WVALID => '0',
S_AXI_HP0_ACLK => '0',
S_AXI_HP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_ARBURST(1 downto 0) => B"00",
S_AXI_HP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED,
S_AXI_HP0_ARID(5 downto 0) => B"000000",
S_AXI_HP0_ARLEN(3 downto 0) => B"0000",
S_AXI_HP0_ARLOCK(1 downto 0) => B"00",
S_AXI_HP0_ARPROT(2 downto 0) => B"000",
S_AXI_HP0_ARQOS(3 downto 0) => B"0000",
S_AXI_HP0_ARREADY => NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED,
S_AXI_HP0_ARSIZE(2 downto 0) => B"000",
S_AXI_HP0_ARVALID => '0',
S_AXI_HP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_AWBURST(1 downto 0) => B"00",
S_AXI_HP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP0_AWID(5 downto 0) => B"000000",
S_AXI_HP0_AWLEN(3 downto 0) => B"0000",
S_AXI_HP0_AWLOCK(1 downto 0) => B"00",
S_AXI_HP0_AWPROT(2 downto 0) => B"000",
S_AXI_HP0_AWQOS(3 downto 0) => B"0000",
S_AXI_HP0_AWREADY => NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED,
S_AXI_HP0_AWSIZE(2 downto 0) => B"000",
S_AXI_HP0_AWVALID => '0',
S_AXI_HP0_BID(5 downto 0) => NLW_inst_S_AXI_HP0_BID_UNCONNECTED(5 downto 0),
S_AXI_HP0_BREADY => '0',
S_AXI_HP0_BRESP(1 downto 0) => NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_BVALID => NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED,
S_AXI_HP0_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP0_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_RDATA(63 downto 0) => NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP0_RDISSUECAP1_EN => '0',
S_AXI_HP0_RID(5 downto 0) => NLW_inst_S_AXI_HP0_RID_UNCONNECTED(5 downto 0),
S_AXI_HP0_RLAST => NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED,
S_AXI_HP0_RREADY => '0',
S_AXI_HP0_RRESP(1 downto 0) => NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_RVALID => NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED,
S_AXI_HP0_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP0_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP0_WID(5 downto 0) => B"000000",
S_AXI_HP0_WLAST => '0',
S_AXI_HP0_WREADY => NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED,
S_AXI_HP0_WRISSUECAP1_EN => '0',
S_AXI_HP0_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP0_WVALID => '0',
S_AXI_HP1_ACLK => '0',
S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_ARBURST(1 downto 0) => B"00",
S_AXI_HP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED,
S_AXI_HP1_ARID(5 downto 0) => B"000000",
S_AXI_HP1_ARLEN(3 downto 0) => B"0000",
S_AXI_HP1_ARLOCK(1 downto 0) => B"00",
S_AXI_HP1_ARPROT(2 downto 0) => B"000",
S_AXI_HP1_ARQOS(3 downto 0) => B"0000",
S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED,
S_AXI_HP1_ARSIZE(2 downto 0) => B"000",
S_AXI_HP1_ARVALID => '0',
S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_AWBURST(1 downto 0) => B"00",
S_AXI_HP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP1_AWID(5 downto 0) => B"000000",
S_AXI_HP1_AWLEN(3 downto 0) => B"0000",
S_AXI_HP1_AWLOCK(1 downto 0) => B"00",
S_AXI_HP1_AWPROT(2 downto 0) => B"000",
S_AXI_HP1_AWQOS(3 downto 0) => B"0000",
S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED,
S_AXI_HP1_AWSIZE(2 downto 0) => B"000",
S_AXI_HP1_AWVALID => '0',
S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0),
S_AXI_HP1_BREADY => '0',
S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED,
S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP1_RDISSUECAP1_EN => '0',
S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0),
S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED,
S_AXI_HP1_RREADY => '0',
S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED,
S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP1_WID(5 downto 0) => B"000000",
S_AXI_HP1_WLAST => '0',
S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED,
S_AXI_HP1_WRISSUECAP1_EN => '0',
S_AXI_HP1_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP1_WVALID => '0',
S_AXI_HP2_ACLK => '0',
S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_ARBURST(1 downto 0) => B"00",
S_AXI_HP2_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED,
S_AXI_HP2_ARID(5 downto 0) => B"000000",
S_AXI_HP2_ARLEN(3 downto 0) => B"0000",
S_AXI_HP2_ARLOCK(1 downto 0) => B"00",
S_AXI_HP2_ARPROT(2 downto 0) => B"000",
S_AXI_HP2_ARQOS(3 downto 0) => B"0000",
S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED,
S_AXI_HP2_ARSIZE(2 downto 0) => B"000",
S_AXI_HP2_ARVALID => '0',
S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_AWBURST(1 downto 0) => B"00",
S_AXI_HP2_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP2_AWID(5 downto 0) => B"000000",
S_AXI_HP2_AWLEN(3 downto 0) => B"0000",
S_AXI_HP2_AWLOCK(1 downto 0) => B"00",
S_AXI_HP2_AWPROT(2 downto 0) => B"000",
S_AXI_HP2_AWQOS(3 downto 0) => B"0000",
S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED,
S_AXI_HP2_AWSIZE(2 downto 0) => B"000",
S_AXI_HP2_AWVALID => '0',
S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0),
S_AXI_HP2_BREADY => '0',
S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED,
S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP2_RDISSUECAP1_EN => '0',
S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0),
S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED,
S_AXI_HP2_RREADY => '0',
S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED,
S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP2_WID(5 downto 0) => B"000000",
S_AXI_HP2_WLAST => '0',
S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED,
S_AXI_HP2_WRISSUECAP1_EN => '0',
S_AXI_HP2_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP2_WVALID => '0',
S_AXI_HP3_ACLK => '0',
S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_ARBURST(1 downto 0) => B"00",
S_AXI_HP3_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED,
S_AXI_HP3_ARID(5 downto 0) => B"000000",
S_AXI_HP3_ARLEN(3 downto 0) => B"0000",
S_AXI_HP3_ARLOCK(1 downto 0) => B"00",
S_AXI_HP3_ARPROT(2 downto 0) => B"000",
S_AXI_HP3_ARQOS(3 downto 0) => B"0000",
S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED,
S_AXI_HP3_ARSIZE(2 downto 0) => B"000",
S_AXI_HP3_ARVALID => '0',
S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_AWBURST(1 downto 0) => B"00",
S_AXI_HP3_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP3_AWID(5 downto 0) => B"000000",
S_AXI_HP3_AWLEN(3 downto 0) => B"0000",
S_AXI_HP3_AWLOCK(1 downto 0) => B"00",
S_AXI_HP3_AWPROT(2 downto 0) => B"000",
S_AXI_HP3_AWQOS(3 downto 0) => B"0000",
S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED,
S_AXI_HP3_AWSIZE(2 downto 0) => B"000",
S_AXI_HP3_AWVALID => '0',
S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0),
S_AXI_HP3_BREADY => '0',
S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED,
S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP3_RDISSUECAP1_EN => '0',
S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0),
S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED,
S_AXI_HP3_RREADY => '0',
S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED,
S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP3_WID(5 downto 0) => B"000000",
S_AXI_HP3_WLAST => '0',
S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED,
S_AXI_HP3_WRISSUECAP1_EN => '0',
S_AXI_HP3_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP3_WVALID => '0',
TRACE_CLK => '0',
TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED,
TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED,
TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0),
TTC0_CLK0_IN => '0',
TTC0_CLK1_IN => '0',
TTC0_CLK2_IN => '0',
TTC0_WAVE0_OUT => TTC0_WAVE0_OUT,
TTC0_WAVE1_OUT => TTC0_WAVE1_OUT,
TTC0_WAVE2_OUT => TTC0_WAVE2_OUT,
TTC1_CLK0_IN => '0',
TTC1_CLK1_IN => '0',
TTC1_CLK2_IN => '0',
TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED,
TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED,
TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED,
UART0_CTSN => '0',
UART0_DCDN => '0',
UART0_DSRN => '0',
UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED,
UART0_RIN => '0',
UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED,
UART0_RX => '1',
UART0_TX => NLW_inst_UART0_TX_UNCONNECTED,
UART1_CTSN => '0',
UART1_DCDN => '0',
UART1_DSRN => '0',
UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED,
UART1_RIN => '0',
UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED,
UART1_RX => '1',
UART1_TX => NLW_inst_UART1_TX_UNCONNECTED,
USB0_PORT_INDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0),
USB0_VBUS_PWRFAULT => USB0_VBUS_PWRFAULT,
USB0_VBUS_PWRSELECT => USB0_VBUS_PWRSELECT,
USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB1_VBUS_PWRFAULT => '0',
USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED,
WDT_CLK_IN => '0',
WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED
);
end STRUCTURE;
| mit | d14b7e6164f831e35bb14c30dc34dc43 | 0.639999 | 2.768495 | false | false | false | false |
gardintrapp/cpu_4004 | src/sim_pkg.vhd | 1 | 7,886 | --sim_pkg.vhd ---
--
--Filename: sim_pkg.vhd
--Description:
--Author: Oddbjørn Norstrand <[email protected]>
--Maintainer: Oddbjørn Norstrand <[email protected]>
--Created: Sat Dec 15 19:00:10 2012 (+0100)
--Version: 0.1
--Last-Updated: Sun Dec 16 17:00:44 2012 (+0100)
-- By: oddbjorn
-- Update #: 61
--URL:
--Keywords:
--Compatibility:
--
--
--Commentary:
--
--
--
--
--Change Log:
--
--
--
--
--This program is free software; you can redistribute it and/or
--modify it under the terms of the GNU General Public License as
--published by the Free Software Foundation; either version 3, or
--(at your option) any later version.
--
--This program is distributed in the hope that it will be useful,
--but WITHOUT ANY WARRANTY; without even the implied warranty of
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
--General Public License for more details.
--
--You should have received a copy of the GNU General Public License
--along with this program; see the file COPYING. If not, write to
--the Free Software Foundation, Inc., 51 Franklin Street, Fifth
--Floor, Boston, MA 02110-1301, USA.
--
--
--Code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
use ieee.std_logic_textio.all;
package sim_pkg is
constant ID_LOG : string := "loging";
constant ID_SIM : string := "simlation";
constant ID_RST : string := "reset";
constant ID_CLK : string := "clock";
--File to write log to
file report_file : text open write_mode is "report.out";
--Message severity
type severity_type is (info, note, warning, error, failure);
--Log messages with at least this severity level
shared variable report_log_threshold : severity_type := info;
--Number of suppresed messages. A message is suppressed if it is ignored du
--to a severity level lover than report_log_threshold
shared variable report_sup_msgs : natural := 0;
--Number of messages with same severity level
type num_type is array (0 to 4) of natural;
shared variable report_num : num_type := (others => 0);
--Initialize the report file
procedure report_init (
constant file_name : in string := "report.out");
--Write a log entry
procedure report_log (
constant msg : in string;
constant id : in string;
constant sev : in severity_type);
--Write a log entry if the assertion fails
procedure report_log_assert (
constant condition : in boolean;
constant msg : in string;
constant id : in string;
constant sev : in severity_type);
--Write a report summary
procedure report_log_summary;
--End the simulation
procedure sim_end;
--Drive reset
procedure driver_reset (
signal clk : in std_logic;
signal reset : out std_logic;
constant length : in time := 1 us;
constant active : in std_logic := '0';
constant assert_sync : in boolean := false;
constant deassert_sync : in boolean := true;
constant msg : in string := "Driving reset";
constant id : in string := ID_RST);
--Drive clock
procedure driver_clock (
signal clk : out std_logic;
constant period : in time := 100 ns;
constant phase : in time := 0 ns;
constant msg : in string := "Starting clock generator";
constant id : in string := ID_CLK);
end package sim_pkg;
package body sim_pkg is
procedure report_init (
constant file_name : in string := "report.out") is
variable status : file_open_status;
begin -- procedure report_init
report_log("Logging to file " & file_name, ID_SIM, info);
file_close(report_file);
file_open(status, report_file, file_name, write_mode);
assert status = open_ok report "Error opening report file." severity error;
report file_open_status'image(status) severity note;
end procedure report_init;
procedure report_log (
constant msg : in string;
constant id : in string;
constant sev : in severity_type) is
variable report_line : line;
begin -- procedure report_log
if severity_type'pos(sev) >= severity_type'pos(report_log_threshold) then
write(report_line, time'image(now) & " " & id & " " & severity_type'image(sev) & " : " & msg);
writeline(report_file, report_line);
else
report_sup_msgs := report_sup_msgs + 1;
end if;
report_num(severity_type'pos(sev)) := report_num(severity_type'pos(sev)) + 1;
end procedure report_log;
procedure report_log_assert (
constant condition : in boolean;
constant msg : in string;
constant id : in string;
constant sev : in severity_type) is
begin -- procedure report_log_assert
if not condition then
report_log(msg, id, sev);
end if;
end procedure report_log_assert;
procedure report_log_summary is
variable report_line : line;
constant seperator : string := "-------------------------------------------------------------------------------";
begin -- procedure report_log_summary
write(report_line, seperator);
writeline(report_file, report_line);
write(report_line, string'("Summary"));
writeline(report_file, report_line);
write(report_line, seperator);
writeline(report_file, report_line);
for n in report_num'range loop
write(report_line, severity_type'image(severity_type'val(n)) & "s: " & integer'image(report_num(n)));
writeline(report_file, report_line);
end loop; -- n
write(report_line, string'("Suppressed messged: ") & integer'image(report_sup_msgs));
writeline(report_file, report_line);
write(report_line, seperator);
writeline(report_file, report_line);
write(report_line, string'("Result: "));
if report_num(severity_type'pos(error)) > 0 or report_num(severity_type'pos(failure)) > 0 then
write(report_line, string'("FAIL"));
elsif report_num(severity_type'pos(warning)) > 0 then
write(report_line, string'("PASS with warnings"));
else
write(report_line, string'("PASS"));
end if;
writeline(report_file, report_line);
write(report_line, seperator);
writeline(report_file, report_line);
end procedure report_log_summary;
procedure sim_end is
begin -- procedure sim_end
report_log("Ending simulation", ID_SIM, info);
report_log_summary;
assert false report "Ending simulation. This is not a failure" severity failure;
end procedure sim_end;
procedure driver_reset (
signal clk : in std_logic;
signal reset : out std_logic;
constant length : in time := 1 us;
constant active : in std_logic := '0';
constant assert_sync : in boolean := false;
constant deassert_sync : in boolean := true;
constant msg : in string := "Driving reset";
constant id : in string := ID_RST) is
begin -- procedure driver_reset
report_log(msg, id, info);
reset <= not active;
if assert_sync then
wait until rising_edge(clk);
end if;
reset <= active;
wait for length;
if deassert_sync then
wait until rising_edge(clk);
end if;
reset <= not active;
end procedure driver_reset;
procedure driver_clock (
signal clk : out std_logic;
constant period : in time := 100 ns;
constant phase : in time := 0 ns;
constant msg : in string := "Starting clock generator";
constant id : in string := ID_CLK) is
variable v_clk : std_logic;
begin -- procedure driver_clock
report_log(msg, id, info);
v_clk := '0';
clk <= '0';
wait for phase;
l_clk_gen: loop
wait for period / 2;
v_clk := not v_clk;
clk <= v_clk;
end loop l_clk_gen;
end procedure driver_clock;
end package body sim_pkg;
--sim_pkg.vhd ends here
| gpl-3.0 | 7b8b4d709270ca07c3fa9a67daed3e2e | 0.640538 | 3.684112 | false | false | false | false |
Feuerwerk/fpgaNES | videorom.vhd | 1 | 7,052 | -- megafunction wizard: %RAM: 1-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: videorom.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 18.0.0 Build 614 04/24/2018 SJ Lite Edition
-- ************************************************************
--Copyright (C) 2018 Intel Corporation. All rights reserved.
--Your use of Intel Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Intel Program License
--Subscription Agreement, the Intel Quartus Prime License Agreement,
--the Intel FPGA IP License Agreement, or other applicable license
--agreement, including, without limitation, that your use is for
--the sole purpose of programming logic devices manufactured by
--Intel and sold by Intel or its authorized distributors. Please
--refer to the applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
ENTITY videorom IS
PORT
(
address : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
clken : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '1';
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
rden : IN STD_LOGIC := '1';
wren : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END videorom;
ARCHITECTURE SYN OF videorom IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);
BEGIN
q <= sub_wire0(7 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
clock_enable_input_a => "NORMAL",
clock_enable_output_a => "BYPASS",
init_file => "test_videorom.hex",
intended_device_family => "Cyclone V",
lpm_hint => "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=VROM",
lpm_type => "altsyncram",
numwords_a => 8192,
operation_mode => "SINGLE_PORT",
outdata_aclr_a => "NONE",
outdata_reg_a => "UNREGISTERED",
power_up_uninitialized => "FALSE",
read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ",
widthad_a => 13,
width_a => 8,
width_byteena_a => 1
)
PORT MAP (
address_a => address,
clock0 => clock,
clocken0 => clken,
data_a => data,
rden_a => rden,
wren_a => wren,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrData NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "1"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "1"
-- Retrieval info: PRIVATE: Clken NUMERIC "1"
-- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "1"
-- Retrieval info: PRIVATE: JTAG_ID STRING "VROM"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING "test_videorom.hex"
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "8192"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegData NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "0"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
-- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "13"
-- Retrieval info: PRIVATE: WidthData NUMERIC "8"
-- Retrieval info: PRIVATE: rden NUMERIC "1"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "NORMAL"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INIT_FILE STRING "test_videorom.hex"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=VROM"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "8192"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "13"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 13 0 INPUT NODEFVAL "address[12..0]"
-- Retrieval info: USED_PORT: clken 0 0 0 0 INPUT VCC "clken"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]"
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
-- Retrieval info: USED_PORT: rden 0 0 0 0 INPUT VCC "rden"
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren"
-- Retrieval info: CONNECT: @address_a 0 0 13 0 address 0 0 13 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @clocken0 0 0 0 0 clken 0 0 0 0
-- Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
-- Retrieval info: CONNECT: @rden_a 0 0 0 0 rden 0 0 0 0
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL videorom.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL videorom.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL videorom.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL videorom.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL videorom_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
| gpl-3.0 | 7d0e537590e302736ccff468e45b14a7 | 0.661514 | 3.48419 | false | false | false | false |
astoria-d/super-duper-nes | duper_cartridge/simulation/testbench_duper_cartridge.vhd | 1 | 17,238 | library IEEE;
use IEEE.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.conv_std_logic_vector;
entity testbench_i2c_test is
end testbench_i2c_test;
architecture stimulus of testbench_i2c_test is
constant powerup_time : time := 200 ns;
constant reset_time : time := 800 ns;
constant start_time : time := 12 us;
--DE1 base clock = 50 MHz
constant base_clock_time : time := 20 ns;
--i2c normal clock speed 100 KHz
constant i2c_clock_time : time := 10 us;
---https://wiki.nesdev.com/w/index.php/Clock_rate
--nes cpu clock = 1.789773 MHz
constant nes_clock_time : time := 558 ns;
constant bus_cycle : integer := 3;
---fifo status register
---bit
---7 always 0
---6 always 0
---5 read fifo full
---4 read fifo empty
---3 always 0
---2 always 0
---1 write fifo full
---0 write fifo empty
constant wfifo_empty_bit : integer := 0;
constant wfifo_full_bit : integer := 1;
constant rfifo_empty_bit : integer := 4;
constant rfifo_full_bit : integer := 5;
constant i2c_read : std_logic := '1';
constant i2c_write : std_logic := '0';
component duper_cartridge
port (
pi_reset_n : in std_logic;
pi_base_clk : in std_logic;
--nes side
pi_phi2 : in std_logic;
pi_prg_ce_n : in std_logic;
pi_prg_r_nw : in std_logic;
pi_prg_addr : in std_logic_vector(14 downto 0);
pio_prg_data : inout std_logic_vector(7 downto 0);
pi_chr_ce_n : in std_logic;
pi_chr_oe_n : in std_logic;
pi_chr_we_n : in std_logic;
pi_chr_addr : in std_logic_vector(12 downto 0);
po_chr_data : out std_logic_vector(7 downto 0);
--i2c side
pi_i2c_scl : in std_logic;
pio_i2c_sda : inout std_logic;
--bbb gpio
po_nes_f_full : out std_logic;
po_bbb_f_empty : out std_logic;
po_dbg_cnt : out std_logic_vector (63 downto 0)
);
end component ;
signal reset_input : std_logic;
signal base_clk : std_logic;
signal phi2 : std_logic;
signal prg_ce_n : std_logic;
signal prg_r_nw : std_logic;
signal prg_addr : std_logic_vector(14 downto 0);
signal prg_data : std_logic_vector(7 downto 0);
signal chr_ce_n : std_logic;
signal chr_oe_n : std_logic;
signal chr_we_n : std_logic;
signal chr_addr : std_logic_vector(12 downto 0);
signal chr_data : std_logic_vector(7 downto 0);
signal i2c_scl : std_logic;
signal i2c_sda : std_logic;
signal nes_f_full : std_logic;
signal bbb_f_empty : std_logic;
signal dbg_cnt : std_logic_vector (63 downto 0);
signal reg_rom_data : std_logic_vector(7 downto 0);
signal reg_bbb_data : std_logic_vector (7 downto 0);
signal start_scl : std_logic;
signal step_cnt : integer range 0 to 65535 := 0;
signal stage_cnt : integer range 0 to 65535 := 0;
signal i2c_step_cnt : integer range 0 to 65535 := 0;
begin
---chrrom side disabled..
chr_ce_n <= 'Z';
chr_oe_n <= 'Z';
chr_we_n <= 'Z';
chr_addr <= (others => 'Z');
chr_data <= (others => 'Z');
sim_board : duper_cartridge port map (
reset_input,
base_clk,
phi2,
prg_ce_n,
prg_r_nw,
prg_addr,
prg_data,
chr_ce_n,
chr_oe_n,
chr_we_n,
chr_addr,
chr_data,
i2c_scl,
i2c_sda,
nes_f_full,
bbb_f_empty,
dbg_cnt);
--- input reset.
reset_p: process
begin
reset_input <= '1';
wait for powerup_time;
reset_input <= '0';
wait for reset_time;
reset_input <= '1';
wait;
end process;
--- generate base clock.
clock_p1 : process
begin
base_clk <= '1';
wait for base_clock_time / 2;
base_clk <= '0';
wait for base_clock_time / 2;
end process;
--- nes clock.
clock_p2 : process
begin
phi2 <= '1';
wait for nes_clock_time / 2;
phi2 <= '0';
wait for nes_clock_time / 2;
end process;
--rom save register...
romreg_p : process (phi2)
begin
if (rising_edge(phi2)) then
--bus cycle is 1 cycle delayed.
if (step_cnt mod bus_cycle = 1) then
reg_rom_data <= prg_data;
end if;
end if;
end process;
--- cpu bus emulation...
emu_cpu : process
procedure mem_write
(
addr : in std_logic_vector (14 downto 0);
data : in std_logic_vector (7 downto 0)
) is
begin
prg_ce_n <= '0';
prg_r_nw <= '0';
prg_addr <= addr;
prg_data <= data;
end;
procedure mem_read
(
addr : in std_logic_vector (14 downto 0)
) is
begin
prg_ce_n <= '0';
prg_r_nw <= '1';
prg_addr <= addr;
prg_data <= (others => 'Z');
end;
procedure bus_wait is
begin
prg_ce_n <= '1';
prg_r_nw <= 'Z';
prg_addr <= (others => 'Z');
prg_data <= (others => 'Z');
end;
begin
if (stage_cnt = 0) then
wait for powerup_time + reset_time;
stage_cnt <= stage_cnt + 1;
step_cnt <= 0;
elsif (stage_cnt = 1) then
--pseudo rom read.
if (step_cnt < bus_cycle * 1) then
if (step_cnt mod bus_cycle = 0) then
mem_read (conv_std_logic_vector(16#fffa#, 15));
else
bus_wait;
end if;
step_cnt <= step_cnt + 1;
elsif (step_cnt < bus_cycle * 2) then
if (step_cnt mod bus_cycle = 0) then
mem_read (conv_std_logic_vector(16#fff8#, 15));
else
bus_wait;
end if;
step_cnt <= step_cnt + 1;
else
bus_wait;
step_cnt <= 0;
stage_cnt <= stage_cnt + 1;
end if;
elsif (stage_cnt = 2) then
--polling fifo status.
if (reg_rom_data (rfifo_empty_bit) = '1') then
if (step_cnt mod bus_cycle = 0) then
mem_read (conv_std_logic_vector(16#fff8#, 15));
else
bus_wait;
end if;
step_cnt <= step_cnt + 1;
else
bus_wait;
step_cnt <= 0;
stage_cnt <= stage_cnt + 1;
end if;
elsif (stage_cnt = 3) then
--read fifo..
--wait for test pattern.
if (reg_rom_data /= conv_std_logic_vector(16#5a#, 8)) then
if (step_cnt mod bus_cycle = 0) then
--0xfff9 is fifo read.
mem_read (conv_std_logic_vector(16#fff9#, 15));
else
bus_wait;
end if;
step_cnt <= step_cnt + 1;
else
bus_wait;
step_cnt <= 0;
stage_cnt <= stage_cnt + 1;
end if;
elsif (stage_cnt = 4) then
--push fifo to bbb..
if (step_cnt mod bus_cycle = 0 and step_cnt < 30) then
--0xfff9 is fifo write.
mem_write (conv_std_logic_vector(16#fff9#, 15),conv_std_logic_vector(16#77# + step_cnt, 8));
step_cnt <= step_cnt + 1;
elsif (step_cnt mod bus_cycle = 0 and step_cnt = 30) then
bus_wait;
step_cnt <= 0;
stage_cnt <= stage_cnt + 1;
else
bus_wait;
step_cnt <= step_cnt + 1;
end if;
elsif (stage_cnt = 5) then
--polling fifo status.
if (step_cnt = 0) then
mem_read (conv_std_logic_vector(16#fff8#, 15));
step_cnt <= step_cnt + 1;
else
if (reg_rom_data (wfifo_empty_bit) = '0') then
if (step_cnt mod bus_cycle = 0) then
mem_read (conv_std_logic_vector(16#fff8#, 15));
else
bus_wait;
end if;
step_cnt <= step_cnt + 1;
else
bus_wait;
step_cnt <= 0;
stage_cnt <= stage_cnt + 1;
end if;
end if;
else
bus_wait;
stage_cnt <= stage_cnt + 1;
end if;
wait for nes_clock_time;
end process;
--- i2c_scl process..
scl_p : process
begin
if(start_scl = '1') then
i2c_scl <= '1';
wait for i2c_clock_time / 2;
i2c_scl <= '0';
wait for i2c_clock_time / 2;
else
i2c_scl <= '1';
wait for i2c_clock_time;
end if;
end process;
i2c_cnt_p : process
begin
if (stage_cnt = 2) then
i2c_step_cnt <= i2c_step_cnt + 1;
elsif (stage_cnt = 3) then
if (i2c_step_cnt = 22) then
i2c_step_cnt <= 0;
else
i2c_step_cnt <= i2c_step_cnt + 1;
end if;
elsif (stage_cnt = 5) then
i2c_step_cnt <= i2c_step_cnt + 1;
else
i2c_step_cnt <= 0;
end if;
wait for i2c_clock_time;
end process;
--- i2c_scl process..
i2c_scl_handl_p : process
begin
if (stage_cnt = 0) then
start_scl <= '0';
elsif (stage_cnt = 2) then
start_scl <= '1';
elsif (stage_cnt = 3) then
if (step_cnt < 50) then
start_scl <= '0';
else
start_scl <= '1';
end if;
elsif (stage_cnt = 5) then
if (step_cnt < 50) then
start_scl <= '0';
else
start_scl <= '1';
end if;
else
start_scl <= '0';
end if;
wait for nes_clock_time;
end process;
--- i2c_sda process..
i2c_p : process
variable remaining_time : time;
variable start_index : integer;
procedure wait_clock
(
wait_time : in time
) is
begin
wait for wait_time;
remaining_time := remaining_time - wait_time;
end;
procedure wait_remaining is
begin
wait for remaining_time;
end;
procedure output_addr
(
i : in integer;
addr : in std_logic_vector (6 downto 0)
) is
begin
i2c_sda <= addr(i);
end;
procedure ack_wait is
begin
i2c_sda <= 'Z';
end;
procedure output_data
(
i : in integer;
data : in std_logic_vector (7 downto 0)
) is
begin
i2c_sda <= data(i);
end;
procedure input_data
(
i : in integer
) is
begin
reg_bbb_data(i) <= i2c_sda;
end;
begin
remaining_time := i2c_clock_time;
if (stage_cnt = 2) then
--from bbb to nes i2c write.
if (i2c_step_cnt = 0) then
start_index := 0;
elsif (i2c_step_cnt = 1) then
--start up seq...
wait_clock (i2c_clock_time / 4);
i2c_sda <= '0';
--set i2c addr...
--addr output with write.....
--0x44 = 100 0101.
start_index := i2c_step_cnt;
wait_clock (i2c_clock_time / 2);
output_addr(6 - i2c_step_cnt + start_index, conv_std_logic_vector(16#44#, 7));
elsif (i2c_step_cnt <= 7) then
wait_clock (i2c_clock_time * 3 / 4);
output_addr(6 - i2c_step_cnt + start_index, conv_std_logic_vector(16#44#, 7));
elsif (i2c_step_cnt = 8) then
wait_clock (i2c_clock_time * 3 / 4);
i2c_sda <= i2c_write;
elsif (i2c_step_cnt = 9) then
--wait ack...
wait_clock (i2c_clock_time * 3 / 4);
ack_wait;
--output data
elsif (i2c_step_cnt = 10) then
start_index := i2c_step_cnt;
wait_clock (i2c_clock_time * 3 / 4);
output_data(8 - i2c_step_cnt + start_index, conv_std_logic_vector(16#55#, 8));
elsif (i2c_step_cnt <= 17) then
wait_clock (i2c_clock_time * 3 / 4);
output_data(8 - i2c_step_cnt + start_index, conv_std_logic_vector(16#55#, 8));
elsif (i2c_step_cnt = 18) then
--wait ack...
wait_clock (i2c_clock_time * 3 / 4);
ack_wait;
elsif (i2c_step_cnt = 20) then
--stop seq...
i2c_sda <= '0';
wait_clock (i2c_clock_time / 4);
i2c_sda <= '1';
end if;
elsif (stage_cnt = 3) then
--from bbb to nes i2c write.
if (i2c_step_cnt = 0) then
start_index := 0;
elsif (i2c_step_cnt = 1) then
--start up seq...
wait_clock (i2c_clock_time / 4);
i2c_sda <= '0';
--set i2c addr...
--addr output with write.....
--0x44 = 100 0101.
start_index := i2c_step_cnt;
wait_clock (i2c_clock_time / 2);
output_addr(6 - i2c_step_cnt + start_index, conv_std_logic_vector(16#44#, 7));
elsif (i2c_step_cnt <= 7) then
wait_clock (i2c_clock_time * 3 / 4);
output_addr(6 - i2c_step_cnt + start_index, conv_std_logic_vector(16#44#, 7));
elsif (i2c_step_cnt = 8) then
wait_clock (i2c_clock_time * 3 / 4);
i2c_sda <= i2c_write;
elsif (i2c_step_cnt = 9) then
--wait ack...
wait_clock (i2c_clock_time * 3 / 4);
ack_wait;
--output data
elsif (i2c_step_cnt = 10) then
start_index := i2c_step_cnt;
wait_clock (i2c_clock_time * 3 / 4);
output_data(8 - i2c_step_cnt + start_index, conv_std_logic_vector(16#5a#, 8));
elsif (i2c_step_cnt <= 17) then
wait_clock (i2c_clock_time * 3 / 4);
output_data(8 - i2c_step_cnt + start_index, conv_std_logic_vector(16#5a#, 8));
elsif (i2c_step_cnt = 18) then
--wait ack...
wait_clock (i2c_clock_time * 3 / 4);
ack_wait;
elsif (i2c_step_cnt = 20) then
--stop seq...
i2c_sda <= '0';
wait_clock (i2c_clock_time / 4);
i2c_sda <= '1';
end if;
elsif (stage_cnt = 5) then
--from bbb to nes i2c read.
if (i2c_step_cnt <= 3) then
start_index := i2c_step_cnt;
elsif (i2c_step_cnt = 4) then
--start up seq...
wait_clock (i2c_clock_time / 4);
i2c_sda <= '0';
--set i2c addr...
--addr output with write.....
--0x44 = 100 0101.
start_index := i2c_step_cnt;
wait_clock (i2c_clock_time / 2);
output_addr(6 - i2c_step_cnt + start_index, conv_std_logic_vector(16#44#, 7));
elsif (i2c_step_cnt <= 10) then
wait_clock (i2c_clock_time * 3 / 4);
output_addr(6 - i2c_step_cnt + start_index, conv_std_logic_vector(16#44#, 7));
elsif (i2c_step_cnt = 11) then
wait_clock (i2c_clock_time * 3 / 4);
i2c_sda <= i2c_read;
elsif (i2c_step_cnt = 12) then
--wait ack...
wait_clock (i2c_clock_time * 3 / 4);
start_index := i2c_step_cnt + 1;
ack_wait;
--read data
elsif (i2c_step_cnt >= 14 and i2c_step_cnt <= 21) then
input_data(7 - i2c_step_cnt + start_index);
elsif (i2c_step_cnt = 22) then
--return ack...
wait_clock (i2c_clock_time * 3 / 4);
i2c_sda <= '0';
elsif (i2c_step_cnt = 23) then
--stop seq...
i2c_sda <= '0';
wait_clock (i2c_clock_time / 4);
i2c_sda <= '1';
end if;
else
--pull up.
i2c_sda <= '1';
end if;
wait_remaining;
end process;
end stimulus;
| apache-2.0 | f6988b7f8e721fe4ab329d3952a33613 | 0.44663 | 3.494425 | false | false | false | false |
astoria-d/super-duper-nes | test/i2c_test/simulation/modelsim/testbench_i2c_test.vhd | 1 | 6,872 | library IEEE;
use IEEE.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.conv_std_logic_vector;
entity testbench_i2c_test is
end testbench_i2c_test;
architecture stimulus of testbench_i2c_test is
constant powerup_time : time := 2 us;
constant reset_time : time := 890 ns;
constant start_time : time := 12 us;
---clock frequency = 21,477,270 (21 MHz)
--constant base_clock_time : time := 46 ns;
--DE1 base clock = 50 MHz
constant base_clock_time : time := 20 ns;
--i2c normal clock speed 100 KHz
constant i2c_clock_time : time := 10 us;
component i2c_test
port (
pi_base_clk : in std_logic;
pi_reset_n : in std_logic;
pi_key : in std_logic_vector(3 downto 0);
pi_sw : in std_logic_vector(9 downto 0);
po_led : out std_logic_vector(9 downto 0);
pi_i2c_scl : in std_logic;
pio_i2c_sda : inout std_logic;
po_dbg_cnt : out std_logic_vector (63 downto 0)
);
end component;
signal base_clk : std_logic;
signal reset_input : std_logic;
signal key : std_logic_vector(3 downto 0);
signal sw : std_logic_vector(9 downto 0);
signal led : std_logic_vector(9 downto 0);
signal i2c_scl : std_logic;
signal i2c_sda : std_logic;
signal dbg_cnt : std_logic_vector (63 downto 0);
signal rd_data : std_logic_vector (7 downto 0);
signal start_scl : std_logic;
begin
sim_board : i2c_test port map (
base_clk,
reset_input,
key,
sw,
led,
i2c_scl,
i2c_sda,
dbg_cnt);
--- input reset.
reset_p: process
begin
reset_input <= '1';
wait for powerup_time;
reset_input <= '0';
wait for reset_time;
reset_input <= '1';
wait;
end process;
--- generate base clock.
clock_p: process
begin
base_clk <= '1';
wait for base_clock_time / 2;
base_clk <= '0';
wait for base_clock_time / 2;
end process;
scl_p : process
begin
if(start_scl = '1') then
i2c_scl <= '1';
wait for i2c_clock_time / 2;
i2c_scl <= '0';
wait for i2c_clock_time / 2;
else
i2c_scl <= '1';
wait for i2c_clock_time / 2;
i2c_scl <= '1';
wait for i2c_clock_time / 2;
end if;
end process;
--- sda data generation....
sda_p : process
procedure output_addr
(
addr : in std_logic_vector (6 downto 0);
rw : in std_logic
) is
begin
i2c_sda <= addr(6);
wait for i2c_clock_time;
i2c_sda <= addr(5);
wait for i2c_clock_time;
i2c_sda <= addr(4);
wait for i2c_clock_time;
i2c_sda <= addr(3);
wait for i2c_clock_time;
i2c_sda <= addr(2);
wait for i2c_clock_time;
i2c_sda <= addr(1);
wait for i2c_clock_time;
i2c_sda <= addr(0);
wait for i2c_clock_time;
i2c_sda <= rw;
wait for i2c_clock_time / 2;
end;
procedure output_data
(
data : in std_logic_vector (7 downto 0)
) is
begin
i2c_sda <= data(7);
wait for i2c_clock_time;
i2c_sda <= data(6);
wait for i2c_clock_time;
i2c_sda <= data(5);
wait for i2c_clock_time;
i2c_sda <= data(4);
wait for i2c_clock_time;
i2c_sda <= data(3);
wait for i2c_clock_time;
i2c_sda <= data(2);
wait for i2c_clock_time;
i2c_sda <= data(1);
wait for i2c_clock_time;
i2c_sda <= data(0);
wait for i2c_clock_time / 2;
end;
procedure ack_wait is
begin
i2c_sda <= 'Z';
wait until i2c_sda'event and i2c_sda='0';
wait for i2c_clock_time;
end;
procedure input_data is
begin
wait for i2c_clock_time / 2;
rd_data(7) <= i2c_sda;
wait for i2c_clock_time;
rd_data(6) <= i2c_sda;
wait for i2c_clock_time;
rd_data(5) <= i2c_sda;
wait for i2c_clock_time;
rd_data(4) <= i2c_sda;
wait for i2c_clock_time;
rd_data(3) <= i2c_sda;
wait for i2c_clock_time;
rd_data(2) <= i2c_sda;
wait for i2c_clock_time;
rd_data(1) <= i2c_sda;
wait for i2c_clock_time;
rd_data(0) <= i2c_sda;
wait for i2c_clock_time / 2;
end;
procedure ack_respond is
begin
i2c_sda <= '0';
wait for i2c_clock_time;
end;
begin
rd_data <= (others => '0');
start_scl <= '0';
--pullup...
i2c_sda <= '1';
start_scl <= '1';
wait for start_time ;
--start up seq...
i2c_sda <= '0';
wait for i2c_clock_time / 2;
--addr output with write.....
--0x44 = 100 0101.
output_addr(conv_std_logic_vector(16#44#, 7), '0');
--ack wait.
ack_wait;
--addr low
wait for i2c_clock_time / 4;
output_data(conv_std_logic_vector(16#00#, 8));
ack_wait;
--addr high
wait for i2c_clock_time / 4;
output_data(conv_std_logic_vector(16#00#, 8));
ack_wait;
--data set
wait for i2c_clock_time / 4;
output_data(conv_std_logic_vector(16#64#, 8));
ack_wait;
i2c_sda <= '0';
start_scl <= '0';
wait for i2c_clock_time;
i2c_sda <= '1';
wait for i2c_clock_time * 4;
--restart again..
i2c_sda <= '0';
start_scl <= '1';
wait for i2c_clock_time * 1.2;
output_addr(conv_std_logic_vector(16#44#, 7), '0');
ack_wait;
--addr low
wait for i2c_clock_time / 4;
output_data(conv_std_logic_vector(16#00#, 8));
ack_wait;
--addr high
wait for i2c_clock_time / 4;
output_data(conv_std_logic_vector(16#01#, 8));
ack_wait;
--data set
wait for i2c_clock_time / 4;
output_data(conv_std_logic_vector(16#75#, 8));
ack_wait;
start_scl <= '0';
i2c_sda <= '1';
-- --change direction...
-- start_scl <= '0';
-- i2c_sda <= '1';
--
-- wait for i2c_clock_time * 1.5;
--
-- --restart again..
-- i2c_sda <= '0';
-- start_scl <= '1';
--
-- wait for i2c_clock_time * 1.5;
-- wait for i2c_clock_time / 4;
-- output_addr(conv_std_logic_vector(16#44#, 7), '1');
-- ack_wait;
--
-- i2c_sda <= 'Z';
-- input_data;
-- ack_respond;
-- i2c_sda <= 'Z';
--
-- i2c_sda <= 'Z';
-- input_data;
-- ack_respond;
-- i2c_sda <= 'Z';
--
-- i2c_sda <= 'Z';
-- input_data;
-- ack_respond;
-- i2c_sda <= 'Z';
--
-- i2c_sda <= 'Z';
-- input_data;
-- ack_respond;
-- i2c_sda <= 'Z';
wait;
end process;
end stimulus;
| apache-2.0 | 202075646d0d6929623c28f6f1f8f32f | 0.508295 | 2.938008 | false | false | false | false |
erevejach14/Arquitectura | tarea2/compuertas.vhd | 1 | 1,173 |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity compuertas is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
y : out STD_LOGIC_VECTOR (8 downto 0));
end compuertas;
architecture Behavioral of compuertas is
begin
y(0) <= not(A); --declaramos que la primera posicion del arreglo realizara una negacion a la entrada A
y(1) <= not(B); --en la posicion 1 del arreglo negamos la entrada B
y(2) <= A and B; --en la posicion 2 del arreglo hacemos un A and B ó A y B
y(3) <= A nand B; --en la posicion 3 del arreglo hacemos un A nand B lo que hara lo mismo que and pero negando el result
y(4) <= A or B; --la posicion 4 del arreglo se realizara la operacion or
y(5) <= A nor B; --la posicion 5 returnara el valor dado por la compuerta nor (or negado)
y(6) <= A xor B; --la posicion 6 muestra el valor de un xor entre A y B (A xor B)
y(7) <= not(A xor B);
Y(8) <= not(a nand (b or a)); --para que la posicion 7 reciviera el xnor, no se puede realizar de los medios
--anteriores porque no existe en el programa pero se resuelve simplemente aplicando un xor
--y negando el resultado
end Behavioral; | gpl-3.0 | 5d8e3659481e334ef14168288e23eeba | 0.658994 | 2.96962 | false | false | false | false |
kuba-moo/VHDL-lib | counter_en.vhd | 1 | 1,599 | -- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
-- Copyright (C) 2014 Jakub Kicinski <[email protected]>
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
-- Binary wrap-around counter with enable
entity counter_en is
port (Clk : in std_logic;
Rst : in std_logic;
Enable : in std_logic;
Cnt : out std_logic_vector (1 downto 0));
end counter_en;
-- Operation:
-- Count number of cycles @Enable is up.
-- Increase input from 0 to 2^N_BITS - 1 then start from zero again.
architecture Behavioral of counter_en is
signal count : std_logic_vector (N_BITS - 1 downto 0);
begin
Cnt <= count;
inc : process (Clk)
begin
if RISING_EDGE(Clk) then
if Enable = '1' then
count <= count + 1;
end if;
if Rst = '1' then
count <= (others => '0');
end if;
end if;
end process;
end Behavioral;
| gpl-3.0 | 9cdc4d9b1411bd8c079419ec120bf68e | 0.64853 | 3.816229 | false | false | false | false |
natsutan/NPU | fpga_implement/npu8/npu8.cache/ip/1a38f03650b0a66f/mult16_16_sim_netlist.vhdl | 1 | 597,211 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
-- Date : Sat Jan 21 14:32:46 2017
-- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ mult16_16_sim_netlist.vhdl
-- Design : mult16_16
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xcku035-fbva676-3-e
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
fPF16TcpNgM9dNC6nyb4WjUK+7bY8P+I62AEEiiM/KOMhIKuPOHBoWeWL2UjxSNO68WLeYIZp8lA
I7rHN/CieA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
E6OKJxjnDRUVVFwAhrQMAtoyRVVpuMKsXlca4m9CcIt6QI8vnYN0tf7gH3uVuxZ90322B7kUeFw5
Pu0UeqAoBaSyysHuDqXazxHy7oyk4BIWChvcrp7LULlVLcL76obtSwsXi1ORVmpdTi5b+AcD+WUo
OP1PSFj5jpodG+LwXm4=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
x+agogSsgbiI6PGyBpMY8RQCDzLctIr3EaG23mH5kJHlNmNKNolnP54yJ8Y7nIFi6yl6tlyOLMoF
/kxU0pyFmIj8QM0/MArMxPTiemXbDLS2VKtonyK9dDH7VbjFnRWwzK0Ngkas0+nbW3TqGPAY98x3
251QPjQoZCw3A7W9PDc=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
KNs7hA49BKKrboRSEkqIGldOa3ndCnhjRkSn8lL1xFfKUn+p+Wbc09ogKV6YYnPU/RaF1LbzyoE4
udPSNea4bST+08IjO5GAxXqUugcig44J+hzpGKmh7oO0TuyNbYq1CnYcsZXaD9vsmNYz8fBDoW2S
VK/mYa21mBKTOuTdQ1yp3wi73aJ1G9N6Ngt7ovDUrjyd5oNxxNlvWU8JkJDinbEnci0qjZ3Wu9Wg
y44pHUXf6xqwFYJpZ1ZcGRKl83P8p74+pLzt19lw9TPlTfKI++IowVjb6wo36ztNDJS0QjQE5Riv
hwbPU/Bt3S82MVCY5NAA6bKC/8NnoWMbmX8Wiw==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
QaRubtGbYrmCghuFdQuTgTEtoVYYLcPnD5z0C7mo18fwCG17qy0y8mj8xWiwE6bo49IP1/JXSIw7
rTBwHFOVrmbm926sWNrF1r3IHB83C5cstprQ1om7vnkw9XX87SjkscphhkrHmi08jjzW4qX96m61
/ymclz5TlAocMQJGz/jwscvIMOrrbuH4SkWQOLQnRfx9GIOv5Y7PM+w/wuDSeFXsAXz7Ahq3/qmU
cylNfSufW7/zfN4RZB4u+d28AXsuFe03aSF1dpW+uBK1xtNZccvj9h9NMN0cuwxt8ZUlLJw8l6e2
hqRfTTZl1F4qnnrJu6w8h8uEGrmgnQG1AW0epg==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
XXj6Nc59BeA5Kznlx14IKravf7ohERw7h0fbO7pT7/HsiPDCWh2DlTGpFUcnbNZslPN2RfE0nJNX
WMzLQtaHK4Bm6kxY71OsXEKm7MAIjEdLwOMtJTtlZrbm7chBbSxcW6sjWvI36jk5De3Yct9Ao1py
DpQ9NICUtRTwGG8SAiRkAXRh2Jv3rKvnookQrlVxIkNRSBMSgbwuTbq1ze/KMUZebBWwJNUVIC9r
RV/i9wjYXBOeCCUk+cGDC5uSpwdLXYV9ZxhQUU6C1ufAaK2m4OIUeBqPc2ski2O0qQYQ67c35k50
ynO8H9PTEROPEOn5c37S7feU+36OcOOAsVBTBA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
DyQXsnNja2SsFrG58AzozLSoYpPvOZ1g5/sxxonVHZZj3lXSYU+n2GYZL70FGeyMKeXbK9DAuoBV
zYz+0f1RyC52CVEhH9htgnV/x9ITJtm4gbTEDVNceCXUE5V9fEHGlzvqh59tH5NzLcXI9TUwAcfk
y1RfFDOnj8gKC+vWZ7Y3pPND1EUu0Oh03IkVCevLiBt4Lcq98BwX0jWYHWCrk88uKtkm8V3vdh4R
rAB7ayhyCAySTkXpTtNY7FQMoCxFLnFo4+YZAowJROw9UxxcTvzYYcWfZ0gWFDwh+++zdeNpQe0h
Bwv0tM2dEW5T8Df41hhKx+A6sQuHEpGtuxkb/Q==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
SrZabc1getbWWrOIqUeTDV+u7/r8vBbpgKus7H62u1+RNXZ4MPQMj0JN2/nJPC6L/hCidxVq9vBb
QTQLog1mlg/bqFHTox+M1b61a8lgX1nc6tkkNxxcDKeM2ahSpzUK0RIiqs09m43WWB1AC5sI6fC7
WYlFiXCCckLq+uNTOdSI9IFaF2shKzQaf/mndpYfKu7bQvPxUct/TZVpS+w7KFgRhosKlIluCU57
KqxetbelELRgBDJ/syrSmi7k7NFZrcheeEtyoM+Gj4qy0DKTP5T7HQAXCUqndQerHQU1NvyDJfvB
I9exz+MQLORjHA5Yr4m5pLQcUv6Jeorc8Na5Fw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 431184)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 15 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
CE : in STD_LOGIC;
SCLR : in STD_LOGIC;
ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 );
P : out STD_LOGIC_VECTOR ( 7 downto 0 );
PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 )
);
attribute C_A_TYPE : integer;
attribute C_A_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 16;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1;
attribute C_B_VALUE : string;
attribute C_B_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 16;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 4;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_OPTIMIZE_GOAL : integer;
attribute C_OPTIMIZE_GOAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 31;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 24;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "kintexu";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 is
signal \<const0>\ : STD_LOGIC;
signal NLW_i_mult_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_i_mult_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE of i_mult : label is 1;
attribute C_A_WIDTH of i_mult : label is 16;
attribute C_B_TYPE of i_mult : label is 1;
attribute C_B_VALUE of i_mult : label is "10000001";
attribute C_B_WIDTH of i_mult : label is 16;
attribute C_CCM_IMP of i_mult : label is 0;
attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0;
attribute C_HAS_CE of i_mult : label is 0;
attribute C_HAS_SCLR of i_mult : label is 0;
attribute C_HAS_ZERO_DETECT of i_mult : label is 0;
attribute C_LATENCY of i_mult : label is 4;
attribute C_MODEL_TYPE of i_mult : label is 0;
attribute C_MULT_TYPE of i_mult : label is 0;
attribute C_OUT_HIGH of i_mult : label is 31;
attribute C_OUT_LOW of i_mult : label is 24;
attribute C_ROUND_OUTPUT of i_mult : label is 0;
attribute C_ROUND_PT of i_mult : label is 0;
attribute C_VERBOSITY of i_mult : label is 0;
attribute C_XDEVICEFAMILY of i_mult : label is "kintexu";
attribute c_optimize_goal of i_mult : label is 1;
attribute downgradeipidentifiedwarnings of i_mult : label is "yes";
begin
PCASC(47) <= \<const0>\;
PCASC(46) <= \<const0>\;
PCASC(45) <= \<const0>\;
PCASC(44) <= \<const0>\;
PCASC(43) <= \<const0>\;
PCASC(42) <= \<const0>\;
PCASC(41) <= \<const0>\;
PCASC(40) <= \<const0>\;
PCASC(39) <= \<const0>\;
PCASC(38) <= \<const0>\;
PCASC(37) <= \<const0>\;
PCASC(36) <= \<const0>\;
PCASC(35) <= \<const0>\;
PCASC(34) <= \<const0>\;
PCASC(33) <= \<const0>\;
PCASC(32) <= \<const0>\;
PCASC(31) <= \<const0>\;
PCASC(30) <= \<const0>\;
PCASC(29) <= \<const0>\;
PCASC(28) <= \<const0>\;
PCASC(27) <= \<const0>\;
PCASC(26) <= \<const0>\;
PCASC(25) <= \<const0>\;
PCASC(24) <= \<const0>\;
PCASC(23) <= \<const0>\;
PCASC(22) <= \<const0>\;
PCASC(21) <= \<const0>\;
PCASC(20) <= \<const0>\;
PCASC(19) <= \<const0>\;
PCASC(18) <= \<const0>\;
PCASC(17) <= \<const0>\;
PCASC(16) <= \<const0>\;
PCASC(15) <= \<const0>\;
PCASC(14) <= \<const0>\;
PCASC(13) <= \<const0>\;
PCASC(12) <= \<const0>\;
PCASC(11) <= \<const0>\;
PCASC(10) <= \<const0>\;
PCASC(9) <= \<const0>\;
PCASC(8) <= \<const0>\;
PCASC(7) <= \<const0>\;
PCASC(6) <= \<const0>\;
PCASC(5) <= \<const0>\;
PCASC(4) <= \<const0>\;
PCASC(3) <= \<const0>\;
PCASC(2) <= \<const0>\;
PCASC(1) <= \<const0>\;
PCASC(0) <= \<const0>\;
ZERO_DETECT(1) <= \<const0>\;
ZERO_DETECT(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
i_mult: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12_viv
port map (
A(15 downto 0) => A(15 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => '0',
CLK => CLK,
P(7 downto 0) => P(7 downto 0),
PCASC(47 downto 0) => NLW_i_mult_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_i_mult_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 15 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
P : out STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mult16_16,mult_gen_v12_0_12,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mult_gen_v12_0_12,Vivado 2016.4";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE : integer;
attribute C_A_TYPE of U0 : label is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of U0 : label is 16;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of U0 : label is 1;
attribute C_B_VALUE : string;
attribute C_B_VALUE of U0 : label is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of U0 : label is 16;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of U0 : label is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of U0 : label is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of U0 : label is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of U0 : label is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 4;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of U0 : label is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of U0 : label is 0;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of U0 : label is 31;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of U0 : label is 24;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of U0 : label is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of U0 : label is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "kintexu";
attribute c_optimize_goal : integer;
attribute c_optimize_goal of U0 : label is 1;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12
port map (
A(15 downto 0) => A(15 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => '1',
CLK => CLK,
P(7 downto 0) => P(7 downto 0),
PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
| bsd-3-clause | 1e3563a3085ef12c950773d544008d18 | 0.950657 | 1.822165 | false | false | false | false |
besm6/micro-besm | tests/2901/vhdl/funct_blocks_alg_beh/components/mem/mem.vhdl | 1 | 1,543 | --------------------------------------------------------------------------------
--
-- AM2901 Benchmark -- mem component
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Jan 1, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept 17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept 17, 92 ZYCAD
--------------------------------------------------------------------------------
--library ZYCAD;
use work.TYPES.all;
use work.MVL7_functions.all;
use work.synthesis_types.all;
entity mem is
port (
RAM : inout Memory(15 downto 0);
F : in MVL7_vector(3 downto 0);
clk : in clock;
I : in MVL7_vector(8 downto 0);
RAM0, RAM3 : in MVL7;
Aadd, Badd : in integer range 15 downto 0
);
end mem;
architecture mem of mem is
begin
mem1 : block ( (clk = '1') and (not clk'stable) )
begin
-- WRITE TO RAM WITH/WITHOUT SHIFTING. RAM DESTINATIONS ARE
-- ADDRESSED BY "Badd".
RAM(Badd) <= guarded F when ((not(I(8)) and I(7)) = '1') else
RAM3 & F(3 downto 1) when ((I(8) and not(I(7))) = '1') else
F(2 downto 0) & RAM0 when ((I(8) and I(7)) = '1') else
RAM(Badd);
end block mem1;
end mem; | mit | a34378fcaecbf09bb7745f5045648256 | 0.472456 | 3.682578 | false | false | false | false |
MartinCura/SistDig-TP4 | src/uart/uart.vhd | 1 | 1,821 | -- Para recibir datos. Bit RxRdy = 1 cada vez que leyó todos los bits de un dato
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity uart is
generic (
F : natural := 50000; -- Device clock frequency [KHz]: 50 MHz
min_baud : natural := 1200;
num_data_bits : natural := 8
);
port (
Rx : in std_logic;
Tx : out std_logic;
Din : in std_logic_vector(7 downto 0);
StartTx : in std_logic;
TxBusy : out std_logic;
Dout : out std_logic_vector(7 downto 0);
RxRdy : out std_logic;
RxErr : out std_logic;
Divisor : in std_logic_vector;
clk : in std_logic;
rst : in std_logic
);
end;
architecture uart_arq of uart is
signal top16 : std_logic;
signal toprx : std_logic;
signal toptx : std_logic;
signal Sig_ClrDiv : std_logic;
begin
reception_unit: entity work.receive
generic map (
NDBits => num_data_bits
)
port map (
clk => clk,
rst => rst,
Rx => Rx,
Dout => Dout,
RxErr => RxErr,
RxRdy => RxRdy,
ClrDiv => Sig_ClrDiv,
Top16 => top16,
TopRx => toprx
);
transmission_unit: entity work.transmit
generic map (
NDBits => num_data_bits
)
port map (
clk => clk,
rst => rst,
Tx => Tx,
Din => Din,
TxBusy => TxBusy,
TopTx => toptx,
StartTx => StartTx
);
timings_unit: entity work.timing
generic map (
F => F,
min_baud => min_baud
)
port map (
clk => clk,
rst => rst,
divisor => Divisor,
ClrDiv => Sig_ClrDiv,
Top16 => top16,
TopTx => toptx,
TopRx => toprx
);
end;
| gpl-3.0 | 67a289eb2865efcc2d02a6ac1636c39a | 0.509341 | 3.466667 | false | false | false | false |
mrozo/programmable-digital-circuits | rs232monitor/freqDivider.vhd | 1 | 1,573 | library IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.math_real.ALL;
USE ieee.numeric_std.ALL;
entity freqDivider is
Generic (
divisor : integer := 10
);
Port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
enable : in STD_LOGIC;
output : out STD_LOGIC := '0'
);
end freqDivider;
architecture Behavioral of freqDivider is
function log2_float(val : positive) return natural is
begin
return integer(ceil(log2(real(val))));
end function;
COMPONENT counter
Generic (
width : integer
);
PORT(
rst : IN std_logic;
clk : IN std_logic;
clear : IN std_logic;
state : OUT std_logic_vector(
log2_float(divisor) downto 0
)
);
END COMPONENT;
signal counterClk : STD_LOGIC := '0';
-- signal output : STD_LOGIC := '0';
signal state : std_logic_vector(
log2_float(divisor) downto 0
);
begin
c1: counter
GENERIC MAP (
width => divisor
)
PORT MAP (
rst => rst,
clk => counterClk,
clear => '0',
state => state
);
counterClk <= clk AND enable;
output <= '1';
end Behavioral;
| bsd-3-clause | 79780c470c7fdd7366387c91328df3e1 | 0.423395 | 4.612903 | false | false | false | false |
Feuerwerk/fpgaNES | vga.vhd | 1 | 12,751 | /*
This file is part of fpgaNES.
fpgaNES is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation, either version 3 of the License, or
(at your option) any later version.
fpgaNES is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with fpgaNES. If not, see <http://www.gnu.org/licenses/>.
*/
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity vga is
generic
(
HFP : natural := 88;
HSYNC : natural := 44;
HBP : natural := 148;
HRES : natural := 1920;
VFP : natural := 4;
VSYNC : natural := 5;
VBP : natural := 36;
VRES : natural := 1080
);
port
(
i_data_clk : in std_logic;
i_data_clk_enable : in std_logic;
i_vga_clk : in std_logic;
i_vga_clk_enable : in std_logic;
i_reset_n : in std_logic;
i_addr : in std_logic_vector(15 downto 0);
i_data : in std_logic_vector(8 downto 0);
i_write_enable : in std_logic;
o_data_enable : out std_logic;
o_vsync : out std_logic;
o_hsync : out std_logic;
o_data : out std_logic_vector(23 downto 0)
);
end vga;
architecture behavioral of vga is
component frmmem is
port
(
data : in std_logic_vector(8 downto 0);
rdaddress : in std_logic_vector(15 downto 0);
rdclock : in std_logic;
rdclocken : in std_logic;
wraddress : in std_logic_vector(15 downto 0);
wrclock : in std_logic := '1';
wrclocken : in std_logic;
wren : in std_logic := '0';
q : out std_logic_vector(8 downto 0)
);
end component;
type color_t is array (0 to 511) of std_logic_vector(23 downto 0);
constant PALETTE : color_t := (
x"656565", x"00298a", x"1a14a8", x"3d05a1", x"5c0077", x"6c0239", x"680d00", x"512000", x"2f3600", x"0d4700", x"004f00", x"004c11", x"003d51", x"000000", x"050505", x"050505",
x"b1b1b1", x"1b5ce5", x"473eff", x"7927ff", x"a41dcb", x"bb2274", x"b5341b", x"965000", x"666f00", x"348700", x"0f9300", x"008e39", x"027a95", x"050505", x"050505", x"050505",
x"ffffff", x"67afff", x"988fff", x"ce75ff", x"fc6aff", x"ff6fc8", x"ff8368", x"eca21f", x"bac300", x"84dd06", x"59ea35", x"44e489", x"49cfec", x"4d4d4d", x"050505", x"050505",
x"ffffff", x"c5e4ff", x"dad6ff", x"f1cbff", x"ffc6ff", x"ffc8ee", x"ffd1c5", x"fddea4", x"e8ec93", x"d1f797", x"bffcae", x"b5fad4", x"b8f1fd", x"b9b9b9", x"050505", x"050505",
x"66423b", x"000f5a", x"190177", x"3b0074", x"580053", x"690022", x"680400", x"521100", x"312100", x"112d00", x"003100", x"002b00", x"001d28", x"000000", x"060000", x"060000",
x"b37f74", x"1c35a0", x"461fc8", x"7610c4", x"9f0b97", x"b61250", x"b6240a", x"963900", x"694f00", x"396000", x"156600", x"035e12", x"034a5a", x"060000", x"060000", x"060000",
x"ffc5b7", x"6976e5", x"985eff", x"cb4dff", x"f747db", x"ff4f91", x"ff6443", x"ee7a05", x"bd9300", x"8aa500", x"61ab0c", x"4ba34d", x"4b8d9b", x"4e302a", x"060000", x"060000",
x"ffc5b7", x"c7a4ca", x"db9adb", x"f193d9", x"ff90c6", x"ff93a7", x"ff9d86", x"ffa669", x"ebb059", x"d5b85b", x"c3bb6d", x"b9b78a", x"baaeab", x"bb867b", x"060000", x"060000",
x"375d2e", x"002357", x"000f6d", x"190164", x"2f003f", x"3f0010", x"3e0900", x"2e1c00", x"153100", x"004300", x"004d00", x"004700", x"00382a", x"000000", x"000200", x"000200",
x"6fa560", x"00549a", x"1d36ba", x"431fae", x"631379", x"791a35", x"782e00", x"624900", x"3d6800", x"188100", x"008f00", x"008714", x"00715b", x"000200", x"000200", x"000200",
x"aff99c", x"33a3d9", x"5783f9", x"8069ed", x"a35bb6", x"ba646d", x"b97923", x"a19800", x"7bb800", x"51d300", x"32e209", x"1dd948", x"1ec396", x"26461e", x"000200", x"000200",
x"aff99c", x"7ad5b5", x"8ac8c2", x"9cbdbd", x"aab7a7", x"b4ba89", x"b3c468", x"aad14f", x"99de43", x"88ea47", x"7af05c", x"70ec79", x"71e39a", x"75ad66", x"000200", x"000200",
x"3e3f25", x"000e49", x"04015e", x"1c0059", x"31003c", x"41000d", x"400200", x"300f00", x"181e00", x"012a00", x"003000", x"002a00", x"001c21", x"000000", x"000000", x"000000",
x"797a54", x"063387", x"241ea5", x"470e9e", x"660875", x"7c0e31", x"7c2000", x"653500", x"434b00", x"1f5d00", x"056500", x"005d09", x"00484f", x"000000", x"000000", x"000000",
x"bdbf8a", x"3f73c0", x"615cdf", x"884ad8", x"a942ad", x"c14965", x"c05e1b", x"a87500", x"838d00", x"5ca000", x"3ea800", x"29a038", x"298a85", x"2c2d17", x"000000", x"000000",
x"bdbf8a", x"87a0a0", x"9795ad", x"a78eaa", x"b58a99", x"bf8e7b", x"be975b", x"b4a044", x"a5aa39", x"94b23b", x"87b64b", x"7eb268", x"7ea988", x"7f8159", x"000000", x"000000",
x"48457d", x"001a93", x"120bb0", x"2d00a7", x"440080", x"4f0046", x"49000c", x"330a00", x"151900", x"002900", x"003300", x"003321", x"00295e", x"000000", x"00000e", x"00000e",
x"8783d5", x"1146f3", x"3930ff", x"6119ff", x"820ed8", x"910e87", x"881a34", x"6a2d00", x"3e4300", x"195c00", x"00690c", x"006953", x"005ba8", x"00000e", x"00000e", x"00000e",
x"d0cbff", x"508aff", x"7d72ff", x"a858ff", x"cb4bff", x"db4ce7", x"d2598d", x"b16f47", x"828724", x"59a12e", x"39b05f", x"2bafaf", x"33a1ff", x"343262", x"00000e", x"00000e",
x"d0cbff", x"9ab0ff", x"ada6ff", x"bf9bff", x"ce95ff", x"d495ff", x"d19bf1", x"c3a5d2", x"b0afc1", x"9ebac6", x"90c0dd", x"89c0ff", x"8dbaff", x"8e8ade", x"00000e", x"00000e",
x"46344b", x"000b60", x"10007c", x"2a0077", x"400059", x"4b002c", x"480000", x"330500", x"151400", x"002000", x"002500", x"002301", x"00192d", x"000000", x"000000", x"000000",
x"856a8c", x"0f2ea9", x"3719d0", x"5c0ac9", x"7c039f", x"8c065f", x"88121e", x"692500", x"3d3b00", x"1a4d00", x"015500", x"005120", x"004362", x"000000", x"000000", x"000000",
x"cda9d7", x"4d69f5", x"7b51ff", x"a240ff", x"c437eb", x"d53ba8", x"d04961", x"b05f1e", x"817700", x"5a8a04", x"3c9226", x"2d8e63", x"307faa", x"332438", x"000000", x"000000",
x"cda9d7", x"978ee4", x"ab84f4", x"bc7cf2", x"c979e0", x"d07ac4", x"cf80a5", x"c18a87", x"ae9477", x"9d9c7a", x"8f9f8b", x"899ea6", x"8a97c5", x"8c7093", x"000000", x"000000",
x"304144", x"00165f", x"000875", x"16006c", x"2c0047", x"37001b", x"350000", x"250900", x"0e1700", x"002800", x"003200", x"002f08", x"002536", x"000000", x"000000", x"000000",
x"637d82", x"003fa7", x"192ac6", x"3f13ba", x"5f0885", x"6f0b46", x"6b1809", x"542b00", x"334100", x"0e5a00", x"006700", x"00632b", x"00556e", x"000000", x"000000", x"000000",
x"a0c2c9", x"2e81f1", x"5069ff", x"7950ff", x"9b43cd", x"ac468a", x"a85545", x"906b11", x"6c8300", x"439d03", x"24ab2f", x"16a76c", x"1a98b4", x"202e32", x"000000", x"000000",
x"a0c2c9", x"70a7da", x"7e9de6", x"9092e1", x"9e8ccb", x"a58eaf", x"a39491", x"999e79", x"8aa86d", x"79b372", x"6bb987", x"65b7a2", x"66b1c0", x"698389", x"000000", x"000000",
x"343434", x"000b4e", x"010064", x"19005f", x"2e0041", x"390016", x"360000", x"260500", x"0f1400", x"002000", x"002500", x"002300", x"001926", x"000000", x"000000", x"000000",
x"6a6a6a", x"022e8f", x"1f19ad", x"430aa6", x"61037d", x"71063f", x"6d1202", x"572600", x"353b00", x"124d00", x"005500", x"005116", x"004356", x"000000", x"000000", x"000000",
x"a9a9a9", x"3669d0", x"5852ef", x"7f40e8", x"9f38bd", x"b03b7b", x"ac4a36", x"945f05", x"707700", x"4a8a00", x"2c9213", x"1e8f4e", x"217f94", x"242424", x"000000", x"000000",
x"a9a9a9", x"788eb9", x"8784c6", x"977cc3", x"a579b1", x"ac7a96", x"aa8178", x"a08a61", x"919455", x"819c58", x"74a068", x"6d9e83", x"6f98a0", x"707070", x"000000", x"000000"
);
-- http://www.3dexpress.de/displayconfigx/timings.html
/*
640x480 25.175 MHz Pixel Clock
HFP: natural := 16;
HBP: natural := 48;
HSYNC: natural := 96;
HRES: natural := 640;
VFP: natural := 10;
VBP: natural := 33;
VSYNC: natural := 2;
VRES: natural := 480;
*/
/*
1280x720 74.25 MHz Pixel Clock
HFP: natural := 72;
HSYNC: natural := 80;
HBP: natural := 216;
HRES: natural := 1280;
VFP: natural := 3;
VSYNC: natural := 5;
VBP: natural := 22;
VRES: natural := 720;
*/
/*
1920x1080 148.5 MHz Pixel Clock
HFP : natural := 88;
HSYNC : natural := 44;
HBP : natural := 148;
HRES : natural := 1920;
VFP : natural := 4;
VSYNC : natural := 5;
VBP : natural := 36;
VRES : natural := 1080
*/
constant HSYNC_START : natural := HFP;
constant HSYNC_STOP : natural := HFP + HSYNC;
constant VSYNC_START : natural := VFP;
constant VSYNC_STOP : natural := VFP + VSYNC;
constant HACT_START : natural := HFP + HBP + HSYNC;
constant HACT_STOP : natural := 0;
constant VACT_START : natural := VFP + VBP + VSYNC;
constant VACT_STOP : natural := 0;
constant HMAX : natural := HFP + HBP + HSYNC + HRES - 1;
constant VMAX : natural := VFP + VBP + VSYNC + VRES - 1;
signal s_red : std_logic_vector(7 downto 0) := (others => '0');
signal s_green : std_logic_vector(7 downto 0) := (others => '0');
signal s_blue : std_logic_vector(7 downto 0) := (others => '0');
signal s_addr : std_logic_vector(15 downto 0) := (others => '0');
signal s_pixel : std_logic_vector(8 downto 0);
signal s_data : std_logic_vector(23 downto 0) := (others => '0');
signal s_palette_index : integer range 0 to 63;
signal s_hpos : natural range 0 to HMAX := 0;
signal s_vpos : natural range 0 to VMAX := 0;
signal s_xpos : std_logic_vector(9 downto 0);
signal s_ypos : std_logic_vector(9 downto 0);
signal s_hsync : std_logic := '1';
signal s_vsync : std_logic := '1';
signal s_hact : std_logic := '0';
signal s_vact : std_logic := '0';
begin
framebuffer: frmmem port map
(
data => i_data,
rdaddress => s_addr,
rdclock => i_vga_clk,
rdclocken => i_vga_clk_enable,
wraddress => i_addr,
wrclock => i_data_clk,
wrclocken => i_data_clk_enable,
wren => i_write_enable,
q => s_pixel
);
-- Horizontal / Vertical Position
process (i_vga_clk)
begin
if rising_edge(i_vga_clk) then
if i_vga_clk_enable = '1' then
if i_reset_n = '0' then
s_hpos <= 0;
s_vpos <= 0;
elsif s_hpos = HMAX then
s_hpos <= 0;
if s_vpos = VMAX then
s_vpos <= 0;
else
s_vpos <= s_vpos + 1;
end if;
else
s_hpos <= s_hpos + 1;
end if;
end if;
end if;
end process;
-- Horizontal Sync
process (i_vga_clk)
begin
if rising_edge(i_vga_clk) then
if i_vga_clk_enable = '1' then
if i_reset_n = '0' then
s_hsync <= '1';
elsif s_hpos = HSYNC_START then
s_hsync <= '0';
elsif s_hpos = HSYNC_STOP then
s_hsync <= '1';
end if;
end if;
end if;
end process;
-- Vertical Sync
process (i_vga_clk)
begin
if rising_edge(i_vga_clk) then
if i_vga_clk_enable = '1' then
if i_reset_n = '0' then
s_vsync <= '1';
elsif s_vpos = VSYNC_START then
s_vsync <= '0';
elsif s_vpos = VSYNC_STOP then
s_vsync <= '1';
end if;
end if;
end if;
end process;
-- Horizontal Actual View
process (i_vga_clk)
begin
if rising_edge(i_vga_clk) then
if i_vga_clk_enable = '1' then
if i_reset_n = '0' then
s_hact <= '0';
elsif s_hpos = HACT_START then
s_hact <= '1';
elsif s_hpos = HACT_STOP then
s_hact <= '0';
end if;
end if;
end if;
end process;
-- Vertical Actual View
process (i_vga_clk)
begin
if rising_edge(i_vga_clk) then
if i_vga_clk_enable = '1' then
if i_reset_n = '0' then
s_vact <= '0';
elsif s_vpos = VACT_START then
s_vact <= '1';
elsif s_vpos = VACT_STOP then
s_vact <= '0';
end if;
end if;
end if;
end process;
-- @todo ugly, do a complete rewrite of this process
process (i_vga_clk)
begin
if rising_edge(i_vga_clk) then
if i_vga_clk_enable = '1' then
if i_reset_n = '0' then
s_xpos <= (others => '0');
s_ypos <= (others => '0');
s_data <= (others => '0');
else
if s_hpos >= HFP + HBP + HSYNC + 62 then
s_xpos <= std_logic_vector(to_unsigned(s_hpos - HFP - HBP - HSYNC - 62, 10));
else
s_xpos <= (others => '0');
end if;
if s_vpos >= VFP + VBP + VSYNC then
s_ypos <= std_logic_vector(to_unsigned(s_vpos - VFP - VBP - VSYNC, 10));
else
s_ypos <= (others => '0');
end if;
if (s_hpos >= HFP + HBP + HSYNC + 64) and (s_hpos < HMAX - 64) and (s_vpos >= VFP + VBP + VSYNC) then
s_data <= PALETTE(s_palette_index);
else
s_data <= (others => '0');
end if;
end if;
end if;
end if;
end process;
s_palette_index <= to_integer(unsigned(s_pixel));
s_addr <= s_ypos(8 downto 1) & s_xpos(8 downto 1);
o_data <= s_data;
o_data_enable <= s_hact and s_vact;
o_hsync <= s_hsync;
o_vsync <= s_vsync;
end architecture;
| gpl-3.0 | 1d2f856324f3943548af8de414c9c079 | 0.614932 | 2.259614 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/hls_tutorial_lab1/hls_tutorial_lab1.cache/ip/2018.2/744763d6063ca1bf/zybo_zynq_design_auto_pc_0_sim_netlist.vhdl | 1 | 517,976 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Sun Sep 22 02:35:48 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zybo_zynq_design_auto_pc_0_sim_netlist.vhdl
-- Design : zybo_zynq_design_auto_pc_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
port (
next_pending_r_reg_0 : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 10 downto 0 );
\m_axi_awaddr[11]\ : out STD_LOGIC;
\m_axi_awaddr[5]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \axaddr_incr[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 10 downto 0 );
signal \axaddr_incr_reg[11]_i_4_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[2]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal next_pending_r_i_5_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \m_axi_awaddr[11]_INST_0_i_1\ : label is "soft_lutpair113";
attribute SOFT_HLUTNM of \m_axi_awaddr[5]_INST_0_i_1\ : label is "soft_lutpair113";
begin
Q(0) <= \^q\(0);
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(10 downto 0) <= \^axaddr_incr_reg[11]_0\(10 downto 0);
\axlen_cnt_reg[2]_0\ <= \^axlen_cnt_reg[2]_0\;
\axaddr_incr[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_7\,
O => \axaddr_incr[0]_i_1_n_0\
);
\axaddr_incr[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(10),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_5\,
O => \axaddr_incr[10]_i_1_n_0\
);
\axaddr_incr[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \next\,
O => \axaddr_incr[11]_i_1_n_0\
);
\axaddr_incr[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(11),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_4\,
O => \axaddr_incr[11]_i_2_n_0\
);
\axaddr_incr[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_6\,
O => \axaddr_incr[1]_i_1_n_0\
);
\axaddr_incr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_5\,
O => \axaddr_incr[2]_i_1_n_0\
);
\axaddr_incr[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_4\,
O => \axaddr_incr[3]_i_1_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"0102"
)
port map (
I0 => \m_payload_i_reg[46]\(0),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(3),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(2),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(1),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"262A"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"060A"
)
port map (
I0 => \m_payload_i_reg[46]\(1),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
I3 => \next\,
O => S(1)
);
\axaddr_incr[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(4),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_7\,
O => \axaddr_incr[4]_i_1_n_0\
);
\axaddr_incr[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(5),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_6\,
O => \axaddr_incr[5]_i_1_n_0\
);
\axaddr_incr[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(6),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_5\,
O => \axaddr_incr[6]_i_1_n_0\
);
\axaddr_incr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(7),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_4\,
O => \axaddr_incr[7]_i_1_n_0\
);
\axaddr_incr[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(8),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_7\,
O => \axaddr_incr[8]_i_1_n_0\
);
\axaddr_incr[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(9),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_6\,
O => \axaddr_incr[9]_i_1_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[0]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[10]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(9),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[11]_i_2_n_0\,
Q => \^axaddr_incr_reg[11]_0\(10),
R => '0'
);
\axaddr_incr_reg[11]_i_4\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(10 downto 7)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[1]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[2]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[3]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[3]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => \^axaddr_incr_reg[11]_0\(3 downto 0),
O(3) => \axaddr_incr_reg[3]_i_3_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[4]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[5]_i_1_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[6]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[7]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[7]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(6 downto 5),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(4)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[8]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[9]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(8),
R => '0'
);
\axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(8),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[1]_i_1__0_n_0\
);
\axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \^q\(0),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[2]_0\,
I4 => E(0),
I5 => \m_payload_i_reg[46]\(9),
O => \axlen_cnt[2]_i_1_n_0\
);
\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
I5 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[3]_i_2_n_0\
);
\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
O => \axlen_cnt[4]_i_1_n_0\
);
\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \^q\(0),
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[5]_i_1_n_0\
);
\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[6]_i_1_n_0\
);
\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[7]_i_2_n_0\
);
\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[7]_i_3_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \state_reg[1]\(0),
Q => \^q\(0),
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[4]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[5]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[6]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[7]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[0]_rep\
);
\m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \m_payload_i_reg[46]\(7),
O => \m_axi_awaddr[11]\
);
\m_axi_awaddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => \m_payload_i_reg[46]\(7),
I3 => \m_payload_i_reg[46]\(4),
O => \m_axi_awaddr[5]\
);
\next_pending_r_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => next_pending_r_i_5_n_0,
O => \^axlen_cnt_reg[2]_0\
);
next_pending_r_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[1]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
O => next_pending_r_i_5_n_0
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => incr_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
port (
incr_next_pending : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
\axlen_cnt_reg[0]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\m_axi_araddr[11]\ : out STD_LOGIC;
\m_axi_araddr[5]\ : out STD_LOGIC;
\m_axi_araddr[3]\ : out STD_LOGIC;
\m_axi_araddr[2]\ : out STD_LOGIC;
\m_axi_araddr[1]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 10 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_17_b2s_incr_cmd";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
signal \axaddr_incr[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \axaddr_incr_reg[11]_i_4__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[0]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \next_pending_r_i_2__0_n_0\ : STD_LOGIC;
signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_4\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \m_axi_araddr[11]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \m_axi_araddr[1]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \next_pending_r_i_2__0\ : label is "soft_lutpair7";
begin
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(7 downto 0) <= \^axaddr_incr_reg[11]_0\(7 downto 0);
\axlen_cnt_reg[0]_0\ <= \^axlen_cnt_reg[0]_0\;
incr_next_pending <= \^incr_next_pending\;
\axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_7\,
O => \axaddr_incr[0]_i_1__0_n_0\
);
\axaddr_incr[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_5\,
O => \axaddr_incr[10]_i_1__0_n_0\
);
\axaddr_incr[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_4\,
O => \axaddr_incr[11]_i_2__0_n_0\
);
\axaddr_incr[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_6\,
O => \axaddr_incr[1]_i_1__0_n_0\
);
\axaddr_incr[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_5\,
O => \axaddr_incr[2]_i_1__0_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"0201020202020202"
)
port map (
I0 => Q(0),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[3]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[2]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[1]\,
I1 => Q(6),
I2 => Q(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_4\,
O => \axaddr_incr[3]_i_1__0_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA6AAAAAAAAAAAAA"
)
port map (
I0 => Q(3),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"2A262A2A2A2A2A2A"
)
port map (
I0 => Q(2),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"0A060A0A0A0A0A0A"
)
port map (
I0 => Q(1),
I1 => Q(5),
I2 => Q(6),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(1)
);
\axaddr_incr[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_7\,
O => \axaddr_incr[4]_i_1__0_n_0\
);
\axaddr_incr[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_6\,
O => \axaddr_incr[5]_i_1__0_n_0\
);
\axaddr_incr[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_5\,
O => \axaddr_incr[6]_i_1__0_n_0\
);
\axaddr_incr[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_4\,
O => \axaddr_incr[7]_i_1__0_n_0\
);
\axaddr_incr[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_7\,
O => \axaddr_incr[8]_i_1__0_n_0\
);
\axaddr_incr[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_6\,
O => \axaddr_incr[9]_i_1__0_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[0]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[10]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[11]_i_2__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[11]_i_4__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4__0_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4__0_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4__0_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4__0_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(7 downto 4)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[1]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[1]\,
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[2]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[2]\,
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[3]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[3]\,
R => '0'
);
\axaddr_incr_reg[3]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_incr_reg_n_0_[3]\,
DI(2) => \axaddr_incr_reg_n_0_[2]\,
DI(1) => \axaddr_incr_reg_n_0_[1]\,
DI(0) => \^axaddr_incr_reg[11]_0\(0),
O(3) => \axaddr_incr_reg[3]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3__0_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[4]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[5]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[6]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[7]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[7]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3__0_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(3 downto 2),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(1)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[8]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[9]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"20FF2020"
)
port map (
I0 => si_rs_arvalid,
I1 => \state_reg[0]_rep\,
I2 => Q(8),
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[0]_i_1__2_n_0\
);
\axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => Q(9),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[1]_i_1__1_n_0\
);
\axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[0]_0\,
I4 => E(0),
I5 => Q(10),
O => \axlen_cnt[2]_i_1__1_n_0\
);
\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => \next_pending_r_i_4__0_n_0\,
O => \^axlen_cnt_reg[0]_0\
);
\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[4]_i_1__0_n_0\
);
\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[5]_i_1__0_n_0\
);
\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[6]_i_1__0_n_0\
);
\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[7]_i_2__0_n_0\
);
\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
O => \axlen_cnt[7]_i_3__0_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[4]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[5]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[6]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[7]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[1]\
);
\m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => Q(7),
O => \m_axi_araddr[11]\
);
\m_axi_araddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[1]\,
I2 => Q(7),
I3 => Q(1),
O => \m_axi_araddr[1]\
);
\m_axi_araddr[2]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[2]\,
I2 => Q(7),
I3 => Q(2),
O => \m_axi_araddr[2]\
);
\m_axi_araddr[3]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[3]\,
I2 => Q(7),
I3 => Q(3),
O => \m_axi_araddr[3]\
);
\m_axi_araddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => Q(7),
I3 => Q(4),
O => \m_axi_araddr[5]\
);
\next_pending_r_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF505C"
)
port map (
I0 => \next_pending_r_i_2__0_n_0\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => E(0),
I4 => \m_payload_i_reg[47]_0\,
O => \^incr_next_pending\
);
\next_pending_r_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0002"
)
port map (
I0 => \next_pending_r_i_4__0_n_0\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[7]\,
O => \next_pending_r_i_2__0_n_0\
);
\next_pending_r_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[4]\,
O => \next_pending_r_i_4__0_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^incr_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_incr_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
\m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_0\ : in STD_LOGIC;
s_axburst_eq1_reg : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg_1 : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
aclk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_payload_i_reg[0]\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \next_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_1__0\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__2\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of m_axi_arvalid_INST_0 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \s_ready_i_i_1__0\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \state[1]_i_1\ : label is "soft_lutpair2";
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \state_reg[0]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[0]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[1]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute FSM_ENCODED_STATES of \state_reg[1]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6__0\ : label is "soft_lutpair4";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\;
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
\axaddr_incr[11]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AAEA"
)
port map (
I0 => sel_first,
I1 => m_axi_arready,
I2 => \^m_payload_i_reg[0]_0\,
I3 => \^m_payload_i_reg[0]\,
O => \axaddr_incr_reg[0]\(0)
);
\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(0),
I2 => \^m_payload_i_reg[0]_0\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"00CA"
)
port map (
I0 => si_rs_arvalid,
I1 => m_axi_arready,
I2 => \^q\(0),
I3 => \^q\(1),
O => E(0)
);
\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00005140"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => m_axi_arready,
I3 => si_rs_arvalid,
I4 => \axlen_cnt_reg[7]_0\,
O => \axlen_cnt_reg[7]\
);
m_axi_arvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
O => m_axi_arvalid
);
\m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"D5"
)
port map (
I0 => si_rs_arvalid,
I1 => \^m_payload_i_reg[0]\,
I2 => \^m_payload_i_reg[0]_0\,
O => \m_payload_i_reg[0]_1\(0)
);
\m_valid_i_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF70FFFF"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => m_valid_i0
);
r_push_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => \^m_payload_i_reg[0]_0\,
I2 => m_axi_arready,
O => r_push_r_reg
);
\s_ready_i_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8FFF8F8F"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => s_ready_i0
);
\sel_first_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first_reg_1,
I2 => \^q\(1),
I3 => si_rs_arvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first,
I2 => \^m_payload_i_reg[0]\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]_0\,
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FCFFFFFFCCCECCCE"
)
port map (
I0 => si_rs_arvalid,
I1 => areset_d1,
I2 => \^m_payload_i_reg[0]\,
I3 => \^m_payload_i_reg[0]_0\,
I4 => m_axi_arready,
I5 => sel_first_reg_2,
O => sel_first_i
);
\state[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"003030303E3E3E3E"
)
port map (
I0 => si_rs_arvalid,
I1 => \^q\(1),
I2 => \^q\(0),
I3 => m_axi_arready,
I4 => s_axburst_eq1_reg,
I5 => \cnt_read_reg[2]_rep__0\,
O => \next_state__0\(0)
);
\state[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00AAB000"
)
port map (
I0 => \cnt_read_reg[2]_rep__0\,
I1 => s_axburst_eq1_reg,
I2 => m_axi_arready,
I3 => \^m_payload_i_reg[0]_0\,
I4 => \^m_payload_i_reg[0]\,
O => \next_state__0\(1)
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^m_payload_i_reg[0]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^m_payload_i_reg[0]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => si_rs_arvalid,
I2 => \^m_payload_i_reg[0]_0\,
O => \wrap_boundary_axaddr_r_reg[11]\(0)
);
\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
port (
\cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : out STD_LOGIC;
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
bresp_push : out STD_LOGIC;
bvalid_i_reg : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
b_push : in STD_LOGIC;
shandshake_r : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
\bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
mhandshake_r : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
bvalid_i_reg_0 : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
aclk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_4_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_5_n_0\ : STD_LOGIC;
signal \^bresp_push\ : STD_LOGIC;
signal bvalid_i_i_2_n_0 : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[1]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair121";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair121";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 ";
attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 ";
attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 ";
attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 ";
attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 ";
attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 ";
attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 ";
attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 ";
attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 ";
attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 ";
attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 ";
attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 ";
attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 ";
attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 ";
begin
bresp_push <= \^bresp_push\;
\cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\;
\cnt_read_reg[1]_rep__0_0\ <= \^cnt_read_reg[1]_rep__0_0\;
\bresp_cnt[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABAA"
)
port map (
I0 => areset_d1,
I1 => \bresp_cnt[7]_i_3_n_0\,
I2 => \bresp_cnt[7]_i_4_n_0\,
I3 => \bresp_cnt[7]_i_5_n_0\,
O => SR(0)
);
\bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEFEFFFFFFFFEEFE"
)
port map (
I0 => \bresp_cnt_reg[7]\(7),
I1 => \bresp_cnt_reg[7]\(6),
I2 => \bresp_cnt_reg[7]\(0),
I3 => \memory_reg[3][0]_srl4_n_0\,
I4 => \bresp_cnt_reg[7]\(3),
I5 => \memory_reg[3][3]_srl4_n_0\,
O => \bresp_cnt[7]_i_3_n_0\
);
\bresp_cnt[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFF6FFFF"
)
port map (
I0 => \bresp_cnt_reg[7]\(1),
I1 => \memory_reg[3][1]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(4),
I3 => \bresp_cnt_reg[7]\(5),
I4 => mhandshake_r,
O => \bresp_cnt[7]_i_4_n_0\
);
\bresp_cnt[7]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000D00DD00DD00D"
)
port map (
I0 => \memory_reg[3][0]_srl4_n_0\,
I1 => \bresp_cnt_reg[7]\(0),
I2 => \bresp_cnt_reg[7]\(2),
I3 => \memory_reg[3][2]_srl4_n_0\,
I4 => \^cnt_read_reg[1]_rep__0_0\,
I5 => \^cnt_read_reg[0]_rep__0_0\,
O => \bresp_cnt[7]_i_5_n_0\
);
bvalid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"0444"
)
port map (
I0 => areset_d1,
I1 => bvalid_i_i_2_n_0,
I2 => si_rs_bready,
I3 => bvalid_i_reg_0,
O => bvalid_i_reg
);
bvalid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00070707"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
I2 => shandshake_r,
I3 => Q(1),
I4 => Q(0),
I5 => bvalid_i_reg_0,
O => bvalid_i_i_2_n_0
);
\cnt_read[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^bresp_push\,
I1 => shandshake_r,
I2 => Q(0),
O => D(0)
);
\cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
O => \cnt_read[0]_i_1__2_n_0\
);
\cnt_read[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
I3 => \^cnt_read_reg[1]_rep__0_0\,
O => \cnt_read[1]_i_1_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \^cnt_read_reg[0]_rep__0_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \^cnt_read_reg[1]_rep__0_0\,
S => areset_d1
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(0),
Q => \memory_reg[3][0]_srl4_n_0\
);
\memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000041004141"
)
port map (
I0 => \memory_reg[3][0]_srl4_i_2__0_n_0\,
I1 => \memory_reg[3][2]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(2),
I3 => \bresp_cnt_reg[7]\(0),
I4 => \memory_reg[3][0]_srl4_n_0\,
I5 => \memory_reg[3][0]_srl4_i_3_n_0\,
O => \^bresp_push\
);
\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
O => \memory_reg[3][0]_srl4_i_2__0_n_0\
);
\memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFBFFFFFFFFFFFB"
)
port map (
I0 => \bresp_cnt[7]_i_3_n_0\,
I1 => mhandshake_r,
I2 => \bresp_cnt_reg[7]\(5),
I3 => \bresp_cnt_reg[7]\(4),
I4 => \memory_reg[3][1]_srl4_n_0\,
I5 => \bresp_cnt_reg[7]\(1),
O => \memory_reg[3][0]_srl4_i_3_n_0\
);
\memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(6),
Q => \out\(2)
);
\memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(7),
Q => \out\(3)
);
\memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(8),
Q => \out\(4)
);
\memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(9),
Q => \out\(5)
);
\memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(10),
Q => \out\(6)
);
\memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(11),
Q => \out\(7)
);
\memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(12),
Q => \out\(8)
);
\memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(13),
Q => \out\(9)
);
\memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(14),
Q => \out\(10)
);
\memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(15),
Q => \out\(11)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(1),
Q => \memory_reg[3][1]_srl4_n_0\
);
\memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(2),
Q => \memory_reg[3][2]_srl4_n_0\
);
\memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(3),
Q => \memory_reg[3][3]_srl4_n_0\
);
\memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(4),
Q => \out\(0)
);
\memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(5),
Q => \out\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
port (
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
mhandshake : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
shandshake_r : in STD_LOGIC;
sel : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
mhandshake_r : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair122";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair122";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 ";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A69A"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => shandshake_r,
I3 => sel,
O => \cnt_read[1]_i_1__0_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \^q\(0),
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__0_n_0\,
Q => \^q\(1),
S => areset_d1
);
m_axi_bready_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => mhandshake_r,
O => m_axi_bready
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[1]\(0)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[1]\(1)
);
mhandshake_r_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"2000"
)
port map (
I0 => m_axi_bvalid,
I1 => mhandshake_r,
I2 => \^q\(0),
I3 => \^q\(1),
O => mhandshake
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
port (
\cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_2\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
s_ready_i_reg : in STD_LOGIC;
\cnt_read_reg[4]_rep__0_0\ : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_5_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_2\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal wr_en0 : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair18";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__3\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__3\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__3\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]";
attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair19";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 ";
attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 ";
attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 ";
attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 ";
attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 ";
attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 ";
attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 ";
attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 ";
attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 ";
attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 ";
attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 ";
attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 ";
attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 ";
attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 ";
attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 ";
attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 ";
attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 ";
attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 ";
attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 ";
attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair19";
begin
\cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\;
\cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\;
\cnt_read_reg[4]_rep__2_2\ <= \^cnt_read_reg[4]_rep__2_2\;
\cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => s_ready_i_reg,
I2 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[0]_i_1__1_n_0\
);
\cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9AA6"
)
port map (
I0 => \cnt_read_reg[1]_rep__2_n_0\,
I1 => \cnt_read_reg[0]_rep__2_n_0\,
I2 => s_ready_i_reg,
I3 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[1]_i_1__2_n_0\
);
\cnt_read[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"A9AAAA6A"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[0]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
O => \cnt_read[2]_i_1_n_0\
);
\cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAA6AA9AAAAAA"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_0\,
I1 => \cnt_read_reg[2]_rep__2_n_0\,
I2 => \cnt_read_reg[1]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[3]_i_1__0_n_0\
);
\cnt_read[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"99AA99AA99AA55A6"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_1\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_2\,
I3 => \cnt_read[4]_i_3__0_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[4]_i_1_n_0\
);
\cnt_read[4]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[2]_rep__2_n_0\,
O => \^cnt_read_reg[4]_rep__2_2\
);
\cnt_read[4]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000100000"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read[4]_i_5_n_0\,
I3 => \cnt_read_reg[4]_rep__0_0\,
I4 => si_rs_rready,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[4]_i_3__0_n_0\
);
\cnt_read[4]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6000E000FFFFFFFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
I5 => m_axi_rvalid,
O => \cnt_read[4]_i_5_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__3\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__3_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \^cnt_read_reg[4]_rep__2_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \^cnt_read_reg[4]_rep__2_1\,
S => areset_d1
);
m_axi_rready_INST_0: unisim.vcomponents.LUT5
generic map(
INIT => X"9FFF1FFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
O => m_axi_rready
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(0),
Q => \out\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8AAA0AAA0AAAAAAA"
)
port map (
I0 => m_axi_rvalid,
I1 => \cnt_read_reg[0]_rep__3_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_0\,
I3 => \^cnt_read_reg[4]_rep__2_1\,
I4 => \cnt_read_reg[1]_rep__2_n_0\,
I5 => \cnt_read_reg[2]_rep__2_n_0\,
O => wr_en0
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(10),
Q => \out\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(11),
Q => \out\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(12),
Q => \out\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(13),
Q => \out\(13),
Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(14),
Q => \out\(14),
Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(15),
Q => \out\(15),
Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(16),
Q => \out\(16),
Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(17),
Q => \out\(17),
Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(18),
Q => \out\(18),
Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(19),
Q => \out\(19),
Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(1),
Q => \out\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(20),
Q => \out\(20),
Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(21),
Q => \out\(21),
Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(22),
Q => \out\(22),
Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(23),
Q => \out\(23),
Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(24),
Q => \out\(24),
Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(25),
Q => \out\(25),
Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(26),
Q => \out\(26),
Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(27),
Q => \out\(27),
Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(28),
Q => \out\(28),
Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(29),
Q => \out\(29),
Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(2),
Q => \out\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(30),
Q => \out\(30),
Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(31),
Q => \out\(31),
Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(32),
Q => \out\(32),
Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(33),
Q => \out\(33),
Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(3),
Q => \out\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(4),
Q => \out\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(5),
Q => \out\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(6),
Q => \out\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(7),
Q => \out\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(8),
Q => \out\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(9),
Q => \out\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"40C0C000"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \cnt_read_reg[1]_rep__2_n_0\,
I4 => \cnt_read_reg[2]_rep__2_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
s_ready_i_reg : in STD_LOGIC;
r_push_r : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
\cnt_read_reg[3]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3_0\ : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 12 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal m_valid_i_i_3_n_0 : STD_LOGIC;
signal \^m_valid_i_reg\ : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair20";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 ";
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => r_push_r,
I2 => s_ready_i_reg,
O => \cnt_read[0]_i_1__0_n_0\
);
\cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"DB24"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[1]_i_1__1_n_0\
);
\cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9AAAAAA6"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[0]_rep__0_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[2]_i_1__0_n_0\
);
\cnt_read[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF7F0080FEFF0100"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__0_n_0\,
I2 => r_push_r,
I3 => s_ready_i_reg,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[2]_rep__0_n_0\,
O => \cnt_read[3]_i_1_n_0\
);
\cnt_read[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9A999AAA"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read[4]_i_2_n_0\,
I2 => \cnt_read_reg[2]_rep__0_n_0\,
I3 => \cnt_read_reg[3]_rep__0_n_0\,
I4 => \cnt_read[4]_i_3_n_0\,
O => \cnt_read[4]_i_1__0_n_0\
);
\cnt_read[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"2AAAAAAA2AAA2AAA"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[1]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => r_push_r,
I4 => \^m_valid_i_reg\,
I5 => si_rs_rready,
O => \cnt_read[4]_i_2_n_0\
);
\cnt_read[4]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000004"
)
port map (
I0 => r_push_r,
I1 => si_rs_rready,
I2 => \^m_valid_i_reg\,
I3 => \cnt_read_reg[0]_rep__1_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[4]_i_3_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
m_valid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"80808080FF808080"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read_reg[3]_rep__0_n_0\,
I2 => m_valid_i_i_3_n_0,
I3 => \cnt_read_reg[3]_rep__2\,
I4 => \cnt_read_reg[4]_rep__2\,
I5 => \cnt_read_reg[0]_rep__3\,
O => \^m_valid_i_reg\
);
m_valid_i_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__1_n_0\,
I2 => \cnt_read_reg[1]_rep__0_n_0\,
O => m_valid_i_i_3_n_0
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[46]\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(10),
Q => \skid_buffer_reg[46]\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(11),
Q => \skid_buffer_reg[46]\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(12),
Q => \skid_buffer_reg[46]\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[46]\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(2),
Q => \skid_buffer_reg[46]\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(3),
Q => \skid_buffer_reg[46]\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(4),
Q => \skid_buffer_reg[46]\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(5),
Q => \skid_buffer_reg[46]\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(6),
Q => \skid_buffer_reg[46]\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(7),
Q => \skid_buffer_reg[46]\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(8),
Q => \skid_buffer_reg[46]\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(9),
Q => \skid_buffer_reg[46]\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"BFEEAAAAAAAAAAAA"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_0\,
I1 => \cnt_read_reg[2]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[4]_rep__0_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\axlen_cnt_reg[7]_1\ : out STD_LOGIC;
\next\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axburst_eq0_reg : out STD_LOGIC;
incr_next_pending : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
s_axburst_eq1_reg_0 : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
si_rs_awvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
wrap_next_pending : in STD_LOGIC;
next_pending_r_reg : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
sel_first : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first_0 : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
aclk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^axlen_cnt_reg[7]\ : STD_LOGIC;
signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC;
signal \^b_push\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \^next\ : STD_LOGIC;
signal \^sel_first_i\ : STD_LOGIC;
signal \state[0]_i_1_n_0\ : STD_LOGIC;
signal \state[0]_i_2_n_0\ : STD_LOGIC;
signal \state[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__0\ : label is "soft_lutpair109";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair109";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4\ : label is "soft_lutpair111";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6\ : label is "soft_lutpair111";
begin
E(0) <= \^e\(0);
Q(1 downto 0) <= \^q\(1 downto 0);
\axlen_cnt_reg[7]\ <= \^axlen_cnt_reg[7]\;
\axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\;
b_push <= \^b_push\;
incr_next_pending <= \^incr_next_pending\;
\next\ <= \^next\;
sel_first_i <= \^sel_first_i\;
\axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(2),
I2 => \^axlen_cnt_reg[7]_0\,
I3 => si_rs_awvalid,
I4 => \^axlen_cnt_reg[7]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0400FFFF04000400"
)
port map (
I0 => \^q\(1),
I1 => si_rs_awvalid,
I2 => \^q\(0),
I3 => \m_payload_i_reg[46]\(1),
I4 => \axlen_cnt_reg[0]_0\(0),
I5 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[0]\(0)
);
\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FF04"
)
port map (
I0 => \^q\(0),
I1 => si_rs_awvalid,
I2 => \^q\(1),
I3 => \^next\,
O => \axaddr_wrap_reg[11]\(0)
);
\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]\,
I3 => \^next\,
I4 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[7]_1\
);
m_axi_awvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
O => m_axi_awvalid
);
\m_payload_i[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^b_push\,
I1 => si_rs_awvalid,
O => \m_payload_i_reg[0]\(0)
);
\memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"88008888A800A8A8"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
I2 => m_axi_awready,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \cnt_read_reg[1]_rep__0\,
I5 => s_axburst_eq1_reg_0,
O => \^b_push\
);
next_pending_r_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFF404"
)
port map (
I0 => \^e\(0),
I1 => next_pending_r_reg,
I2 => \^next\,
I3 => \axlen_cnt_reg[7]_2\,
I4 => \m_payload_i_reg[47]\,
O => \^incr_next_pending\
);
next_pending_r_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"F3F3FFFF51000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \^next\
);
s_axburst_eq0_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => \^incr_next_pending\,
I1 => \^sel_first_i\,
I2 => \m_payload_i_reg[46]\(0),
I3 => wrap_next_pending,
O => s_axburst_eq0_reg
);
s_axburst_eq1_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FE02"
)
port map (
I0 => \^incr_next_pending\,
I1 => \m_payload_i_reg[46]\(0),
I2 => \^sel_first_i\,
I3 => wrap_next_pending,
O => s_axburst_eq1_reg
);
sel_first_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first_0,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF04FFFFFF04FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
I3 => areset_d1,
I4 => \^next\,
I5 => sel_first_reg_1,
O => \^sel_first_i\
);
\state[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"BBBA"
)
port map (
I0 => \state[0]_i_2_n_0\,
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
O => \state[0]_i_1_n_0\
);
\state[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00F000F055750000"
)
port map (
I0 => m_axi_awready,
I1 => s_axburst_eq1_reg_0,
I2 => \cnt_read_reg[1]_rep__0\,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \state[0]_i_2_n_0\
);
\state[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0CAE0000000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]\,
I5 => \^axlen_cnt_reg[7]_0\,
O => \state[1]_i_1__0_n_0\
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^axlen_cnt_reg[7]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^axlen_cnt_reg[7]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
O => \^e\(0)
);
\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
port (
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 10 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 );
signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__1_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 1 to 1 );
signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^wrap_next_pending\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
wrap_next_pending <= \^wrap_next_pending\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(0),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(0),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(0),
O => \axaddr_wrap[0]_i_1_n_0\
);
\axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(10),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(10),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(10),
O => \axaddr_wrap[10]_i_1_n_0\
);
\axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(11),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(11),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(11),
O => \axaddr_wrap[11]_i_1_n_0\
);
\axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4_n_0\,
I1 => wrap_cnt_r(3),
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2_n_0\
);
\axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => wrap_cnt_r(0),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => wrap_cnt_r(1),
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => wrap_cnt_r(2),
O => \axaddr_wrap[11]_i_4_n_0\
);
\axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(1),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(1),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(1),
O => \axaddr_wrap[1]_i_1_n_0\
);
\axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(2),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(2),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(2),
O => \axaddr_wrap[2]_i_1_n_0\
);
\axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(3),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(3),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(3),
O => \axaddr_wrap[3]_i_1_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => axaddr_wrap(3),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(2),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(1),
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => axaddr_wrap(0),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(4),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(4),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(4),
O => \axaddr_wrap[4]_i_1_n_0\
);
\axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(5),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(5),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(5),
O => \axaddr_wrap[5]_i_1_n_0\
);
\axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(6),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(6),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(6),
O => \axaddr_wrap[6]_i_1_n_0\
);
\axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(7),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(7),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(7),
O => \axaddr_wrap[7]_i_1_n_0\
);
\axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(8),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(8),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(8),
O => \axaddr_wrap[8]_i_1_n_0\
);
\axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(9),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(9),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(9),
O => \axaddr_wrap[9]_i_1_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[0]_i_1_n_0\,
Q => axaddr_wrap(0),
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[10]_i_1_n_0\,
Q => axaddr_wrap(10),
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[11]_i_1_n_0\,
Q => axaddr_wrap(11),
R => '0'
);
\axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(11 downto 8),
S(3 downto 0) => axaddr_wrap(11 downto 8)
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[1]_i_1_n_0\,
Q => axaddr_wrap(1),
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[2]_i_1_n_0\,
Q => axaddr_wrap(2),
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[3]_i_1_n_0\,
Q => axaddr_wrap(3),
R => '0'
);
\axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => axaddr_wrap(3 downto 0),
O(3 downto 0) => axaddr_wrap0(3 downto 0),
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[4]_i_1_n_0\,
Q => axaddr_wrap(4),
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[5]_i_1_n_0\,
Q => axaddr_wrap(5),
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[6]_i_1_n_0\,
Q => axaddr_wrap(6),
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[7]_i_1_n_0\,
Q => axaddr_wrap(7),
R => '0'
);
\axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(7 downto 4),
S(3 downto 0) => axaddr_wrap(7 downto 4)
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[8]_i_1_n_0\,
Q => axaddr_wrap(8),
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[9]_i_1_n_0\,
Q => axaddr_wrap(9),
R => '0'
);
\axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => \m_payload_i_reg[47]\(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1_n_0\
);
\axlen_cnt[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => \m_payload_i_reg[47]\(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1_n_0\
);
\axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => \m_payload_i_reg[47]\(17),
O => \axlen_cnt[2]_i_1__0_n_0\
);
\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => \m_payload_i_reg[47]\(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[0]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(0),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_awaddr(0)
);
\m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(10),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(9),
O => m_axi_awaddr(10)
);
\m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(11),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(10),
O => m_axi_awaddr(11)
);
\m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(1),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(1),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_awaddr(1)
);
\m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(2),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(2),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_awaddr(2)
);
\m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(3),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(3),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_awaddr(3)
);
\m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(4),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_awaddr(4)
);
\m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \m_payload_i_reg[47]\(5),
I1 => \^sel_first_reg_0\,
I2 => axaddr_wrap(5),
I3 => \m_payload_i_reg[47]\(14),
I4 => sel_first_reg_3,
O => m_axi_awaddr(5)
);
\m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(6),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_awaddr(6)
);
\m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(7),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_awaddr(7)
);
\m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(8),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_awaddr(8)
);
\m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(9),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(8),
O => m_axi_awaddr(9)
);
\next_pending_r_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]_0\,
I1 => next_pending_r_reg_n_0,
I2 => \next\,
I3 => \next_pending_r_i_2__1_n_0\,
I4 => E(0),
O => \^wrap_next_pending\
);
\next_pending_r_i_2__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_awvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__1_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^wrap_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => wrap_boundary_axaddr_r(0),
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(10),
Q => wrap_boundary_axaddr_r(10),
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(11),
Q => wrap_boundary_axaddr_r(11),
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => wrap_boundary_axaddr_r(1),
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => wrap_boundary_axaddr_r(2),
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => wrap_boundary_axaddr_r(3),
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => wrap_boundary_axaddr_r(4),
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => wrap_boundary_axaddr_r(5),
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => wrap_boundary_axaddr_r(6),
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(7),
Q => wrap_boundary_axaddr_r(7),
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(8),
Q => wrap_boundary_axaddr_r(8),
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(9),
Q => wrap_boundary_axaddr_r(9),
R => '0'
);
\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => wrap_cnt(1)
);
\wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => wrap_cnt_r(0),
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cnt(1),
Q => wrap_cnt_r(1),
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => wrap_cnt_r(2),
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => wrap_cnt_r(3),
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
port (
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axburst_eq0_reg : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first_i : in STD_LOGIC;
incr_next_pending : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
sel_first_reg_4 : in STD_LOGIC;
sel_first_reg_5 : in STD_LOGIC;
sel_first_reg_6 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_17_b2s_wrap_cmd";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__2_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_cnt_r[1]_i_1__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC;
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair16";
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(0),
O => \axaddr_wrap[0]_i_1__0_n_0\
);
\axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(10),
O => \axaddr_wrap[10]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(11),
O => \axaddr_wrap[11]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4__0_n_0\,
I1 => \wrap_cnt_r_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2__0_n_0\
);
\axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => \wrap_cnt_r_reg_n_0_[0]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \wrap_cnt_r_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \wrap_cnt_r_reg_n_0_[1]\,
O => \axaddr_wrap[11]_i_4__0_n_0\
);
\axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(1),
O => \axaddr_wrap[1]_i_1__0_n_0\
);
\axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(2),
O => \axaddr_wrap[2]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(3),
O => \axaddr_wrap[3]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[3]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[2]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[1]\,
I1 => Q(13),
I2 => Q(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[0]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(4),
O => \axaddr_wrap[4]_i_1__0_n_0\
);
\axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(5),
O => \axaddr_wrap[5]_i_1__0_n_0\
);
\axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(6),
O => \axaddr_wrap[6]_i_1__0_n_0\
);
\axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(7),
O => \axaddr_wrap[7]_i_1__0_n_0\
);
\axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(8),
O => \axaddr_wrap[8]_i_1__0_n_0\
);
\axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(9),
O => \axaddr_wrap[9]_i_1__0_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[0]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[0]\,
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[10]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[10]\,
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[11]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[11]\,
R => '0'
);
\axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\,
O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\,
O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\,
O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[11]\,
S(2) => \axaddr_wrap_reg_n_0_[10]\,
S(1) => \axaddr_wrap_reg_n_0_[9]\,
S(0) => \axaddr_wrap_reg_n_0_[8]\
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[1]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[1]\,
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[2]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[2]\,
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[3]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[3]\,
R => '0'
);
\axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_wrap_reg_n_0_[3]\,
DI(2) => \axaddr_wrap_reg_n_0_[2]\,
DI(1) => \axaddr_wrap_reg_n_0_[1]\,
DI(0) => \axaddr_wrap_reg_n_0_[0]\,
O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\,
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[4]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[4]\,
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[5]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[5]\,
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[6]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[6]\,
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[7]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[7]\,
R => '0'
);
\axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[7]\,
S(2) => \axaddr_wrap_reg_n_0_[6]\,
S(1) => \axaddr_wrap_reg_n_0_[5]\,
S(0) => \axaddr_wrap_reg_n_0_[4]\
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[8]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[8]\,
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[9]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[9]\,
R => '0'
);
\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => Q(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1__1_n_0\
);
\axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => Q(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1__2_n_0\
);
\axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => Q(17),
O => \axlen_cnt[2]_i_1__2_n_0\
);
\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => Q(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1__1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[0]\,
I2 => Q(14),
I3 => Q(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_araddr(0)
);
\m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[10]\,
I2 => Q(14),
I3 => Q(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_araddr(10)
);
\m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[11]\,
I2 => Q(14),
I3 => Q(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_araddr(11)
);
\m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(1),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[1]\,
I3 => Q(14),
I4 => sel_first_reg_6,
O => m_axi_araddr(1)
);
\m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(2),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[2]\,
I3 => Q(14),
I4 => sel_first_reg_5,
O => m_axi_araddr(2)
);
\m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(3),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[3]\,
I3 => Q(14),
I4 => sel_first_reg_4,
O => m_axi_araddr(3)
);
\m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[4]\,
I2 => Q(14),
I3 => Q(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_araddr(4)
);
\m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(5),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[5]\,
I3 => Q(14),
I4 => sel_first_reg_3,
O => m_axi_araddr(5)
);
\m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[6]\,
I2 => Q(14),
I3 => Q(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_araddr(6)
);
\m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[7]\,
I2 => Q(14),
I3 => Q(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_araddr(7)
);
\m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[8]\,
I2 => Q(14),
I3 => Q(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_araddr(8)
);
\m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[9]\,
I2 => Q(14),
I3 => Q(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_araddr(9)
);
\next_pending_r_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => \next_pending_r_i_2__2_n_0\,
I4 => E(0),
O => wrap_next_pending
);
\next_pending_r_i_2__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_arvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__2_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_next_pending,
Q => next_pending_r_reg_n_0,
R => '0'
);
\s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq0_reg
);
\s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABA8"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq1_reg
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(10),
Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(11),
Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(7),
Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(8),
Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(9),
Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
R => '0'
);
\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => \wrap_cnt_r[1]_i_1__0_n_0\
);
\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => \wrap_cnt_r_reg_n_0_[0]\,
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_cnt_r[1]_i_1__0_n_0\,
Q => \wrap_cnt_r_reg_n_0_[1]\,
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => \wrap_cnt_r_reg_n_0_[2]\,
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => \wrap_cnt_r_reg_n_0_[3]\,
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice is
port (
s_axi_arready : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[0]\ : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
aclk : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
\aresetn_d_reg[0]_0\ : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \axaddr_incr[3]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \^axaddr_offset_0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[52]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_arready\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5__0_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3__0_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair21";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset_0(1 downto 0) <= \^axaddr_offset_0\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axaddr_offset_r_reg[3]\ <= \^axaddr_offset_r_reg[3]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_arready <= \^s_axi_arready\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \aresetn_d_reg[0]_0\,
Q => \^m_valid_i_reg_0\,
R => '0'
);
\axaddr_incr[3]_i_4__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4__0_n_0\
);
\axaddr_incr[3]_i_5__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5__0_n_0\
);
\axaddr_incr[3]_i_6__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6__0_n_0\
);
\axaddr_incr_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => O(3 downto 0),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4__0_n_0\,
DI(1) => \axaddr_incr[3]_i_5__0_n_0\,
DI(0) => \axaddr_incr[3]_i_6__0_n_0\,
O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0)
);
\axaddr_incr_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2__0_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2__0_n_0\
);
\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2__0_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(1),
O => \^axaddr_offset_0\(0)
);
\axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2__0_n_0\
);
\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2__0_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^axaddr_offset_0\(1)
);
\axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_3__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__0_n_0\
);
\m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__0_n_0\
);
\m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__0_n_0\
);
\m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(12),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__0_n_0\
);
\m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(13),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__1_n_0\
);
\m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(14),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__0_n_0\
);
\m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(15),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__0_n_0\
);
\m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(16),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__0_n_0\
);
\m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(17),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__0_n_0\
);
\m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(18),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__0_n_0\
);
\m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(19),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__0_n_0\
);
\m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__0_n_0\
);
\m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(20),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__0_n_0\
);
\m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(21),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__0_n_0\
);
\m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(22),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__0_n_0\
);
\m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(23),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__0_n_0\
);
\m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(24),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__0_n_0\
);
\m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(25),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__0_n_0\
);
\m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(26),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__0_n_0\
);
\m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(27),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__0_n_0\
);
\m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(28),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__0_n_0\
);
\m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(29),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__0_n_0\
);
\m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__0_n_0\
);
\m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(30),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__0_n_0\
);
\m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(31),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_2__0_n_0\
);
\m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__0_n_0\
);
\m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__0_n_0\
);
\m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__0_n_0\
);
\m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__0_n_0\
);
\m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__0_n_0\
);
\m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__0_n_0\
);
\m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__0_n_0\
);
\m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__0_n_0\
);
\m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__0_n_0\
);
\m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__0_n_0\
);
\m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_1__1_n_0\
);
\m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => \m_payload_i[47]_i_1__0_n_0\
);
\m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__0_n_0\
);
\m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => \m_payload_i[50]_i_1__0_n_0\
);
\m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => \m_payload_i[51]_i_1__0_n_0\
);
\m_payload_i[52]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => \m_payload_i[52]_i_1__0_n_0\
);
\m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => \m_payload_i[53]_i_1__0_n_0\
);
\m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => \m_payload_i[54]_i_1__0_n_0\
);
\m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => \m_payload_i[55]_i_1__0_n_0\
);
\m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => \m_payload_i[56]_i_1__0_n_0\
);
\m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => \m_payload_i[57]_i_1__0_n_0\
);
\m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => \m_payload_i[58]_i_1__0_n_0\
);
\m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => \m_payload_i[59]_i_1__0_n_0\
);
\m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__0_n_0\
);
\m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => \m_payload_i[60]_i_1__0_n_0\
);
\m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => \m_payload_i[61]_i_1__0_n_0\
);
\m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__0_n_0\
);
\m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__0_n_0\
);
\m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__0_n_0\
);
\m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__0_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[0]_i_1__0_n_0\,
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[10]_i_1__0_n_0\,
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[11]_i_1__0_n_0\,
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[12]_i_1__0_n_0\,
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[13]_i_1__1_n_0\,
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[14]_i_1__0_n_0\,
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[15]_i_1__0_n_0\,
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[16]_i_1__0_n_0\,
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[17]_i_1__0_n_0\,
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[18]_i_1__0_n_0\,
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[19]_i_1__0_n_0\,
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[1]_i_1__0_n_0\,
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[20]_i_1__0_n_0\,
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[21]_i_1__0_n_0\,
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[22]_i_1__0_n_0\,
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[23]_i_1__0_n_0\,
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[24]_i_1__0_n_0\,
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[25]_i_1__0_n_0\,
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[26]_i_1__0_n_0\,
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[27]_i_1__0_n_0\,
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[28]_i_1__0_n_0\,
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[29]_i_1__0_n_0\,
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[2]_i_1__0_n_0\,
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[30]_i_1__0_n_0\,
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[31]_i_2__0_n_0\,
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[32]_i_1__0_n_0\,
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[33]_i_1__0_n_0\,
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[34]_i_1__0_n_0\,
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[35]_i_1__0_n_0\,
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[36]_i_1__0_n_0\,
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[38]_i_1__0_n_0\,
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[39]_i_1__0_n_0\,
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[3]_i_1__0_n_0\,
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[44]_i_1__0_n_0\,
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[45]_i_1__0_n_0\,
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[46]_i_1__1_n_0\,
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[47]_i_1__0_n_0\,
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[4]_i_1__0_n_0\,
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[50]_i_1__0_n_0\,
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[51]_i_1__0_n_0\,
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[52]_i_1__0_n_0\,
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[53]_i_1__0_n_0\,
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[54]_i_1__0_n_0\,
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[55]_i_1__0_n_0\,
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[56]_i_1__0_n_0\,
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[57]_i_1__0_n_0\,
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[58]_i_1__0_n_0\,
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[59]_i_1__0_n_0\,
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[5]_i_1__0_n_0\,
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[60]_i_1__0_n_0\,
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[61]_i_1__0_n_0\,
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[6]_i_1__0_n_0\,
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[7]_i_1__0_n_0\,
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[8]_i_1__0_n_0\,
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[9]_i_1__0_n_0\,
Q => \^q\(9),
R => '0'
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^axaddr_offset_r_reg[3]\,
R => \^m_valid_i_reg_0\
);
next_pending_r_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_arready\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => \wrap_cnt_r_reg[3]\(0)
);
\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => \wrap_cnt_r_reg[3]\(1)
);
\wrap_cnt_r[3]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_1\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2__0_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5__0_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r[3]_i_5__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5__0_n_0\
);
\wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset_0\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_0\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2__0_n_0\
);
\wrap_second_len_r[3]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3__0_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0 is
port (
s_axi_awready : out STD_LOGIC;
s_ready_i_reg_0 : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[1]_inv\ : out STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[1]_inv_0\ : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0 : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0 is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_incr[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_awready\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^s_ready_i_reg_0\ : STD_LOGIC;
signal skid_buffer : STD_LOGIC_VECTOR ( 61 downto 0 );
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair49";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset(1 downto 0) <= \^axaddr_offset\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_awready <= \^s_axi_awready\;
s_ready_i_reg_0 <= \^s_ready_i_reg_0\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
I1 => aresetn,
O => \aresetn_d_reg[1]_inv\
);
\aresetn_d_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => aresetn,
Q => \aresetn_d_reg_n_0_[0]\,
R => '0'
);
\axaddr_incr[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4_n_0\
);
\axaddr_incr[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5_n_0\
);
\axaddr_incr[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6_n_0\
);
\axaddr_incr_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(11 downto 8),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4_n_0\,
DI(1) => \axaddr_incr[3]_i_5_n_0\,
DI(0) => \axaddr_incr[3]_i_6_n_0\,
O(3 downto 0) => axaddr_incr(3 downto 0),
S(3 downto 0) => S(3 downto 0)
);
\axaddr_incr_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(7 downto 4),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2_n_0\
);
\axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(1),
O => \^axaddr_offset\(0)
);
\axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2_n_0\
);
\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^axaddr_offset\(1)
);
\axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2_n_0\
);
\axlen_cnt[3]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => skid_buffer(0)
);
\m_payload_i[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => skid_buffer(10)
);
\m_payload_i[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => skid_buffer(11)
);
\m_payload_i[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(12),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => skid_buffer(12)
);
\m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(13),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => skid_buffer(13)
);
\m_payload_i[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(14),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => skid_buffer(14)
);
\m_payload_i[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(15),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => skid_buffer(15)
);
\m_payload_i[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(16),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => skid_buffer(16)
);
\m_payload_i[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(17),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => skid_buffer(17)
);
\m_payload_i[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(18),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => skid_buffer(18)
);
\m_payload_i[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(19),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => skid_buffer(19)
);
\m_payload_i[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => skid_buffer(1)
);
\m_payload_i[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(20),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => skid_buffer(20)
);
\m_payload_i[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(21),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => skid_buffer(21)
);
\m_payload_i[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(22),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => skid_buffer(22)
);
\m_payload_i[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(23),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => skid_buffer(23)
);
\m_payload_i[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(24),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => skid_buffer(24)
);
\m_payload_i[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(25),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => skid_buffer(25)
);
\m_payload_i[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(26),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => skid_buffer(26)
);
\m_payload_i[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(27),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => skid_buffer(27)
);
\m_payload_i[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(28),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => skid_buffer(28)
);
\m_payload_i[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(29),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => skid_buffer(29)
);
\m_payload_i[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => skid_buffer(2)
);
\m_payload_i[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(30),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => skid_buffer(30)
);
\m_payload_i[31]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(31),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => skid_buffer(31)
);
\m_payload_i[32]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => skid_buffer(32)
);
\m_payload_i[33]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => skid_buffer(33)
);
\m_payload_i[34]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => skid_buffer(34)
);
\m_payload_i[35]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => skid_buffer(35)
);
\m_payload_i[36]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => skid_buffer(36)
);
\m_payload_i[38]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => skid_buffer(38)
);
\m_payload_i[39]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => skid_buffer(39)
);
\m_payload_i[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => skid_buffer(3)
);
\m_payload_i[44]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => skid_buffer(44)
);
\m_payload_i[45]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => skid_buffer(45)
);
\m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => skid_buffer(46)
);
\m_payload_i[47]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => skid_buffer(47)
);
\m_payload_i[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => skid_buffer(4)
);
\m_payload_i[50]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => skid_buffer(50)
);
\m_payload_i[51]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => skid_buffer(51)
);
\m_payload_i[52]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => skid_buffer(52)
);
\m_payload_i[53]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => skid_buffer(53)
);
\m_payload_i[54]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => skid_buffer(54)
);
\m_payload_i[55]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => skid_buffer(55)
);
\m_payload_i[56]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => skid_buffer(56)
);
\m_payload_i[57]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => skid_buffer(57)
);
\m_payload_i[58]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => skid_buffer(58)
);
\m_payload_i[59]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => skid_buffer(59)
);
\m_payload_i[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => skid_buffer(5)
);
\m_payload_i[60]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => skid_buffer(60)
);
\m_payload_i[61]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => skid_buffer(61)
);
\m_payload_i[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => skid_buffer(6)
);
\m_payload_i[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => skid_buffer(7)
);
\m_payload_i[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => skid_buffer(8)
);
\m_payload_i[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => skid_buffer(9)
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(0),
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(10),
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(11),
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(12),
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(13),
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(14),
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(15),
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(16),
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(17),
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(18),
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(19),
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(1),
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(20),
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(21),
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(22),
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(23),
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(24),
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(25),
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(26),
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(27),
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(28),
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(29),
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(2),
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(30),
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(31),
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(32),
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(33),
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(34),
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(35),
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(36),
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(38),
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(39),
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(3),
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(44),
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(45),
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(46),
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(47),
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(4),
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(50),
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(51),
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(52),
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(53),
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(54),
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(55),
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(56),
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(57),
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(58),
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(59),
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(5),
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(60),
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(61),
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(6),
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(7),
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(8),
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(9),
Q => \^q\(9),
R => '0'
);
m_valid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => b_push,
I1 => \^m_valid_i_reg_0\,
I2 => s_axi_awvalid,
I3 => \^s_axi_awready\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^m_valid_i_reg_0\,
R => \aresetn_d_reg[1]_inv_0\
);
next_pending_r_i_4: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
\s_ready_i_i_1__1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
O => \^s_ready_i_reg_0\
);
s_ready_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_awvalid,
I1 => \^s_axi_awready\,
I2 => b_push,
I3 => \^m_valid_i_reg_0\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_awready\,
R => \^s_ready_i_reg_0\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => D(0)
);
\wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => D(1)
);
\wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5_n_0\
);
\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2_n_0\
);
\wrap_second_len_r[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
port (
s_axi_bvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_bvalid\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair79";
begin
s_axi_bvalid <= \^s_axi_bvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__1_n_0\
);
\m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__1_n_0\
);
\m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__1_n_0\
);
\m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__1_n_0\
);
\m_payload_i[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
O => p_1_in
);
\m_payload_i[13]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_2_n_0\
);
\m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__1_n_0\
);
\m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__1_n_0\
);
\m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__1_n_0\
);
\m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__1_n_0\
);
\m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__1_n_0\
);
\m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__1_n_0\
);
\m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__1_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_2_n_0\,
Q => \s_axi_bid[11]\(13),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(1),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(2),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(3),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(9),
R => '0'
);
\m_valid_i_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
I2 => si_rs_bvalid,
I3 => \^skid_buffer_reg[0]_0\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^s_axi_bvalid\,
R => \aresetn_d_reg[1]_inv\
);
s_ready_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => si_rs_bvalid,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_bready,
I3 => \^s_axi_bvalid\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(8),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(9),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(10),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(11),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(0),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(1),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(2),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(3),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(4),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(5),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(6),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(7),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
port (
s_axi_rvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC;
signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_rvalid\ : STD_LOGIC;
signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[4]_i_4\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \s_ready_i_i_1__2\ : label is "soft_lutpair84";
begin
s_axi_rvalid <= \^s_axi_rvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\cnt_read[4]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^skid_buffer_reg[0]_0\,
I1 => \cnt_read_reg[4]_rep__0\,
O => \cnt_read_reg[2]_rep__0\
);
\m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__2_n_0\
);
\m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__2_n_0\
);
\m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__2_n_0\
);
\m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__2_n_0\
);
\m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(13),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__2_n_0\
);
\m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(14),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__1_n_0\
);
\m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(15),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__1_n_0\
);
\m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(16),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__1_n_0\
);
\m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(17),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__1_n_0\
);
\m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(18),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__1_n_0\
);
\m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(19),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__1_n_0\
);
\m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__2_n_0\
);
\m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(20),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__1_n_0\
);
\m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(21),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__1_n_0\
);
\m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(22),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__1_n_0\
);
\m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(23),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__1_n_0\
);
\m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(24),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__1_n_0\
);
\m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(25),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__1_n_0\
);
\m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(26),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__1_n_0\
);
\m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(27),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__1_n_0\
);
\m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(28),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__1_n_0\
);
\m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(29),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__2_n_0\
);
\m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(30),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__1_n_0\
);
\m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(31),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_1__1_n_0\
);
\m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(32),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__1_n_0\
);
\m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(33),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__1_n_0\
);
\m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__1_n_0\
);
\m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__1_n_0\
);
\m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__1_n_0\
);
\m_payload_i[37]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[37]\,
O => \m_payload_i[37]_i_1_n_0\
);
\m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__1_n_0\
);
\m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__2_n_0\
);
\m_payload_i[40]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[40]\,
O => \m_payload_i[40]_i_1_n_0\
);
\m_payload_i[41]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[41]\,
O => \m_payload_i[41]_i_1_n_0\
);
\m_payload_i[42]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[42]\,
O => \m_payload_i[42]_i_1_n_0\
);
\m_payload_i[43]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[43]\,
O => \m_payload_i[43]_i_1_n_0\
);
\m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__1_n_0\
);
\m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__1_n_0\
);
\m_payload_i[46]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
O => p_1_in
);
\m_payload_i[46]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_2_n_0\
);
\m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__2_n_0\
);
\m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__2_n_0\
);
\m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__2_n_0\
);
\m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__2_n_0\
);
\m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__2_n_0\
);
\m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__2_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[14]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[15]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[16]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[17]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[18]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[19]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[20]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[21]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[22]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[23]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[24]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[25]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[26]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[27]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[28]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[29]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[30]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[31]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[32]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[33]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[34]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[35]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[36]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(36),
R => '0'
);
\m_payload_i_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[37]_i_1_n_0\,
Q => \s_axi_rid[11]\(37),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[38]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(38),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[39]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(39),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(3),
R => '0'
);
\m_payload_i_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[40]_i_1_n_0\,
Q => \s_axi_rid[11]\(40),
R => '0'
);
\m_payload_i_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[41]_i_1_n_0\,
Q => \s_axi_rid[11]\(41),
R => '0'
);
\m_payload_i_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[42]_i_1_n_0\,
Q => \s_axi_rid[11]\(42),
R => '0'
);
\m_payload_i_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[43]_i_1_n_0\,
Q => \s_axi_rid[11]\(43),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[44]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(44),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[45]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(45),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[46]_i_2_n_0\,
Q => \s_axi_rid[11]\(46),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(9),
R => '0'
);
\m_valid_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"4FFF"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
I2 => \cnt_read_reg[4]_rep__0\,
I3 => \^skid_buffer_reg[0]_0\,
O => \m_valid_i_i_1__2_n_0\
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \m_valid_i_i_1__2_n_0\,
Q => \^s_axi_rvalid\,
R => \aresetn_d_reg[1]_inv\
);
\s_ready_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F8FF"
)
port map (
I0 => \cnt_read_reg[4]_rep__0\,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
O => \s_ready_i_i_1__2_n_0\
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \s_ready_i_i_1__2_n_0\,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(32),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(33),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(0),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(1),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(2),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(3),
Q => \skid_buffer_reg_n_0_[37]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(4),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(5),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(6),
Q => \skid_buffer_reg_n_0_[40]\,
R => '0'
);
\skid_buffer_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(7),
Q => \skid_buffer_reg_n_0_[41]\,
R => '0'
);
\skid_buffer_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(8),
Q => \skid_buffer_reg_n_0_[42]\,
R => '0'
);
\skid_buffer_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(9),
Q => \skid_buffer_reg_n_0_[43]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(10),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(11),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(12),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_b_channel is
port (
si_rs_bvalid : out STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
areset_d1 : in STD_LOGIC;
aclk : in STD_LOGIC;
b_push : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_b_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_b_channel is
signal bid_fifo_0_n_3 : STD_LOGIC;
signal bid_fifo_0_n_5 : STD_LOGIC;
signal \bresp_cnt[7]_i_6_n_0\ : STD_LOGIC;
signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal bresp_push : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mhandshake : STD_LOGIC;
signal mhandshake_r : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s_bresp_acc0 : STD_LOGIC;
signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC;
signal shandshake : STD_LOGIC;
signal shandshake_r : STD_LOGIC;
signal \^si_rs_bvalid\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair124";
attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair124";
begin
si_rs_bvalid <= \^si_rs_bvalid\;
bid_fifo_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
SR(0) => s_bresp_acc0,
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0),
bresp_push => bresp_push,
bvalid_i_reg => bid_fifo_0_n_5,
bvalid_i_reg_0 => \^si_rs_bvalid\,
\cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0\,
\in\(15 downto 0) => \in\(15 downto 0),
mhandshake_r => mhandshake_r,
\out\(11 downto 0) => \out\(11 downto 0),
shandshake_r => shandshake_r,
si_rs_bready => si_rs_bready
);
\bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \bresp_cnt_reg__0\(0),
O => p_0_in(0)
);
\bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(1),
I1 => \bresp_cnt_reg__0\(0),
O => p_0_in(1)
);
\bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(2),
I1 => \bresp_cnt_reg__0\(0),
I2 => \bresp_cnt_reg__0\(1),
O => p_0_in(2)
);
\bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \bresp_cnt_reg__0\(3),
I1 => \bresp_cnt_reg__0\(1),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(2),
O => p_0_in(3)
);
\bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(4),
I1 => \bresp_cnt_reg__0\(2),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(1),
I4 => \bresp_cnt_reg__0\(3),
O => p_0_in(4)
);
\bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => p_0_in(5)
);
\bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(6),
I1 => \bresp_cnt[7]_i_6_n_0\,
O => p_0_in(6)
);
\bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(7),
I1 => \bresp_cnt[7]_i_6_n_0\,
I2 => \bresp_cnt_reg__0\(6),
O => p_0_in(7)
);
\bresp_cnt[7]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => \bresp_cnt[7]_i_6_n_0\
);
\bresp_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(0),
Q => \bresp_cnt_reg__0\(0),
R => s_bresp_acc0
);
\bresp_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(1),
Q => \bresp_cnt_reg__0\(1),
R => s_bresp_acc0
);
\bresp_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(2),
Q => \bresp_cnt_reg__0\(2),
R => s_bresp_acc0
);
\bresp_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(3),
Q => \bresp_cnt_reg__0\(3),
R => s_bresp_acc0
);
\bresp_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(4),
Q => \bresp_cnt_reg__0\(4),
R => s_bresp_acc0
);
\bresp_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(5),
Q => \bresp_cnt_reg__0\(5),
R => s_bresp_acc0
);
\bresp_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(6),
Q => \bresp_cnt_reg__0\(6),
R => s_bresp_acc0
);
\bresp_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(7),
Q => \bresp_cnt_reg__0\(7),
R => s_bresp_acc0
);
bresp_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(1) => \s_bresp_acc_reg_n_0_[1]\,
\in\(0) => \s_bresp_acc_reg_n_0_[0]\,
m_axi_bready => m_axi_bready,
m_axi_bvalid => m_axi_bvalid,
mhandshake => mhandshake,
mhandshake_r => mhandshake_r,
sel => bresp_push,
shandshake_r => shandshake_r,
\skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0)
);
bvalid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => bid_fifo_0_n_5,
Q => \^si_rs_bvalid\,
R => '0'
);
mhandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => mhandshake,
Q => mhandshake_r,
R => areset_d1
);
\s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EACEAAAA"
)
port map (
I0 => \s_bresp_acc_reg_n_0_[0]\,
I1 => m_axi_bresp(0),
I2 => m_axi_bresp(1),
I3 => \s_bresp_acc_reg_n_0_[1]\,
I4 => mhandshake,
I5 => s_bresp_acc0,
O => \s_bresp_acc[0]_i_1_n_0\
);
\s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00EC"
)
port map (
I0 => m_axi_bresp(1),
I1 => \s_bresp_acc_reg_n_0_[1]\,
I2 => mhandshake,
I3 => s_bresp_acc0,
O => \s_bresp_acc[1]_i_1_n_0\
);
\s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[0]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[0]\,
R => '0'
);
\s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[1]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[1]\,
R => '0'
);
shandshake_r_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^si_rs_bvalid\,
I1 => si_rs_bready,
O => shandshake
);
shandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => shandshake,
Q => shandshake_r,
R => areset_d1
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
port (
next_pending_r_reg : out STD_LOGIC;
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC;
\m_payload_i_reg[39]_0\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\m_payload_i_reg[47]_1\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_16 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
begin
incr_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd
port map (
E(0) => E(0),
Q(0) => Q(0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[0]_0\ => sel_first_0,
\axaddr_incr_reg[11]_0\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_14,
\axlen_cnt_reg[2]_0\ => \axlen_cnt_reg[2]\,
incr_next_pending => incr_next_pending,
\m_axi_awaddr[11]\ => incr_cmd_0_n_15,
\m_axi_awaddr[5]\ => incr_cmd_0_n_16,
\m_payload_i_reg[46]\(9 downto 8) => \m_payload_i_reg[47]\(18 downto 17),
\m_payload_i_reg[46]\(7 downto 5) => \m_payload_i_reg[47]\(14 downto 12),
\m_payload_i_reg[46]\(4) => \m_payload_i_reg[47]\(5),
\m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\next\ => \next\,
next_pending_r_reg_0 => next_pending_r_reg,
sel_first_reg_0 => sel_first_reg_1,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(0) => \state_reg[1]_0\(0)
);
\memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => \m_payload_i_reg[47]\(15),
I2 => s_axburst_eq0,
O => \state_reg[1]_rep\
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]\,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]_0\,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
wrap_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
aclk => aclk,
\axaddr_incr_reg[11]\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_14,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[47]\(19 downto 15),
\m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[47]\(13 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_1\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
sel_first_reg_0 => sel_first,
sel_first_reg_1 => sel_first_reg_2,
sel_first_reg_2 => incr_cmd_0_n_15,
sel_first_reg_3 => incr_cmd_0_n_16,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
port (
sel_first_reg_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
sel_first_reg_1 : out STD_LOGIC;
\axlen_cnt_reg[0]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
r_rlast : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
sel_first_reg_3 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]_rep_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 );
sel_first_reg_4 : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_17_b2s_cmd_translator";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_3 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
signal wrap_cmd_0_n_6 : STD_LOGIC;
signal wrap_cmd_0_n_7 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair17";
begin
incr_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2
port map (
E(0) => E(0),
O(3 downto 0) => O(3 downto 0),
Q(10 downto 8) => Q(18 downto 16),
Q(7 downto 5) => Q(14 downto 12),
Q(4) => Q(5),
Q(3 downto 0) => Q(3 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_incr_reg[0]_0\ => sel_first,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_10,
\axlen_cnt_reg[0]_0\ => \axlen_cnt_reg[0]\,
incr_next_pending => incr_next_pending,
\m_axi_araddr[11]\ => incr_cmd_0_n_11,
\m_axi_araddr[1]\ => incr_cmd_0_n_15,
\m_axi_araddr[2]\ => incr_cmd_0_n_14,
\m_axi_araddr[3]\ => incr_cmd_0_n_13,
\m_axi_araddr[5]\ => incr_cmd_0_n_12,
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
sel_first_reg_0 => sel_first_reg_2,
sel_first_reg_1(0) => sel_first_reg_4(0),
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\ => \state_reg[1]_0\,
\state_reg[1]_0\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
r_rlast_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => s_axburst_eq0,
I1 => Q(15),
I2 => s_axburst_eq1,
O => r_rlast
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_6,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_7,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
\state[1]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => Q(15),
I2 => s_axburst_eq0,
O => \state_reg[0]_rep\
);
wrap_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
Q(18 downto 14) => Q(19 downto 15),
Q(13 downto 0) => Q(13 downto 0),
aclk => aclk,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_10,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
incr_next_pending => incr_next_pending,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
s_axburst_eq0_reg => wrap_cmd_0_n_6,
s_axburst_eq1_reg => wrap_cmd_0_n_7,
sel_first_i => sel_first_i,
sel_first_reg_0 => sel_first_reg_1,
sel_first_reg_1 => sel_first_reg_3,
sel_first_reg_2 => incr_cmd_0_n_11,
sel_first_reg_3 => incr_cmd_0_n_12,
sel_first_reg_4 => incr_cmd_0_n_13,
sel_first_reg_5 => incr_cmd_0_n_14,
sel_first_reg_6 => incr_cmd_0_n_15,
si_rs_arvalid => si_rs_arvalid,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_r_channel is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
\state_reg[1]_rep_0\ : in STD_LOGIC;
aclk : in STD_LOGIC;
r_rlast : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_r_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_r_channel is
signal \^m_valid_i_reg\ : STD_LOGIC;
signal r_push_r : STD_LOGIC;
signal rd_data_fifo_0_n_0 : STD_LOGIC;
signal rd_data_fifo_0_n_1 : STD_LOGIC;
signal rd_data_fifo_0_n_2 : STD_LOGIC;
signal rd_data_fifo_0_n_4 : STD_LOGIC;
signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 );
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\r_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => trans_in(1),
R => '0'
);
\r_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(10),
Q => trans_in(11),
R => '0'
);
\r_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(11),
Q => trans_in(12),
R => '0'
);
\r_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => trans_in(2),
R => '0'
);
\r_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => trans_in(3),
R => '0'
);
\r_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => trans_in(4),
R => '0'
);
\r_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(4),
Q => trans_in(5),
R => '0'
);
\r_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(5),
Q => trans_in(6),
R => '0'
);
\r_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(6),
Q => trans_in(7),
R => '0'
);
\r_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(7),
Q => trans_in(8),
R => '0'
);
\r_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(8),
Q => trans_in(9),
R => '0'
);
\r_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(9),
Q => trans_in(10),
R => '0'
);
r_push_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \state_reg[1]_rep_0\,
Q => r_push_r,
R => '0'
);
r_rlast_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => r_rlast,
Q => trans_in(0),
R => '0'
);
rd_data_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[4]_rep__0_0\ => \^m_valid_i_reg\,
\cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_1,
\cnt_read_reg[4]_rep__2_2\ => rd_data_fifo_0_n_2,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
\out\(33 downto 0) => \out\(33 downto 0),
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\state_reg[1]_rep\ => rd_data_fifo_0_n_4
);
transaction_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[0]_rep__3\ => rd_data_fifo_0_n_2,
\cnt_read_reg[0]_rep__3_0\ => rd_data_fifo_0_n_4,
\cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2\ => rd_data_fifo_0_n_1,
\in\(12 downto 0) => trans_in(12 downto 0),
m_valid_i_reg => \^m_valid_i_reg\,
r_push_r => r_push_r,
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axi_register_slice is
port (
s_axi_awready : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
si_rs_awvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
si_rs_bready : out STD_LOGIC;
si_rs_arvalid : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
si_rs_rready : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axlen_cnt_reg[3]_0\ : out STD_LOGIC;
\s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]_0\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : out STD_LOGIC;
next_pending_r_reg_0 : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
aclk : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_2\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_4\ : in STD_LOGIC;
\state_reg[0]_rep_0\ : in STD_LOGIC;
\state_reg[1]_rep_2\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axi_register_slice;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axi_register_slice is
signal \ar.ar_pipe_n_2\ : STD_LOGIC;
signal \aw.aw_pipe_n_1\ : STD_LOGIC;
signal \aw.aw_pipe_n_90\ : STD_LOGIC;
begin
\ar.ar_pipe\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice
port map (
O(3 downto 0) => O(3 downto 0),
Q(54 downto 0) => \s_arid_r_reg[11]\(54 downto 0),
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[0]_0\ => \aw.aw_pipe_n_90\,
\axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
\axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
axaddr_offset_0(1 downto 0) => axaddr_offset_0(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset_0(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]_0\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_3\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_4\,
\axaddr_offset_r_reg[3]\ => si_rs_arvalid,
\axaddr_offset_r_reg[3]_0\(2 downto 0) => \axaddr_offset_r_reg[3]_1\(2 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_2\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\,
\m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
m_valid_i0 => m_valid_i0,
m_valid_i_reg_0 => \ar.ar_pipe_n_2\,
m_valid_i_reg_1(0) => m_valid_i_reg(0),
next_pending_r_reg => next_pending_r_reg_0,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_ready_i0 => s_ready_i0,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\(1 downto 0) => \state_reg[1]_0\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep_1\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_2\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]_0\,
\wrap_cnt_r_reg[3]\(1 downto 0) => \wrap_cnt_r_reg[3]_0\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]_0\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_2\(3 downto 0)
);
\aw.aw_pipe\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0
port map (
D(1 downto 0) => D(1 downto 0),
E(0) => E(0),
Q(54 downto 0) => Q(54 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
aresetn => aresetn,
\aresetn_d_reg[1]_inv\ => \aw.aw_pipe_n_90\,
\aresetn_d_reg[1]_inv_0\ => \ar.ar_pipe_n_2\,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(1 downto 0) => axaddr_offset(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_1\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_2\,
\axaddr_offset_r_reg[3]\(2 downto 0) => \axaddr_offset_r_reg[3]\(2 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\,
b_push => b_push,
m_valid_i_reg_0 => si_rs_awvalid,
next_pending_r_reg => next_pending_r_reg,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_ready_i_reg_0 => \aw.aw_pipe_n_1\,
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_0\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0)
);
\b.b_pipe\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\out\(11 downto 0) => \out\(11 downto 0),
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0),
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[0]_0\ => si_rs_bready
);
\r.r_pipe\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
\cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0),
\cnt_read_reg[4]_rep__0\ => \cnt_read_reg[4]_rep__0\,
r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0),
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\skid_buffer_reg[0]_0\ => si_rs_rready
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_ar_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
r_rlast : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_ar_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_ar_channel is
signal ar_cmd_fsm_0_n_0 : STD_LOGIC;
signal ar_cmd_fsm_0_n_10 : STD_LOGIC;
signal ar_cmd_fsm_0_n_16 : STD_LOGIC;
signal ar_cmd_fsm_0_n_6 : STD_LOGIC;
signal ar_cmd_fsm_0_n_8 : STD_LOGIC;
signal ar_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_10 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_3 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \^r_push_r_reg\ : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
r_push_r_reg <= \^r_push_r_reg\;
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
ar_cmd_fsm_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm
port map (
D(0) => ar_cmd_fsm_0_n_6,
E(0) => ar_cmd_fsm_0_n_8,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\axaddr_incr_reg[0]\(0) => ar_cmd_fsm_0_n_16,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axlen_cnt_reg[7]\ => ar_cmd_fsm_0_n_0,
\axlen_cnt_reg[7]_0\ => cmd_translator_0_n_3,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \m_payload_i_reg[0]\,
\m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]_0\,
\m_payload_i_reg[0]_1\(0) => E(0),
\m_payload_i_reg[46]\(0) => Q(18),
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
m_valid_i0 => m_valid_i0,
r_push_r_reg => \^r_push_r_reg\,
s_axburst_eq1_reg => cmd_translator_0_n_10,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => s_ready_i0,
s_ready_i_reg => s_ready_i_reg,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => ar_cmd_fsm_0_n_9,
sel_first_reg_0 => ar_cmd_fsm_0_n_10,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => cmd_translator_0_n_0,
si_rs_arvalid => si_rs_arvalid,
\wrap_boundary_axaddr_r_reg[11]\(0) => \^wrap_boundary_axaddr_r_reg[11]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
O(3 downto 0) => O(3 downto 0),
Q(19 downto 0) => Q(19 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[0]\ => cmd_translator_0_n_3,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => ar_cmd_fsm_0_n_8,
r_rlast => r_rlast,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_0,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => ar_cmd_fsm_0_n_10,
sel_first_reg_3 => ar_cmd_fsm_0_n_9,
sel_first_reg_4(0) => ar_cmd_fsm_0_n_16,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => cmd_translator_0_n_10,
\state_reg[0]_rep_0\ => \^m_payload_i_reg[0]_0\,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\ => ar_cmd_fsm_0_n_0,
\state_reg[1]_rep\ => \^r_push_r_reg\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 1) => D(2 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[3]_1\(2 downto 1) => \wrap_second_len_r_reg[3]_0\(1 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_6
);
\s_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \r_arid_r_reg[11]\(0),
R => '0'
);
\s_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \r_arid_r_reg[11]\(10),
R => '0'
);
\s_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \r_arid_r_reg[11]\(11),
R => '0'
);
\s_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \r_arid_r_reg[11]\(1),
R => '0'
);
\s_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \r_arid_r_reg[11]\(2),
R => '0'
);
\s_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \r_arid_r_reg[11]\(3),
R => '0'
);
\s_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \r_arid_r_reg[11]\(4),
R => '0'
);
\s_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \r_arid_r_reg[11]\(5),
R => '0'
);
\s_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \r_arid_r_reg[11]\(6),
R => '0'
);
\s_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \r_arid_r_reg[11]\(7),
R => '0'
);
\s_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \r_arid_r_reg[11]\(8),
R => '0'
);
\s_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \r_arid_r_reg[11]\(9),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_aw_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\in\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_aw_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_aw_channel is
signal aw_cmd_fsm_0_n_12 : STD_LOGIC;
signal aw_cmd_fsm_0_n_14 : STD_LOGIC;
signal aw_cmd_fsm_0_n_15 : STD_LOGIC;
signal aw_cmd_fsm_0_n_16 : STD_LOGIC;
signal aw_cmd_fsm_0_n_2 : STD_LOGIC;
signal aw_cmd_fsm_0_n_8 : STD_LOGIC;
signal aw_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_12 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_5 : STD_LOGIC;
signal cmd_translator_0_n_6 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal \next\ : STD_LOGIC;
signal sel_first : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
aw_cmd_fsm_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm
port map (
D(0) => wrap_cnt(0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_wrap_reg[11]\(0) => aw_cmd_fsm_0_n_14,
\axlen_cnt_reg[0]\(0) => aw_cmd_fsm_0_n_8,
\axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_5,
\axlen_cnt_reg[7]\ => \axlen_cnt_reg[7]\,
\axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]_0\,
\axlen_cnt_reg[7]_1\ => aw_cmd_fsm_0_n_2,
\axlen_cnt_reg[7]_2\ => cmd_translator_0_n_6,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
incr_next_pending => incr_next_pending,
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[0]\(0) => E(0),
\m_payload_i_reg[46]\(2) => Q(18),
\m_payload_i_reg[46]\(1 downto 0) => Q(16 downto 15),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
s_axburst_eq0_reg => aw_cmd_fsm_0_n_9,
s_axburst_eq1_reg => aw_cmd_fsm_0_n_12,
s_axburst_eq1_reg_0 => cmd_translator_0_n_12,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => aw_cmd_fsm_0_n_15,
sel_first_reg_0 => aw_cmd_fsm_0_n_16,
sel_first_reg_1 => cmd_translator_0_n_2,
si_rs_awvalid => si_rs_awvalid,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(0) => cmd_translator_0_n_5,
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[2]\ => cmd_translator_0_n_6,
incr_next_pending => incr_next_pending,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_9,
\m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_12,
\m_payload_i_reg[47]\(19 downto 0) => Q(19 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_1\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_2,
sel_first_reg_1 => aw_cmd_fsm_0_n_16,
sel_first_reg_2 => aw_cmd_fsm_0_n_15,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => aw_cmd_fsm_0_n_14,
\state_reg[0]_rep\ => aw_cmd_fsm_0_n_2,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\(0) => aw_cmd_fsm_0_n_8,
\state_reg[1]_rep\ => cmd_translator_0_n_12,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 1) => D(1 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => wrap_cnt(0),
\wrap_second_len_r_reg[3]_1\(3 downto 1) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => \wrap_cmd_0/wrap_second_len\(0)
);
\s_awid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \in\(4),
R => '0'
);
\s_awid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \in\(14),
R => '0'
);
\s_awid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \in\(15),
R => '0'
);
\s_awid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \in\(5),
R => '0'
);
\s_awid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \in\(6),
R => '0'
);
\s_awid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \in\(7),
R => '0'
);
\s_awid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \in\(8),
R => '0'
);
\s_awid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \in\(9),
R => '0'
);
\s_awid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \in\(10),
R => '0'
);
\s_awid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \in\(11),
R => '0'
);
\s_awid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \in\(12),
R => '0'
);
\s_awid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \in\(13),
R => '0'
);
\s_awlen_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(16),
Q => \in\(0),
R => '0'
);
\s_awlen_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(17),
Q => \in\(1),
R => '0'
);
\s_awlen_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(18),
Q => \in\(2),
R => '0'
);
\s_awlen_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(19),
Q => \in\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s is
port (
s_axi_rvalid : out STD_LOGIC;
s_axi_awready : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_arready : out STD_LOGIC;
\m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_bvalid : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awready : in STD_LOGIC;
m_axi_arready : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
aclk : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awvalid : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
aresetn : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s is
signal \RD.ar_channel_0_n_0\ : STD_LOGIC;
signal \RD.ar_channel_0_n_10\ : STD_LOGIC;
signal \RD.ar_channel_0_n_11\ : STD_LOGIC;
signal \RD.ar_channel_0_n_16\ : STD_LOGIC;
signal \RD.ar_channel_0_n_3\ : STD_LOGIC;
signal \RD.ar_channel_0_n_4\ : STD_LOGIC;
signal \RD.ar_channel_0_n_46\ : STD_LOGIC;
signal \RD.ar_channel_0_n_47\ : STD_LOGIC;
signal \RD.ar_channel_0_n_48\ : STD_LOGIC;
signal \RD.ar_channel_0_n_49\ : STD_LOGIC;
signal \RD.ar_channel_0_n_5\ : STD_LOGIC;
signal \RD.r_channel_0_n_0\ : STD_LOGIC;
signal \RD.r_channel_0_n_1\ : STD_LOGIC;
signal SI_REG_n_132 : STD_LOGIC;
signal SI_REG_n_133 : STD_LOGIC;
signal SI_REG_n_134 : STD_LOGIC;
signal SI_REG_n_135 : STD_LOGIC;
signal SI_REG_n_136 : STD_LOGIC;
signal SI_REG_n_137 : STD_LOGIC;
signal SI_REG_n_138 : STD_LOGIC;
signal SI_REG_n_139 : STD_LOGIC;
signal SI_REG_n_140 : STD_LOGIC;
signal SI_REG_n_141 : STD_LOGIC;
signal SI_REG_n_142 : STD_LOGIC;
signal SI_REG_n_143 : STD_LOGIC;
signal SI_REG_n_149 : STD_LOGIC;
signal SI_REG_n_153 : STD_LOGIC;
signal SI_REG_n_154 : STD_LOGIC;
signal SI_REG_n_155 : STD_LOGIC;
signal SI_REG_n_156 : STD_LOGIC;
signal SI_REG_n_157 : STD_LOGIC;
signal SI_REG_n_161 : STD_LOGIC;
signal SI_REG_n_165 : STD_LOGIC;
signal SI_REG_n_166 : STD_LOGIC;
signal SI_REG_n_167 : STD_LOGIC;
signal SI_REG_n_168 : STD_LOGIC;
signal SI_REG_n_169 : STD_LOGIC;
signal SI_REG_n_170 : STD_LOGIC;
signal SI_REG_n_171 : STD_LOGIC;
signal SI_REG_n_172 : STD_LOGIC;
signal SI_REG_n_173 : STD_LOGIC;
signal SI_REG_n_174 : STD_LOGIC;
signal SI_REG_n_175 : STD_LOGIC;
signal SI_REG_n_176 : STD_LOGIC;
signal SI_REG_n_177 : STD_LOGIC;
signal SI_REG_n_178 : STD_LOGIC;
signal SI_REG_n_179 : STD_LOGIC;
signal SI_REG_n_180 : STD_LOGIC;
signal SI_REG_n_181 : STD_LOGIC;
signal SI_REG_n_182 : STD_LOGIC;
signal SI_REG_n_26 : STD_LOGIC;
signal SI_REG_n_64 : STD_LOGIC;
signal SI_REG_n_8 : STD_LOGIC;
signal SI_REG_n_82 : STD_LOGIC;
signal \WR.aw_channel_0_n_0\ : STD_LOGIC;
signal \WR.aw_channel_0_n_10\ : STD_LOGIC;
signal \WR.aw_channel_0_n_15\ : STD_LOGIC;
signal \WR.aw_channel_0_n_3\ : STD_LOGIC;
signal \WR.aw_channel_0_n_4\ : STD_LOGIC;
signal \WR.aw_channel_0_n_47\ : STD_LOGIC;
signal \WR.aw_channel_0_n_48\ : STD_LOGIC;
signal \WR.aw_channel_0_n_49\ : STD_LOGIC;
signal \WR.aw_channel_0_n_50\ : STD_LOGIC;
signal \WR.aw_channel_0_n_9\ : STD_LOGIC;
signal \WR.b_channel_0_n_1\ : STD_LOGIC;
signal \WR.b_channel_0_n_2\ : STD_LOGIC;
signal \ar.ar_pipe/m_valid_i0\ : STD_LOGIC;
signal \ar.ar_pipe/p_1_in\ : STD_LOGIC;
signal \ar.ar_pipe/s_ready_i0\ : STD_LOGIC;
signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal areset_d1 : STD_LOGIC;
signal areset_d1_i_1_n_0 : STD_LOGIC;
signal \aw.aw_pipe/p_1_in\ : STD_LOGIC;
signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axaddr_incr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal b_push : STD_LOGIC;
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal r_rlast : STD_LOGIC;
signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \^s_axi_arready\ : STD_LOGIC;
signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_arvalid : STD_LOGIC;
signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_awvalid : STD_LOGIC;
signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_bready : STD_LOGIC;
signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_bvalid : STD_LOGIC;
signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 );
signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_rlast : STD_LOGIC;
signal si_rs_rready : STD_LOGIC;
signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 2 );
begin
s_axi_arready <= \^s_axi_arready\;
\RD.ar_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_ar_channel
port map (
D(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
E(0) => \ar.ar_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(31 downto 20) => s_arid(11 downto 0),
Q(19 downto 16) => si_rs_arlen(3 downto 0),
Q(15) => si_rs_arburst(1),
Q(14) => SI_REG_n_82,
Q(13 downto 12) => si_rs_arsize(1 downto 0),
Q(11 downto 0) => si_rs_araddr(11 downto 0),
S(3) => \RD.ar_channel_0_n_46\,
S(2) => \RD.ar_channel_0_n_47\,
S(1) => \RD.ar_channel_0_n_48\,
S(0) => \RD.ar_channel_0_n_49\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_161,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_165,
\cnt_read_reg[2]_rep__0\ => \RD.r_channel_0_n_1\,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \RD.ar_channel_0_n_4\,
\m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_5\,
\m_payload_i_reg[3]\(3) => SI_REG_n_132,
\m_payload_i_reg[3]\(2) => SI_REG_n_133,
\m_payload_i_reg[3]\(1) => SI_REG_n_134,
\m_payload_i_reg[3]\(0) => SI_REG_n_135,
\m_payload_i_reg[47]\ => SI_REG_n_64,
\m_payload_i_reg[47]_0\ => SI_REG_n_167,
\m_payload_i_reg[5]\ => SI_REG_n_166,
\m_payload_i_reg[6]\(6) => SI_REG_n_176,
\m_payload_i_reg[6]\(5) => SI_REG_n_177,
\m_payload_i_reg[6]\(4) => SI_REG_n_178,
\m_payload_i_reg[6]\(3) => SI_REG_n_179,
\m_payload_i_reg[6]\(2) => SI_REG_n_180,
\m_payload_i_reg[6]\(1) => SI_REG_n_181,
\m_payload_i_reg[6]\(0) => SI_REG_n_182,
\m_payload_i_reg[7]\(3) => SI_REG_n_136,
\m_payload_i_reg[7]\(2) => SI_REG_n_137,
\m_payload_i_reg[7]\(1) => SI_REG_n_138,
\m_payload_i_reg[7]\(0) => SI_REG_n_139,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
\r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0),
r_push_r_reg => \RD.ar_channel_0_n_3\,
r_rlast => r_rlast,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
s_ready_i_reg => \^s_axi_arready\,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \RD.ar_channel_0_n_10\,
\wrap_cnt_r_reg[3]_0\ => \RD.ar_channel_0_n_11\,
\wrap_cnt_r_reg[3]_1\ => \RD.ar_channel_0_n_16\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_157
);
\RD.r_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_r_channel
port map (
D(11 downto 0) => s_arid_r(11 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
m_valid_i_reg => \RD.r_channel_0_n_0\,
\out\(33 downto 32) => si_rs_rresp(1 downto 0),
\out\(31 downto 0) => si_rs_rdata(31 downto 0),
r_rlast => r_rlast,
s_ready_i_reg => SI_REG_n_168,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0),
\skid_buffer_reg[46]\(0) => si_rs_rlast,
\state_reg[1]_rep\ => \RD.r_channel_0_n_1\,
\state_reg[1]_rep_0\ => \RD.ar_channel_0_n_3\
);
SI_REG: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axi_register_slice
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(54 downto 43) => s_awid(11 downto 0),
Q(42 downto 39) => si_rs_awlen(3 downto 0),
Q(38) => si_rs_awburst(1),
Q(37) => SI_REG_n_26,
Q(36 downto 35) => si_rs_awsize(1 downto 0),
Q(34 downto 12) => Q(22 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
aresetn => aresetn,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[3]\(3) => SI_REG_n_132,
\axaddr_incr_reg[3]\(2) => SI_REG_n_133,
\axaddr_incr_reg[3]\(1) => SI_REG_n_134,
\axaddr_incr_reg[3]\(0) => SI_REG_n_135,
\axaddr_incr_reg[7]\(3) => SI_REG_n_136,
\axaddr_incr_reg[7]\(2) => SI_REG_n_137,
\axaddr_incr_reg[7]\(1) => SI_REG_n_138,
\axaddr_incr_reg[7]\(0) => SI_REG_n_139,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
axaddr_offset_0(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset_0(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\ => SI_REG_n_154,
\axaddr_offset_r_reg[2]_0\ => SI_REG_n_166,
\axaddr_offset_r_reg[2]_1\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[2]_2\ => \WR.aw_channel_0_n_15\,
\axaddr_offset_r_reg[2]_3\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[2]_4\ => \RD.ar_channel_0_n_16\,
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\axaddr_offset_r_reg[3]_1\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]_1\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_2\ => \RD.ar_channel_0_n_11\,
\axlen_cnt_reg[3]\ => SI_REG_n_8,
\axlen_cnt_reg[3]_0\ => SI_REG_n_64,
b_push => b_push,
\cnt_read_reg[2]_rep__0\ => SI_REG_n_168,
\cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0),
\cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0),
\cnt_read_reg[4]_rep__0\ => \RD.r_channel_0_n_0\,
\m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_46\,
\m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_47\,
\m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_48\,
\m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_49\,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
m_valid_i_reg(0) => \ar.ar_pipe/p_1_in\,
next_pending_r_reg => SI_REG_n_155,
next_pending_r_reg_0 => SI_REG_n_167,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0),
r_push_r_reg(0) => si_rs_rlast,
\s_arid_r_reg[11]\(54 downto 43) => s_arid(11 downto 0),
\s_arid_r_reg[11]\(42 downto 39) => si_rs_arlen(3 downto 0),
\s_arid_r_reg[11]\(38) => si_rs_arburst(1),
\s_arid_r_reg[11]\(37) => SI_REG_n_82,
\s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0),
\s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0),
\s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0),
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => \^s_axi_arready\,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0),
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
si_rs_arvalid => si_rs_arvalid,
si_rs_awvalid => si_rs_awvalid,
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
si_rs_rready => si_rs_rready,
\state_reg[0]_rep\ => \WR.aw_channel_0_n_4\,
\state_reg[0]_rep_0\ => \RD.ar_channel_0_n_5\,
\state_reg[1]\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_0\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_rep\ => \WR.aw_channel_0_n_0\,
\state_reg[1]_rep_0\ => \WR.aw_channel_0_n_3\,
\state_reg[1]_rep_1\ => \RD.ar_channel_0_n_0\,
\state_reg[1]_rep_2\ => \RD.ar_channel_0_n_4\,
\wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_169,
\wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_170,
\wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_171,
\wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_172,
\wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_173,
\wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_174,
\wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_175,
\wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_176,
\wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_177,
\wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_178,
\wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_179,
\wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_180,
\wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_181,
\wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_182,
\wrap_cnt_r_reg[2]\ => SI_REG_n_149,
\wrap_cnt_r_reg[2]_0\ => SI_REG_n_161,
\wrap_cnt_r_reg[3]\ => SI_REG_n_153,
\wrap_cnt_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_cnt_r_reg[3]_0\(0) => SI_REG_n_157,
\wrap_cnt_r_reg[3]_1\ => SI_REG_n_165,
\wrap_second_len_r_reg[1]\ => \WR.aw_channel_0_n_9\,
\wrap_second_len_r_reg[1]_0\ => \RD.ar_channel_0_n_10\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0)
);
\WR.aw_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_aw_channel
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
Q(31 downto 20) => s_awid(11 downto 0),
Q(19 downto 16) => si_rs_awlen(3 downto 0),
Q(15) => si_rs_awburst(1),
Q(14) => SI_REG_n_26,
Q(13 downto 12) => si_rs_awsize(1 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_149,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_153,
\axlen_cnt_reg[7]\ => \WR.aw_channel_0_n_3\,
\axlen_cnt_reg[7]_0\ => \WR.aw_channel_0_n_4\,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[47]\ => SI_REG_n_8,
\m_payload_i_reg[47]_0\ => SI_REG_n_155,
\m_payload_i_reg[5]\ => SI_REG_n_154,
\m_payload_i_reg[6]\(6) => SI_REG_n_169,
\m_payload_i_reg[6]\(5) => SI_REG_n_170,
\m_payload_i_reg[6]\(4) => SI_REG_n_171,
\m_payload_i_reg[6]\(3) => SI_REG_n_172,
\m_payload_i_reg[6]\(2) => SI_REG_n_173,
\m_payload_i_reg[6]\(1) => SI_REG_n_174,
\m_payload_i_reg[6]\(0) => SI_REG_n_175,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]_rep\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \WR.aw_channel_0_n_9\,
\wrap_cnt_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\wrap_cnt_r_reg[3]_1\ => \WR.aw_channel_0_n_15\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1)
);
\WR.b_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_b_channel
port map (
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0)
);
areset_d1_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aresetn,
O => areset_d1_i_1_n_0
);
areset_d1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => areset_d1_i_1_n_0,
Q => areset_d1,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_DECERR : string;
attribute P_DECERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b11";
attribute P_INCR : string;
attribute P_INCR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b10";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axi_wready\ : STD_LOGIC;
signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^s_axi_wvalid\ : STD_LOGIC;
begin
\^m_axi_wready\ <= m_axi_wready;
\^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0);
\^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0);
\^s_axi_wvalid\ <= s_axi_wvalid;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const1>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(11) <= \<const0>\;
m_axi_arid(10) <= \<const0>\;
m_axi_arid(9) <= \<const0>\;
m_axi_arid(8) <= \<const0>\;
m_axi_arid(7) <= \<const0>\;
m_axi_arid(6) <= \<const0>\;
m_axi_arid(5) <= \<const0>\;
m_axi_arid(4) <= \<const0>\;
m_axi_arid(3) <= \<const0>\;
m_axi_arid(2) <= \<const0>\;
m_axi_arid(1) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const1>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const1>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(11) <= \<const0>\;
m_axi_awid(10) <= \<const0>\;
m_axi_awid(9) <= \<const0>\;
m_axi_awid(8) <= \<const0>\;
m_axi_awid(7) <= \<const0>\;
m_axi_awid(6) <= \<const0>\;
m_axi_awid(5) <= \<const0>\;
m_axi_awid(4) <= \<const0>\;
m_axi_awid(3) <= \<const0>\;
m_axi_awid(2) <= \<const0>\;
m_axi_awid(1) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const1>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0);
m_axi_wid(11) <= \<const0>\;
m_axi_wid(10) <= \<const0>\;
m_axi_wid(9) <= \<const0>\;
m_axi_wid(8) <= \<const0>\;
m_axi_wid(7) <= \<const0>\;
m_axi_wid(6) <= \<const0>\;
m_axi_wid(5) <= \<const0>\;
m_axi_wid(4) <= \<const0>\;
m_axi_wid(3) <= \<const0>\;
m_axi_wid(2) <= \<const0>\;
m_axi_wid(1) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const1>\;
m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0);
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \^s_axi_wvalid\;
s_axi_buser(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_wready <= \^m_axi_wready\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s
port map (
Q(22 downto 20) => m_axi_awprot(2 downto 0),
Q(19 downto 0) => m_axi_awaddr(31 downto 12),
aclk => aclk,
aresetn => aresetn,
\in\(33 downto 32) => m_axi_rresp(1 downto 0),
\in\(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0),
\m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0),
\s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0),
\s_axi_rid[11]\(34) => s_axi_rlast,
\s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0),
\s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "zybo_zynq_design_auto_pc_0,axi_protocol_converter_v2_1_17_axi_protocol_converter,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "axi_protocol_converter_v2_1_17_axi_protocol_converter,Vivado 2018.2";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of inst : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of inst : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of inst : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of inst : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of inst : label is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of inst : label is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of inst : label is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of inst : label is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of inst : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of inst : label is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of inst : label is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of inst : label is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of inst : label is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of inst : label is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of inst : label is 2;
attribute DowngradeIPIdentifiedWarnings of inst : label is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of inst : label is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of inst : label is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of inst : label is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of inst : label is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of inst : label is 2;
attribute P_DECERR : string;
attribute P_DECERR of inst : label is "2'b11";
attribute P_INCR : string;
attribute P_INCR of inst : label is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of inst : label is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of inst : label is "2'b10";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of aclk : signal is "xilinx.com:signal:clock:1.0 CLK CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of aclk : signal is "XIL_INTERFACENAME CLK, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN zybo_zynq_design_processing_system7_0_0_FCLK_CLK0, ASSOCIATED_BUSIF S_AXI:M_AXI, ASSOCIATED_RESET ARESETN";
attribute X_INTERFACE_INFO of aresetn : signal is "xilinx.com:signal:reset:1.0 RST RST";
attribute X_INTERFACE_PARAMETER of aresetn : signal is "XIL_INTERFACENAME RST, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
attribute X_INTERFACE_INFO of m_axi_arready : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARREADY";
attribute X_INTERFACE_INFO of m_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARVALID";
attribute X_INTERFACE_INFO of m_axi_awready : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWREADY";
attribute X_INTERFACE_INFO of m_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWVALID";
attribute X_INTERFACE_INFO of m_axi_bready : signal is "xilinx.com:interface:aximm:1.0 M_AXI BREADY";
attribute X_INTERFACE_INFO of m_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI BVALID";
attribute X_INTERFACE_INFO of m_axi_rready : signal is "xilinx.com:interface:aximm:1.0 M_AXI RREADY";
attribute X_INTERFACE_PARAMETER of m_axi_rready : signal is "XIL_INTERFACENAME M_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN zybo_zynq_design_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of m_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI RVALID";
attribute X_INTERFACE_INFO of m_axi_wready : signal is "xilinx.com:interface:aximm:1.0 M_AXI WREADY";
attribute X_INTERFACE_INFO of m_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI WVALID";
attribute X_INTERFACE_INFO of s_axi_arready : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
attribute X_INTERFACE_INFO of s_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
attribute X_INTERFACE_INFO of s_axi_awready : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
attribute X_INTERFACE_INFO of s_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
attribute X_INTERFACE_INFO of s_axi_bready : signal is "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
attribute X_INTERFACE_INFO of s_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
attribute X_INTERFACE_INFO of s_axi_rlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI RLAST";
attribute X_INTERFACE_INFO of s_axi_rready : signal is "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_rready : signal is "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 100000000, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN zybo_zynq_design_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
attribute X_INTERFACE_INFO of s_axi_wlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI WLAST";
attribute X_INTERFACE_INFO of s_axi_wready : signal is "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
attribute X_INTERFACE_INFO of s_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
attribute X_INTERFACE_INFO of m_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARADDR";
attribute X_INTERFACE_INFO of m_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARPROT";
attribute X_INTERFACE_INFO of m_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWADDR";
attribute X_INTERFACE_INFO of m_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWPROT";
attribute X_INTERFACE_INFO of m_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI BRESP";
attribute X_INTERFACE_INFO of m_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI RDATA";
attribute X_INTERFACE_INFO of m_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI RRESP";
attribute X_INTERFACE_INFO of m_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI WDATA";
attribute X_INTERFACE_INFO of m_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 M_AXI WSTRB";
attribute X_INTERFACE_INFO of s_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
attribute X_INTERFACE_INFO of s_axi_arburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARBURST";
attribute X_INTERFACE_INFO of s_axi_arcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE";
attribute X_INTERFACE_INFO of s_axi_arid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARID";
attribute X_INTERFACE_INFO of s_axi_arlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLEN";
attribute X_INTERFACE_INFO of s_axi_arlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK";
attribute X_INTERFACE_INFO of s_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARPROT";
attribute X_INTERFACE_INFO of s_axi_arqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARQOS";
attribute X_INTERFACE_INFO of s_axi_arsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE";
attribute X_INTERFACE_INFO of s_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
attribute X_INTERFACE_INFO of s_axi_awburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWBURST";
attribute X_INTERFACE_INFO of s_axi_awcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE";
attribute X_INTERFACE_INFO of s_axi_awid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWID";
attribute X_INTERFACE_INFO of s_axi_awlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLEN";
attribute X_INTERFACE_INFO of s_axi_awlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK";
attribute X_INTERFACE_INFO of s_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWPROT";
attribute X_INTERFACE_INFO of s_axi_awqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWQOS";
attribute X_INTERFACE_INFO of s_axi_awsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE";
attribute X_INTERFACE_INFO of s_axi_bid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BID";
attribute X_INTERFACE_INFO of s_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
attribute X_INTERFACE_INFO of s_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
attribute X_INTERFACE_INFO of s_axi_rid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RID";
attribute X_INTERFACE_INFO of s_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
attribute X_INTERFACE_INFO of s_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
attribute X_INTERFACE_INFO of s_axi_wid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WID";
attribute X_INTERFACE_INFO of s_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter
port map (
aclk => aclk,
aresetn => aresetn,
m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0),
m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0),
m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => m_axi_awvalid,
m_axi_bid(11 downto 0) => B"000000000000",
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_buser(0) => '0',
m_axi_bvalid => m_axi_bvalid,
m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_rid(11 downto 0) => B"000000000000",
m_axi_rlast => '1',
m_axi_rready => m_axi_rready,
m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0),
m_axi_ruser(0) => '0',
m_axi_rvalid => m_axi_rvalid,
m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0),
m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0),
m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED,
m_axi_wready => m_axi_wready,
m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0),
m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => m_axi_wvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0),
s_axi_aruser(0) => '0',
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0),
s_axi_awuser(0) => '0',
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0),
s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wuser(0) => '0',
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
| mit | 88f3ad8f0daa6c0f1ceab72f60ab6d52 | 0.536415 | 2.550764 | false | false | false | false |
Feuerwerk/fpgaNES | spritemem.vhd | 1 | 6,755 | -- megafunction wizard: %RAM: 1-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: spritemem.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 18.0.0 Build 614 04/24/2018 SJ Lite Edition
-- ************************************************************
--Copyright (C) 2018 Intel Corporation. All rights reserved.
--Your use of Intel Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Intel Program License
--Subscription Agreement, the Intel Quartus Prime License Agreement,
--the Intel FPGA IP License Agreement, or other applicable license
--agreement, including, without limitation, that your use is for
--the sole purpose of programming logic devices manufactured by
--Intel and sold by Intel or its authorized distributors. Please
--refer to the applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
ENTITY spritemem IS
PORT
(
address : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
clken : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '1';
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
wren : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END spritemem;
ARCHITECTURE SYN OF spritemem IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);
BEGIN
q <= sub_wire0(7 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
clock_enable_input_a => "NORMAL",
clock_enable_output_a => "BYPASS",
intended_device_family => "Cyclone V",
lpm_hint => "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=OAM",
lpm_type => "altsyncram",
numwords_a => 256,
operation_mode => "SINGLE_PORT",
outdata_aclr_a => "NONE",
outdata_reg_a => "UNREGISTERED",
power_up_uninitialized => "FALSE",
read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ",
widthad_a => 8,
width_a => 8,
width_byteena_a => 1
)
PORT MAP (
address_a => address,
clock0 => clock,
clocken0 => clken,
data_a => data,
wren_a => wren,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrData NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "1"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clken NUMERIC "1"
-- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "1"
-- Retrieval info: PRIVATE: JTAG_ID STRING "OAM"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING ""
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "256"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegData NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "0"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
-- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "8"
-- Retrieval info: PRIVATE: WidthData NUMERIC "8"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "NORMAL"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=OAM"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "256"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "8"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 8 0 INPUT NODEFVAL "address[7..0]"
-- Retrieval info: USED_PORT: clken 0 0 0 0 INPUT VCC "clken"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]"
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren"
-- Retrieval info: CONNECT: @address_a 0 0 8 0 address 0 0 8 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @clocken0 0 0 0 0 clken 0 0 0 0
-- Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL spritemem.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL spritemem.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL spritemem.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL spritemem.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL spritemem_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
| gpl-3.0 | 3c508251835c90b2aaa2c8003da59cd3 | 0.661732 | 3.531103 | false | false | false | false |
astoria-d/super-duper-nes | duper_cartridge/duper_cartridge.vhd | 1 | 20,566 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.conv_integer;
use ieee.std_logic_arith.conv_std_logic_vector;
use ieee.std_logic_unsigned.all;
--entity rom_test01 is
entity duper_cartridge is
port (
pi_reset_n : in std_logic;
pi_base_clk : in std_logic;
--nes side
pi_phi2 : in std_logic;
--prgrom
pi_prg_ce_n : in std_logic;
pi_prg_r_nw : in std_logic;
pi_prg_addr : in std_logic_vector(14 downto 0);
pio_prg_data : inout std_logic_vector(7 downto 0);
--chrrom
pi_chr_ce_n : in std_logic;
pi_chr_oe_n : in std_logic;
pi_chr_we_n : in std_logic;
pi_chr_addr : in std_logic_vector(12 downto 0);
po_chr_data : out std_logic_vector(7 downto 0);
--i2c side
pi_i2c_scl : in std_logic;
pio_i2c_sda : inout std_logic;
--bbb gpio
po_nes_f_full : out std_logic;
po_bbb_f_empty : out std_logic;
po_dbg_cnt : out std_logic_vector (63 downto 0)
);
--end rom_test01;
end duper_cartridge;
--architecture rtl of rom_test01 is
architecture rtl of duper_cartridge is
-------------------------------------------
-------------------------------------------
------------- definitions.... -------------
-------------------------------------------
-------------------------------------------
component synchronizer port (
pi_rst_n : in std_logic;
pi_base_clk : in std_logic;
pi_async_input : in std_logic;
po_sync_output : out std_logic
);
end component;
component synchronized_vector
generic (abus_size : integer := 8);
port (
pi_rst_n : in std_logic;
pi_base_clk : in std_logic;
pi_async_input : in std_logic_vector(abus_size - 1 downto 0);
po_sync_output : out std_logic_vector(abus_size - 1 downto 0)
);
end component;
component prg_rom port (
pi_base_clk : in std_logic;
pi_ce_n : in std_logic;
pi_oe_n : in std_logic;
pi_addr : in std_logic_vector (14 downto 0);
po_data : out std_logic_vector (7 downto 0)
);
end component ;
component chr_rom port (
pi_base_clk : in std_logic;
pi_ce_n : in std_logic;
pi_oe_n : in std_logic;
pi_addr : in std_logic_vector (12 downto 0);
po_data : out std_logic_vector (7 downto 0)
);
end component;
component i2c_slave
port (
pi_rst_n : in std_logic;
pi_base_clk : in std_logic;
---i2c bus lines...
pi_slave_addr : in std_logic_vector (6 downto 0);
pi_i2c_scl : in std_logic;
pi_i2c_sda : in std_logic;
po_i2c_sda : out std_logic;
---i2c bus contoler internal lines...
pi_i2c_read_data : in std_logic_vector (7 downto 0);
po_i2c_write_data : out std_logic_vector (7 downto 0);
po_i2c_status : out std_logic_vector (3 downto 0)
);
end component;
component fifo
generic (abus_size : integer := 8);
port (
pi_rst_n : in std_logic;
pi_base_clk : in std_logic;
pi_ce_n : in std_logic;
pi_oe_n : in std_logic;
pi_push_n : in std_logic;
pi_pop_n : in std_logic;
pi_data : in std_logic_vector (7 downto 0);
po_data : out std_logic_vector (7 downto 0);
po_stat_empty : out std_logic;
po_stat_full : out std_logic
);
end component;
---fifo status register
---bit
---7 always 0
---6 always 0
---5 read fifo full
---4 read fifo empty
---3 always 0
---2 always 0
---1 write fifo full
---0 write fifo empty
constant wfifo_empty_bit : integer := 0;
constant wfifo_full_bit : integer := 1;
constant rfifo_empty_bit : integer := 4;
constant rfifo_full_bit : integer := 5;
type duper_state_machine is (
idle,
rom_read,
rom_read_ok,
fifo_status_read,
nes_fifo_read,
nes_fifo_pop,
nes_fifo_read_ok,
nes_fifo_write,
nes_fifo_push,
nes_fifo_write_ok,
bbb_fifo_read,
bbb_fifo_pop,
bbb_fifo_read_ok,
bbb_fifo_write,
bbb_fifo_push,
bbb_fifo_write_ok
);
constant DATA_DELAY : integer := 18;
-------------------------------------------
-------------------------------------------
------------- declarations... -------------
-------------------------------------------
-------------------------------------------
--synchronized input.
--nes side
--signal reg_phi2 : std_logic;
signal reg_prg_ce_n : std_logic;
signal reg_prg_r_nw : std_logic;
signal reg_prg_addr : std_logic_vector(14 downto 0);
signal reg_prg_data_in : std_logic_vector(7 downto 0);
signal reg_chr_ce_n : std_logic;
signal reg_chr_oe_n : std_logic;
--signal reg_chr_we_n : std_logic;
signal reg_chr_addr : std_logic_vector(12 downto 0);
--bbb side
signal reg_i2c_scl : std_logic;
signal reg_i2c_sda_in : std_logic;
signal wr_prg_data_out : std_logic_vector(7 downto 0);
signal reg_prg_data_out : std_logic_vector(7 downto 0);
--duper state machine..
signal reg_cur_state : duper_state_machine;
signal reg_next_state : duper_state_machine;
signal reg_delay_cnt : integer range 0 to 31;
--prgrom reg
signal reg_prom_oe_n : std_logic;
--read fifo registers.
signal reg_ififo_ce_n : std_logic;
signal reg_ififo_oe_n : std_logic;
signal reg_ififo_push_n : std_logic;
signal reg_ififo_pop_n : std_logic;
signal reg_ififo_status : std_logic_vector (7 downto 0);
signal wr_ififo_empty : std_logic;
signal wr_ififo_full : std_logic;
signal wr_ififo_data : std_logic_vector (7 downto 0);
--write fifo registers.
signal reg_ofifo_ce_n : std_logic;
signal reg_ofifo_oe_n : std_logic;
signal reg_ofifo_push_n : std_logic;
signal reg_ofifo_pop_n : std_logic;
signal reg_ofifo_status : std_logic_vector (7 downto 0);
signal wr_ofifo_empty : std_logic;
signal wr_ofifo_full : std_logic;
signal wr_ofifo_data : std_logic_vector (7 downto 0);
--i2c registers.
signal wr_i2c_sda_out : std_logic;
signal wr_i2c_in_data : std_logic_vector (7 downto 0);
signal reg_i2c_rd_done : integer range 0 to 1;
signal reg_i2c_wr_done : integer range 0 to 1;
---po_i2c_status(3): '1' = bus transfering, '0' = stopped.
---po_i2c_status(2): '1' = read, '0' = write.
---po_i2c_status(1): '1' = data acknowleged, '0' = not acknowleged.
---po_i2c_status(0): '1' = addr acknowleged, '0' = not acknowleged.
signal wr_i2c_status : std_logic_vector (3 downto 0);
------------misc regs.
signal reg_dbg_cnt : std_logic_vector (63 downto 0);
-------------------------------------------
-------------------------------------------
------------ implementations... -----------
-------------------------------------------
-------------------------------------------
begin
--async input to be aligned to the base clock...
-- sync00 : synchronizer port map (pi_reset_n, pi_base_clk, pi_phi2, reg_phi2);
sync01 : synchronizer port map (pi_reset_n, pi_base_clk, pi_prg_ce_n, reg_prg_ce_n);
sync02 : synchronizer port map (pi_reset_n, pi_base_clk, pi_prg_r_nw, reg_prg_r_nw);
sync03 : synchronizer port map (pi_reset_n, pi_base_clk, pi_chr_ce_n, reg_chr_ce_n);
sync04 : synchronizer port map (pi_reset_n, pi_base_clk, pi_chr_oe_n, reg_chr_oe_n);
-- sync05 : synchronizer port map (pi_reset_n, pi_base_clk, pi_chr_we_n, reg_chr_we_n);
sync06 : synchronizer port map (pi_reset_n, pi_base_clk, pi_i2c_scl, reg_i2c_scl);
sync07 : synchronizer port map (pi_reset_n, pi_base_clk, pio_i2c_sda, reg_i2c_sda_in);
sync10 : synchronized_vector generic map (15) port map (pi_reset_n, pi_base_clk, pi_prg_addr, reg_prg_addr);
sync11 : synchronized_vector generic map (8) port map (pi_reset_n, pi_base_clk, pio_prg_data, reg_prg_data_in);
sync12 : synchronized_vector generic map (13) port map (pi_reset_n, pi_base_clk, pi_chr_addr, reg_chr_addr);
--base clock synchronized registers...
reg_p : process (pi_base_clk, pi_reset_n)
begin
if (pi_reset_n = '0') then
reg_ififo_status <= "00010001";
elsif (rising_edge(pi_base_clk)) then
reg_ififo_status(7 downto 6) <= (others => '0');
reg_ififo_status(rfifo_full_bit) <= wr_ififo_full;
reg_ififo_status(rfifo_empty_bit) <= wr_ififo_empty;
reg_ififo_status(3 downto 2) <= (others => '0');
reg_ififo_status(wfifo_full_bit) <= wr_ofifo_full;
reg_ififo_status(wfifo_empty_bit) <= wr_ofifo_empty;
end if;
end process;
po_nes_f_full <= reg_ififo_status(rfifo_full_bit);
po_bbb_f_empty <= reg_ififo_status(wfifo_empty_bit);
--state transition process...
set_stat_p : process (pi_reset_n, pi_base_clk)
begin
if (pi_reset_n = '0') then
reg_cur_state <= idle;
elsif (rising_edge(pi_base_clk)) then
reg_cur_state <= reg_next_state;
end if;--if (pi_rst_n = '0') then
end process;
--cpu data wait proc...
delay_cnt_p : process (pi_reset_n, pi_base_clk)
begin
if (pi_reset_n = '0') then
reg_delay_cnt <= 0;
elsif (rising_edge(pi_base_clk)) then
if (reg_cur_state = bbb_fifo_write) then
reg_delay_cnt <= reg_delay_cnt + 1;
else
reg_delay_cnt <= 0;
end if;
end if;--if (pi_rst_n = '0') then
end process;
--state change to next.
vac_next_stat_p : process (reg_cur_state, reg_prg_ce_n, reg_prg_r_nw, reg_prg_addr, wr_i2c_status,
reg_i2c_rd_done, reg_i2c_wr_done, reg_delay_cnt)
begin
case reg_cur_state is
when idle =>
--rom read 0x7ff9: fifo read.
if (reg_prg_ce_n = '0' and reg_prg_r_nw = '1' and reg_prg_addr = "111111111111001") then
reg_next_state <= nes_fifo_read;
--rom write 0x7ff9: fifo write.
elsif (reg_prg_ce_n = '0' and reg_prg_r_nw = '0' and reg_prg_addr = "111111111111001") then
reg_next_state <= bbb_fifo_write;
--rom read 0x7ff8: fifo status read.
elsif (reg_prg_ce_n = '0' and reg_prg_r_nw = '1' and reg_prg_addr = "111111111111000") then
reg_next_state <= fifo_status_read;
--other rom read.
elsif (reg_prg_ce_n = '0' and reg_prg_r_nw = '1') then
reg_next_state <= rom_read;
else
--no rom access...
---po_i2c_status(3): '1' = bus transfering, '0' = stopped.
---po_i2c_status(2): '1' = read, '0' = write.
---po_i2c_status(1): '1' = data acknowleged, '0' = not acknowleged.
---po_i2c_status(0): '1' = addr acknowleged, '0' = not acknowleged.
if (wr_i2c_status(1) = '1' and wr_i2c_status(2) = '0') then
--case bbb push fifo.
if (reg_i2c_wr_done = 0) then
reg_next_state <= nes_fifo_write;
else
reg_next_state <= idle;
end if;
elsif (wr_i2c_status(1) = '1' and wr_i2c_status(2) = '1') then
--case bbb pop fifo.
if (reg_i2c_rd_done = 0) then
reg_next_state <= bbb_fifo_read;
else
reg_next_state <= idle;
end if;
else
reg_next_state <= idle;
end if;
end if;
when rom_read =>
reg_next_state <= rom_read_ok;
when rom_read_ok =>
if (reg_prg_ce_n = '0' and reg_prg_r_nw = '1' and reg_prg_addr(14 downto 1) /= "11111111111100") then
reg_next_state <= rom_read_ok;
else
reg_next_state <= idle;
end if;
when fifo_status_read =>
if (reg_prg_ce_n = '0' and reg_prg_r_nw = '1' and reg_prg_addr = "111111111111000") then
reg_next_state <= fifo_status_read;
else
reg_next_state <= idle;
end if;
when nes_fifo_read =>
reg_next_state <= nes_fifo_pop;
when nes_fifo_pop =>
reg_next_state <= nes_fifo_read_ok;
when nes_fifo_read_ok =>
if (reg_prg_ce_n = '0' and reg_prg_r_nw = '1' and reg_prg_addr = "111111111111001") then
reg_next_state <= nes_fifo_read_ok;
else
reg_next_state <= idle;
end if;
when nes_fifo_write =>
reg_next_state <= nes_fifo_push;
when nes_fifo_push =>
reg_next_state <= nes_fifo_write_ok;
when nes_fifo_write_ok =>
reg_next_state <= idle;
when bbb_fifo_read =>
reg_next_state <= bbb_fifo_pop;
when bbb_fifo_pop =>
reg_next_state <= bbb_fifo_read_ok;
when bbb_fifo_read_ok =>
reg_next_state <= idle;
when bbb_fifo_write =>
if (reg_delay_cnt < DATA_DELAY) then
--wait for data to be available.
reg_next_state <= bbb_fifo_write;
else
reg_next_state <= bbb_fifo_push;
end if;
when bbb_fifo_push =>
reg_next_state <= bbb_fifo_write_ok;
when bbb_fifo_write_ok =>
if (reg_prg_ce_n = '0' and reg_prg_r_nw = '0' and reg_prg_addr = "111111111111001") then
reg_next_state <= bbb_fifo_write_ok;
else
reg_next_state <= idle;
end if;
end case;
end process;
push_handle_p : process (pi_reset_n, pi_base_clk)
begin
if (pi_reset_n = '0') then
reg_i2c_rd_done <= 0;
reg_i2c_wr_done <= 0;
elsif (rising_edge(pi_base_clk)) then
if (wr_i2c_status(1) = '0') then
reg_i2c_wr_done <= 0;
elsif (reg_cur_state = nes_fifo_push) then
reg_i2c_wr_done <= 1;
end if;
---po_i2c_status(3): '1' = bus transfering, '0' = stopped.
---po_i2c_status(2): '1' = read, '0' = write.
---po_i2c_status(1): '1' = data acknowleged, '0' = not acknowleged.
---po_i2c_status(0): '1' = addr acknowleged, '0' = not acknowleged.
if (reg_cur_state = bbb_fifo_pop) then
reg_i2c_rd_done <= 1;
elsif (reg_cur_state = idle and (wr_i2c_status(1) = '0')) then
reg_i2c_rd_done <= 0;
end if;
end if;--if (pi_rst_n = '0') then
end process;
--each register setting..
regs_p : process (pi_reset_n, pi_base_clk)
begin
if (pi_reset_n = '0') then
reg_prom_oe_n <= '1';
reg_ififo_ce_n <= '1';
reg_ififo_oe_n <= '1';
reg_ififo_push_n <= '1';
reg_ififo_pop_n <= '1';
reg_ofifo_ce_n <= '1';
reg_ofifo_oe_n <= '1';
reg_ofifo_push_n <= '1';
reg_ofifo_pop_n <= '1';
elsif (rising_edge(pi_base_clk)) then
case reg_cur_state is
when idle =>
reg_prom_oe_n <= '0';
reg_ififo_ce_n <= '0';
reg_ififo_oe_n <= '0';
reg_ififo_push_n <= '1';
reg_ififo_pop_n <= '1';
reg_ofifo_ce_n <= '0';
reg_ofifo_oe_n <= '0';
reg_ofifo_push_n <= '1';
reg_ofifo_pop_n <= '1';
when rom_read =>
when rom_read_ok =>
when fifo_status_read =>
when nes_fifo_read =>
reg_ififo_pop_n <= '1';
when nes_fifo_pop =>
reg_ififo_pop_n <= '0';
when nes_fifo_read_ok =>
reg_ififo_pop_n <= '1';
when nes_fifo_write =>
reg_ififo_push_n <= '1';
when nes_fifo_push =>
reg_ififo_push_n <= '0';
when nes_fifo_write_ok =>
reg_ififo_push_n <= '1';
when bbb_fifo_read =>
reg_ofifo_pop_n <= '1';
when bbb_fifo_pop =>
reg_ofifo_pop_n <= '0';
when bbb_fifo_read_ok =>
reg_ofifo_pop_n <= '1';
when bbb_fifo_write =>
reg_ofifo_push_n <= '1';
when bbb_fifo_push =>
reg_ofifo_push_n <= '0';
when bbb_fifo_write_ok =>
reg_ofifo_push_n <= '1';
end case;
end if;--if (pi_rst_n = '0') then
end process;
--prg rom
pio_prg_data <= reg_prg_data_out;
set_nes_out_p : process (pi_reset_n, pi_base_clk)
variable tmp_fifo : std_logic_vector(7 downto 0);
begin
if (pi_reset_n = '0') then
reg_prg_data_out <= (others => 'Z');
tmp_fifo := (others => '0');
elsif (rising_edge(pi_base_clk)) then
case reg_cur_state is
when rom_read_ok =>
reg_prg_data_out <= wr_prg_data_out;
when fifo_status_read =>
reg_prg_data_out <= reg_ififo_status;
when nes_fifo_read =>
reg_prg_data_out <= wr_ififo_data;
tmp_fifo := wr_ififo_data;
when nes_fifo_pop =>
reg_prg_data_out <= tmp_fifo;
when nes_fifo_read_ok =>
reg_prg_data_out <= tmp_fifo;
when others =>
reg_prg_data_out <= (others => 'Z');
end case;
end if;--if (pi_rst_n = '0') then
end process;
prom_inst : prg_rom port map (
pi_base_clk,
reg_prg_ce_n,
reg_prom_oe_n,
reg_prg_addr,
wr_prg_data_out
);
--i2c incoming fifo.
rd_fifo_inst : fifo generic map (8)
port map (
pi_reset_n,
pi_base_clk,
reg_ififo_ce_n,
reg_ififo_oe_n,
reg_ififo_push_n,
reg_ififo_pop_n,
wr_i2c_in_data,
wr_ififo_data,
wr_ififo_empty,
wr_ififo_full
);
--i2c outgoing fifo.
wr_fifo_inst : fifo generic map (8)
port map (
pi_reset_n,
pi_base_clk,
reg_ofifo_ce_n,
reg_ofifo_oe_n,
reg_ofifo_push_n,
reg_ofifo_pop_n,
reg_prg_data_in,
wr_ofifo_data,
wr_ofifo_empty,
wr_ofifo_full
);
--i2c slave
i2c_slave_inst : i2c_slave
port map (
pi_reset_n,
pi_base_clk,
conv_std_logic_vector(16#44#, 7),
reg_i2c_scl,
reg_i2c_sda_in,
wr_i2c_sda_out,
wr_ofifo_data,
wr_i2c_in_data,
wr_i2c_status
);
pio_i2c_sda <= wr_i2c_sda_out;
--character rom
crom_inst : chr_rom port map (
pi_base_clk,
reg_chr_ce_n,
reg_chr_oe_n,
reg_chr_addr,
po_chr_data
);
----------------------------------------------------------------------
----------------------------------------------------------------------
-------------------------- misc processes.... ------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
po_dbg_cnt <= reg_dbg_cnt;
deb_cnt_p : process (pi_base_clk, pi_reset_n)
use ieee.std_logic_unsigned.all;
begin
if (pi_reset_n = '0') then
reg_dbg_cnt <= (others => '0');
elsif (rising_edge(pi_base_clk)) then
reg_dbg_cnt <= reg_dbg_cnt + 1;
end if;
end process;
end rtl;
| apache-2.0 | 0fb8f1a6c47d1c62acb7dbcf2879f666 | 0.477973 | 3.334847 | false | false | false | false |
natsutan/NPU | fpga_implement/npu8/npu8.cache/ip/3401eab6b7fd8ff8/mul8_16_sim_netlist.vhdl | 1 | 294,820 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
-- Date : Sat Jan 21 14:28:14 2017
-- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ mul8_16_sim_netlist.vhdl
-- Design : mul8_16
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xcku035-fbva676-3-e
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
fPF16TcpNgM9dNC6nyb4WjUK+7bY8P+I62AEEiiM/KOMhIKuPOHBoWeWL2UjxSNO68WLeYIZp8lA
I7rHN/CieA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
E6OKJxjnDRUVVFwAhrQMAtoyRVVpuMKsXlca4m9CcIt6QI8vnYN0tf7gH3uVuxZ90322B7kUeFw5
Pu0UeqAoBaSyysHuDqXazxHy7oyk4BIWChvcrp7LULlVLcL76obtSwsXi1ORVmpdTi5b+AcD+WUo
OP1PSFj5jpodG+LwXm4=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
x+agogSsgbiI6PGyBpMY8RQCDzLctIr3EaG23mH5kJHlNmNKNolnP54yJ8Y7nIFi6yl6tlyOLMoF
/kxU0pyFmIj8QM0/MArMxPTiemXbDLS2VKtonyK9dDH7VbjFnRWwzK0Ngkas0+nbW3TqGPAY98x3
251QPjQoZCw3A7W9PDc=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
KNs7hA49BKKrboRSEkqIGldOa3ndCnhjRkSn8lL1xFfKUn+p+Wbc09ogKV6YYnPU/RaF1LbzyoE4
udPSNea4bST+08IjO5GAxXqUugcig44J+hzpGKmh7oO0TuyNbYq1CnYcsZXaD9vsmNYz8fBDoW2S
VK/mYa21mBKTOuTdQ1yp3wi73aJ1G9N6Ngt7ovDUrjyd5oNxxNlvWU8JkJDinbEnci0qjZ3Wu9Wg
y44pHUXf6xqwFYJpZ1ZcGRKl83P8p74+pLzt19lw9TPlTfKI++IowVjb6wo36ztNDJS0QjQE5Riv
hwbPU/Bt3S82MVCY5NAA6bKC/8NnoWMbmX8Wiw==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
QaRubtGbYrmCghuFdQuTgTEtoVYYLcPnD5z0C7mo18fwCG17qy0y8mj8xWiwE6bo49IP1/JXSIw7
rTBwHFOVrmbm926sWNrF1r3IHB83C5cstprQ1om7vnkw9XX87SjkscphhkrHmi08jjzW4qX96m61
/ymclz5TlAocMQJGz/jwscvIMOrrbuH4SkWQOLQnRfx9GIOv5Y7PM+w/wuDSeFXsAXz7Ahq3/qmU
cylNfSufW7/zfN4RZB4u+d28AXsuFe03aSF1dpW+uBK1xtNZccvj9h9NMN0cuwxt8ZUlLJw8l6e2
hqRfTTZl1F4qnnrJu6w8h8uEGrmgnQG1AW0epg==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
XXj6Nc59BeA5Kznlx14IKravf7ohERw7h0fbO7pT7/HsiPDCWh2DlTGpFUcnbNZslPN2RfE0nJNX
WMzLQtaHK4Bm6kxY71OsXEKm7MAIjEdLwOMtJTtlZrbm7chBbSxcW6sjWvI36jk5De3Yct9Ao1py
DpQ9NICUtRTwGG8SAiRkAXRh2Jv3rKvnookQrlVxIkNRSBMSgbwuTbq1ze/KMUZebBWwJNUVIC9r
RV/i9wjYXBOeCCUk+cGDC5uSpwdLXYV9ZxhQUU6C1ufAaK2m4OIUeBqPc2ski2O0qQYQ67c35k50
ynO8H9PTEROPEOn5c37S7feU+36OcOOAsVBTBA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
Mr0McpgI3Rhoeldg4mYiA66S0ZMFKBG2K+tVySuJRL8+ovePPTri3+7flU6mz2HvZ1dIiSVwvLsK
rxMo83zEWzoI574rToC+rhy4yPv8A9gHFG1D0iojn7Ceq+Z0RxIna+BNS9oa7bptDJnTiPQfLiHn
RzVlfsNYtTZRUCDS+pvtgYZ69vfN5vMYehTlg32aniwkXsjHgLhio+RYNliktgcF176e871+53ag
vzTToSdKPEyHPIFJoJg2xGp6XgzkeuxLJFFzD51hsGjSkKli5mj4rgbB3OFloB4gCfgOLDyv/Z4J
VW5AWYRxYhn7m1hnx9zHeqDNM+Zthn3F7NwACg==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
X6xKsht0+HjOHf5y8rsZRhzpOnNu4zvryZVAQT/vwBJ7b/PoIkClhS1+oCKFvy3ryP3Gh2hT5yRm
+2xJCRNpaKNsH5BNsA0/k/UcY+d2PQftmnKvIjhcdErL47pUdgq76CQ7D+jemKv1c9jyUdfWz+52
REroOHTqQ3apdvqotkwbWrYyW50B2R1XW+pr5UC4+Et/RFxg2nR4i6IXoI+aZEdzRxQPOrhHog6p
B4sC1FB1Am95EgBVWrZrJITmhpBQdj2cRYTt+JCmA5x9tMMWV7dSAOOy1gYgBQD72xtBSxpyGa4k
QyXmmqm2Aj9pWMLefCF3FU7HPo68C/SLLwZfPg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 207344)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 7 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
CE : in STD_LOGIC;
SCLR : in STD_LOGIC;
ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 );
P : out STD_LOGIC_VECTOR ( 15 downto 0 );
PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 )
);
attribute C_A_TYPE : integer;
attribute C_A_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 8;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_B_VALUE : string;
attribute C_B_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 16;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 3;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_OPTIMIZE_GOAL : integer;
attribute C_OPTIMIZE_GOAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 23;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 8;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "kintexu";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 is
signal \<const0>\ : STD_LOGIC;
signal NLW_i_mult_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_i_mult_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE of i_mult : label is 1;
attribute C_A_WIDTH of i_mult : label is 8;
attribute C_B_TYPE of i_mult : label is 0;
attribute C_B_VALUE of i_mult : label is "10000001";
attribute C_B_WIDTH of i_mult : label is 16;
attribute C_CCM_IMP of i_mult : label is 0;
attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0;
attribute C_HAS_CE of i_mult : label is 0;
attribute C_HAS_SCLR of i_mult : label is 0;
attribute C_HAS_ZERO_DETECT of i_mult : label is 0;
attribute C_LATENCY of i_mult : label is 3;
attribute C_MODEL_TYPE of i_mult : label is 0;
attribute C_MULT_TYPE of i_mult : label is 0;
attribute C_OUT_HIGH of i_mult : label is 23;
attribute C_OUT_LOW of i_mult : label is 8;
attribute C_ROUND_OUTPUT of i_mult : label is 0;
attribute C_ROUND_PT of i_mult : label is 0;
attribute C_VERBOSITY of i_mult : label is 0;
attribute C_XDEVICEFAMILY of i_mult : label is "kintexu";
attribute c_optimize_goal of i_mult : label is 1;
attribute downgradeipidentifiedwarnings of i_mult : label is "yes";
begin
PCASC(47) <= \<const0>\;
PCASC(46) <= \<const0>\;
PCASC(45) <= \<const0>\;
PCASC(44) <= \<const0>\;
PCASC(43) <= \<const0>\;
PCASC(42) <= \<const0>\;
PCASC(41) <= \<const0>\;
PCASC(40) <= \<const0>\;
PCASC(39) <= \<const0>\;
PCASC(38) <= \<const0>\;
PCASC(37) <= \<const0>\;
PCASC(36) <= \<const0>\;
PCASC(35) <= \<const0>\;
PCASC(34) <= \<const0>\;
PCASC(33) <= \<const0>\;
PCASC(32) <= \<const0>\;
PCASC(31) <= \<const0>\;
PCASC(30) <= \<const0>\;
PCASC(29) <= \<const0>\;
PCASC(28) <= \<const0>\;
PCASC(27) <= \<const0>\;
PCASC(26) <= \<const0>\;
PCASC(25) <= \<const0>\;
PCASC(24) <= \<const0>\;
PCASC(23) <= \<const0>\;
PCASC(22) <= \<const0>\;
PCASC(21) <= \<const0>\;
PCASC(20) <= \<const0>\;
PCASC(19) <= \<const0>\;
PCASC(18) <= \<const0>\;
PCASC(17) <= \<const0>\;
PCASC(16) <= \<const0>\;
PCASC(15) <= \<const0>\;
PCASC(14) <= \<const0>\;
PCASC(13) <= \<const0>\;
PCASC(12) <= \<const0>\;
PCASC(11) <= \<const0>\;
PCASC(10) <= \<const0>\;
PCASC(9) <= \<const0>\;
PCASC(8) <= \<const0>\;
PCASC(7) <= \<const0>\;
PCASC(6) <= \<const0>\;
PCASC(5) <= \<const0>\;
PCASC(4) <= \<const0>\;
PCASC(3) <= \<const0>\;
PCASC(2) <= \<const0>\;
PCASC(1) <= \<const0>\;
PCASC(0) <= \<const0>\;
ZERO_DETECT(1) <= \<const0>\;
ZERO_DETECT(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
i_mult: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12_viv
port map (
A(7 downto 0) => A(7 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => '0',
CLK => CLK,
P(15 downto 0) => P(15 downto 0),
PCASC(47 downto 0) => NLW_i_mult_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_i_mult_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 7 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
P : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mul8_16,mult_gen_v12_0_12,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mult_gen_v12_0_12,Vivado 2016.4";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE : integer;
attribute C_A_TYPE of U0 : label is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of U0 : label is 8;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of U0 : label is 0;
attribute C_B_VALUE : string;
attribute C_B_VALUE of U0 : label is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of U0 : label is 16;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of U0 : label is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of U0 : label is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of U0 : label is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of U0 : label is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 3;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of U0 : label is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of U0 : label is 0;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of U0 : label is 23;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of U0 : label is 8;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of U0 : label is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of U0 : label is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "kintexu";
attribute c_optimize_goal : integer;
attribute c_optimize_goal of U0 : label is 1;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12
port map (
A(7 downto 0) => A(7 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => '1',
CLK => CLK,
P(15 downto 0) => P(15 downto 0),
PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
| bsd-3-clause | 3316f595979cf8681422e9836ce1300f | 0.944251 | 1.838145 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd/gcd.srcs/sources_1/bd/gcd_block_design/ip/gcd_block_design_gcd_0_1/gcd_block_design_gcd_0_1_sim_netlist.vhdl | 2 | 128,493 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Sun Sep 22 03:32:37 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim
-- d:/github/Digital-Hardware-Modelling/xilinx-vivado/gcd/gcd.srcs/sources_1/bd/gcd_block_design/ip/gcd_block_design_gcd_0_1/gcd_block_design_gcd_0_1_sim_netlist.vhdl
-- Design : gcd_block_design_gcd_0_1
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_gcd_0_1_gcd_gcd_bus_s_axi is
port (
\out\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_gcd_bus_RVALID : out STD_LOGIC_VECTOR ( 1 downto 0 );
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
interrupt : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
CO : out STD_LOGIC_VECTOR ( 0 to 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\b_read_reg_102_reg[15]\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
\a_read_reg_107_reg[15]\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_gcd_bus_RDATA : out STD_LOGIC_VECTOR ( 15 downto 0 );
ap_clk : in STD_LOGIC;
s_axi_gcd_bus_ARVALID : in STD_LOGIC;
s_axi_gcd_bus_RREADY : in STD_LOGIC;
s_axi_gcd_bus_AWVALID : in STD_LOGIC;
s_axi_gcd_bus_WVALID : in STD_LOGIC;
s_axi_gcd_bus_WDATA : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_gcd_bus_WSTRB : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_BREADY : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 3 downto 0 );
\result_reg_56_reg[15]\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
\p_s_reg_45_reg[15]\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_gcd_bus_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
ap_rst_n : in STD_LOGIC;
s_axi_gcd_bus_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_gcd_0_1_gcd_gcd_bus_s_axi : entity is "gcd_gcd_bus_s_axi";
end gcd_block_design_gcd_0_1_gcd_gcd_bus_s_axi;
architecture STRUCTURE of gcd_block_design_gcd_0_1_gcd_gcd_bus_s_axi is
signal \^co\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \FSM_onehot_rstate[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_rstate[2]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_rstate_reg_n_0_[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \FSM_onehot_rstate_reg_n_0_[0]\ : signal is "yes";
signal \FSM_onehot_wstate[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate[2]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate[3]_i_2_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate_reg_n_0_[0]\ : STD_LOGIC;
attribute RTL_KEEP of \FSM_onehot_wstate_reg_n_0_[0]\ : signal is "yes";
signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^a_read_reg_107_reg[15]\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ar_hs : STD_LOGIC;
signal \^b_read_reg_102_reg[15]\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal int_a0 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \int_a[15]_i_1_n_0\ : STD_LOGIC;
signal \int_a[15]_i_3_n_0\ : STD_LOGIC;
signal int_ap_done : STD_LOGIC;
signal int_ap_done1 : STD_LOGIC;
signal int_ap_done_i_1_n_0 : STD_LOGIC;
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_start3_out : STD_LOGIC;
signal int_ap_start_i_10_n_0 : STD_LOGIC;
signal int_ap_start_i_1_n_0 : STD_LOGIC;
signal int_ap_start_i_5_n_0 : STD_LOGIC;
signal int_ap_start_i_6_n_0 : STD_LOGIC;
signal int_ap_start_i_7_n_0 : STD_LOGIC;
signal int_ap_start_i_8_n_0 : STD_LOGIC;
signal int_ap_start_i_9_n_0 : STD_LOGIC;
signal int_ap_start_reg_i_2_n_3 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_0 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_1 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_2 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_3 : STD_LOGIC;
signal int_auto_restart : STD_LOGIC;
signal int_auto_restart_i_1_n_0 : STD_LOGIC;
signal int_b0 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \int_b[15]_i_1_n_0\ : STD_LOGIC;
signal int_gie_i_1_n_0 : STD_LOGIC;
signal int_gie_reg_n_0 : STD_LOGIC;
signal \int_ier[0]_i_1_n_0\ : STD_LOGIC;
signal \int_ier[1]_i_1_n_0\ : STD_LOGIC;
signal \int_ier[1]_i_2_n_0\ : STD_LOGIC;
signal \int_ier_reg_n_0_[0]\ : STD_LOGIC;
signal \int_ier_reg_n_0_[1]\ : STD_LOGIC;
signal int_isr6_out : STD_LOGIC;
signal \int_isr[0]_i_1_n_0\ : STD_LOGIC;
signal \int_isr[1]_i_1_n_0\ : STD_LOGIC;
signal \int_isr_reg_n_0_[0]\ : STD_LOGIC;
signal int_pResult : STD_LOGIC_VECTOR ( 15 downto 0 );
signal int_pResult_ap_vld : STD_LOGIC;
signal int_pResult_ap_vld1 : STD_LOGIC;
signal int_pResult_ap_vld_i_1_n_0 : STD_LOGIC;
signal \^out\ : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute RTL_KEEP of \^out\ : signal is "yes";
signal p_1_in : STD_LOGIC;
signal \rdata[0]_i_1_n_0\ : STD_LOGIC;
signal \rdata[0]_i_2_n_0\ : STD_LOGIC;
signal \rdata[0]_i_3_n_0\ : STD_LOGIC;
signal \rdata[0]_i_4_n_0\ : STD_LOGIC;
signal \rdata[10]_i_1_n_0\ : STD_LOGIC;
signal \rdata[11]_i_1_n_0\ : STD_LOGIC;
signal \rdata[12]_i_1_n_0\ : STD_LOGIC;
signal \rdata[13]_i_1_n_0\ : STD_LOGIC;
signal \rdata[14]_i_1_n_0\ : STD_LOGIC;
signal \rdata[15]_i_1_n_0\ : STD_LOGIC;
signal \rdata[15]_i_3_n_0\ : STD_LOGIC;
signal \rdata[1]_i_1_n_0\ : STD_LOGIC;
signal \rdata[1]_i_2_n_0\ : STD_LOGIC;
signal \rdata[1]_i_3_n_0\ : STD_LOGIC;
signal \rdata[1]_i_4_n_0\ : STD_LOGIC;
signal \rdata[1]_i_5_n_0\ : STD_LOGIC;
signal \rdata[2]_i_1_n_0\ : STD_LOGIC;
signal \rdata[2]_i_2_n_0\ : STD_LOGIC;
signal \rdata[3]_i_1_n_0\ : STD_LOGIC;
signal \rdata[3]_i_2_n_0\ : STD_LOGIC;
signal \rdata[4]_i_1_n_0\ : STD_LOGIC;
signal \rdata[5]_i_1_n_0\ : STD_LOGIC;
signal \rdata[6]_i_1_n_0\ : STD_LOGIC;
signal \rdata[7]_i_1_n_0\ : STD_LOGIC;
signal \rdata[7]_i_2_n_0\ : STD_LOGIC;
signal \rdata[8]_i_1_n_0\ : STD_LOGIC;
signal \rdata[9]_i_1_n_0\ : STD_LOGIC;
signal \^s_axi_gcd_bus_rdata\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \^s_axi_gcd_bus_rvalid\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \^s_axi_gcd_bus_rvalid\ : signal is "yes";
signal waddr : STD_LOGIC;
signal \waddr_reg_n_0_[0]\ : STD_LOGIC;
signal \waddr_reg_n_0_[1]\ : STD_LOGIC;
signal \waddr_reg_n_0_[2]\ : STD_LOGIC;
signal \waddr_reg_n_0_[3]\ : STD_LOGIC;
signal \waddr_reg_n_0_[4]\ : STD_LOGIC;
signal \waddr_reg_n_0_[5]\ : STD_LOGIC;
signal NLW_int_ap_start_reg_i_2_CO_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 2 );
signal NLW_int_ap_start_reg_i_2_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_int_ap_start_reg_i_4_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[0]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP : string;
attribute KEEP of \FSM_onehot_rstate_reg[0]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[1]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP of \FSM_onehot_rstate_reg[1]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[2]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP of \FSM_onehot_rstate_reg[2]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[0]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[0]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[1]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[1]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[2]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[2]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[3]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[3]\ : label is "yes";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \ap_CS_fsm[1]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \int_a[0]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \int_a[10]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \int_a[11]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \int_a[12]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \int_a[13]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \int_a[14]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \int_a[15]_i_2\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \int_a[1]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \int_a[2]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \int_a[3]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \int_a[4]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \int_a[5]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \int_a[6]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \int_a[7]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \int_a[8]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \int_a[9]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of int_ap_idle_i_1 : label is "soft_lutpair1";
attribute SOFT_HLUTNM of int_ap_start_i_3 : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \int_b[0]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \int_b[10]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \int_b[11]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \int_b[12]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \int_b[13]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \int_b[14]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \int_b[15]_i_2\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \int_b[1]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \int_b[2]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \int_b[3]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \int_b[4]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \int_b[5]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \int_b[6]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \int_b[7]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \int_b[8]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \int_b[9]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \int_isr[0]_i_2\ : label is "soft_lutpair0";
begin
CO(0) <= \^co\(0);
SR(0) <= \^sr\(0);
\a_read_reg_107_reg[15]\(15 downto 0) <= \^a_read_reg_107_reg[15]\(15 downto 0);
\b_read_reg_102_reg[15]\(15 downto 0) <= \^b_read_reg_102_reg[15]\(15 downto 0);
\out\(2 downto 0) <= \^out\(2 downto 0);
s_axi_gcd_bus_RDATA(15 downto 0) <= \^s_axi_gcd_bus_rdata\(15 downto 0);
s_axi_gcd_bus_RVALID(1 downto 0) <= \^s_axi_gcd_bus_rvalid\(1 downto 0);
\FSM_onehot_rstate[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F747"
)
port map (
I0 => s_axi_gcd_bus_ARVALID,
I1 => \^s_axi_gcd_bus_rvalid\(0),
I2 => \^s_axi_gcd_bus_rvalid\(1),
I3 => s_axi_gcd_bus_RREADY,
O => \FSM_onehot_rstate[1]_i_1_n_0\
);
\FSM_onehot_rstate[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"88F8"
)
port map (
I0 => s_axi_gcd_bus_ARVALID,
I1 => \^s_axi_gcd_bus_rvalid\(0),
I2 => \^s_axi_gcd_bus_rvalid\(1),
I3 => s_axi_gcd_bus_RREADY,
O => \FSM_onehot_rstate[2]_i_1_n_0\
);
\FSM_onehot_rstate_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => '0',
Q => \FSM_onehot_rstate_reg_n_0_[0]\,
S => \^sr\(0)
);
\FSM_onehot_rstate_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_rstate[1]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rvalid\(0),
R => \^sr\(0)
);
\FSM_onehot_rstate_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_rstate[2]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rvalid\(1),
R => \^sr\(0)
);
\FSM_onehot_wstate[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"888BFF8B"
)
port map (
I0 => s_axi_gcd_bus_BREADY,
I1 => \^out\(2),
I2 => \^out\(1),
I3 => \^out\(0),
I4 => s_axi_gcd_bus_AWVALID,
O => \FSM_onehot_wstate[1]_i_1_n_0\
);
\FSM_onehot_wstate[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_gcd_bus_AWVALID,
I1 => \^out\(0),
I2 => s_axi_gcd_bus_WVALID,
I3 => \^out\(1),
O => \FSM_onehot_wstate[2]_i_1_n_0\
);
\FSM_onehot_wstate[3]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ap_rst_n,
O => \^sr\(0)
);
\FSM_onehot_wstate[3]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_gcd_bus_WVALID,
I1 => \^out\(1),
I2 => s_axi_gcd_bus_BREADY,
I3 => \^out\(2),
O => \FSM_onehot_wstate[3]_i_2_n_0\
);
\FSM_onehot_wstate_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => '0',
Q => \FSM_onehot_wstate_reg_n_0_[0]\,
S => \^sr\(0)
);
\FSM_onehot_wstate_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[1]_i_1_n_0\,
Q => \^out\(0),
R => \^sr\(0)
);
\FSM_onehot_wstate_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[2]_i_1_n_0\,
Q => \^out\(1),
R => \^sr\(0)
);
\FSM_onehot_wstate_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[3]_i_2_n_0\,
Q => \^out\(2),
R => \^sr\(0)
);
\ap_CS_fsm[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FA30"
)
port map (
I0 => \^co\(0),
I1 => ap_start,
I2 => Q(0),
I3 => Q(2),
O => D(0)
);
\ap_CS_fsm[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00001000"
)
port map (
I0 => Q(1),
I1 => Q(3),
I2 => Q(0),
I3 => ap_start,
I4 => Q(2),
O => D(1)
);
\b_read_reg_102[15]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => Q(0),
I1 => ap_start,
O => E(0)
);
\int_a[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(0),
O => int_a0(0)
);
\int_a[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(10),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(10),
O => int_a0(10)
);
\int_a[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(11),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(11),
O => int_a0(11)
);
\int_a[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(12),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(12),
O => int_a0(12)
);
\int_a[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(13),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(13),
O => int_a0(13)
);
\int_a[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(14),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(14),
O => int_a0(14)
);
\int_a[15]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0008"
)
port map (
I0 => \waddr_reg_n_0_[4]\,
I1 => \int_a[15]_i_3_n_0\,
I2 => \waddr_reg_n_0_[2]\,
I3 => \waddr_reg_n_0_[3]\,
O => \int_a[15]_i_1_n_0\
);
\int_a[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(15),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(15),
O => int_a0(15)
);
\int_a[15]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00001000"
)
port map (
I0 => \waddr_reg_n_0_[0]\,
I1 => \waddr_reg_n_0_[5]\,
I2 => \^out\(1),
I3 => s_axi_gcd_bus_WVALID,
I4 => \waddr_reg_n_0_[1]\,
O => \int_a[15]_i_3_n_0\
);
\int_a[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(1),
O => int_a0(1)
);
\int_a[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(2),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(2),
O => int_a0(2)
);
\int_a[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(3),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(3),
O => int_a0(3)
);
\int_a[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(4),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(4),
O => int_a0(4)
);
\int_a[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(5),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(5),
O => int_a0(5)
);
\int_a[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(6),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(6),
O => int_a0(6)
);
\int_a[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(7),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(7),
O => int_a0(7)
);
\int_a[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(8),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(8),
O => int_a0(8)
);
\int_a[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(9),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(9),
O => int_a0(9)
);
\int_a_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(0),
Q => \^a_read_reg_107_reg[15]\(0),
R => \^sr\(0)
);
\int_a_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(10),
Q => \^a_read_reg_107_reg[15]\(10),
R => \^sr\(0)
);
\int_a_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(11),
Q => \^a_read_reg_107_reg[15]\(11),
R => \^sr\(0)
);
\int_a_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(12),
Q => \^a_read_reg_107_reg[15]\(12),
R => \^sr\(0)
);
\int_a_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(13),
Q => \^a_read_reg_107_reg[15]\(13),
R => \^sr\(0)
);
\int_a_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(14),
Q => \^a_read_reg_107_reg[15]\(14),
R => \^sr\(0)
);
\int_a_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(15),
Q => \^a_read_reg_107_reg[15]\(15),
R => \^sr\(0)
);
\int_a_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(1),
Q => \^a_read_reg_107_reg[15]\(1),
R => \^sr\(0)
);
\int_a_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(2),
Q => \^a_read_reg_107_reg[15]\(2),
R => \^sr\(0)
);
\int_a_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(3),
Q => \^a_read_reg_107_reg[15]\(3),
R => \^sr\(0)
);
\int_a_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(4),
Q => \^a_read_reg_107_reg[15]\(4),
R => \^sr\(0)
);
\int_a_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(5),
Q => \^a_read_reg_107_reg[15]\(5),
R => \^sr\(0)
);
\int_a_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(6),
Q => \^a_read_reg_107_reg[15]\(6),
R => \^sr\(0)
);
\int_a_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(7),
Q => \^a_read_reg_107_reg[15]\(7),
R => \^sr\(0)
);
\int_a_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(8),
Q => \^a_read_reg_107_reg[15]\(8),
R => \^sr\(0)
);
\int_a_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(9),
Q => \^a_read_reg_107_reg[15]\(9),
R => \^sr\(0)
);
int_ap_done_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"8FFFFFFF88888888"
)
port map (
I0 => Q(2),
I1 => \^co\(0),
I2 => \^s_axi_gcd_bus_rvalid\(0),
I3 => s_axi_gcd_bus_ARVALID,
I4 => int_ap_done1,
I5 => int_ap_done,
O => int_ap_done_i_1_n_0
);
int_ap_done_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => s_axi_gcd_bus_ARADDR(1),
I3 => s_axi_gcd_bus_ARADDR(0),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => s_axi_gcd_bus_ARADDR(2),
O => int_ap_done1
);
int_ap_done_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_ap_done_i_1_n_0,
Q => int_ap_done,
R => \^sr\(0)
);
int_ap_idle_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => Q(0),
I1 => ap_start,
O => ap_idle
);
int_ap_idle_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => ap_idle,
Q => int_ap_idle,
R => \^sr\(0)
);
int_ap_ready_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^co\(0),
I1 => Q(2),
O => ap_done
);
int_ap_ready_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => ap_done,
Q => int_ap_ready,
R => \^sr\(0)
);
int_ap_start_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFBFFF80"
)
port map (
I0 => int_auto_restart,
I1 => Q(2),
I2 => \^co\(0),
I3 => int_ap_start3_out,
I4 => ap_start,
O => int_ap_start_i_1_n_0
);
int_ap_start_i_10: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(0),
I1 => \p_s_reg_45_reg[15]\(0),
I2 => \p_s_reg_45_reg[15]\(2),
I3 => \result_reg_56_reg[15]\(2),
I4 => \p_s_reg_45_reg[15]\(1),
I5 => \result_reg_56_reg[15]\(1),
O => int_ap_start_i_10_n_0
);
int_ap_start_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"00000800"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \waddr_reg_n_0_[2]\,
I3 => \int_ier[1]_i_2_n_0\,
I4 => \waddr_reg_n_0_[3]\,
O => int_ap_start3_out
);
int_ap_start_i_5: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \p_s_reg_45_reg[15]\(15),
I1 => \result_reg_56_reg[15]\(15),
O => int_ap_start_i_5_n_0
);
int_ap_start_i_6: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(12),
I1 => \p_s_reg_45_reg[15]\(12),
I2 => \p_s_reg_45_reg[15]\(14),
I3 => \result_reg_56_reg[15]\(14),
I4 => \p_s_reg_45_reg[15]\(13),
I5 => \result_reg_56_reg[15]\(13),
O => int_ap_start_i_6_n_0
);
int_ap_start_i_7: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(9),
I1 => \p_s_reg_45_reg[15]\(9),
I2 => \p_s_reg_45_reg[15]\(11),
I3 => \result_reg_56_reg[15]\(11),
I4 => \p_s_reg_45_reg[15]\(10),
I5 => \result_reg_56_reg[15]\(10),
O => int_ap_start_i_7_n_0
);
int_ap_start_i_8: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(6),
I1 => \p_s_reg_45_reg[15]\(6),
I2 => \p_s_reg_45_reg[15]\(8),
I3 => \result_reg_56_reg[15]\(8),
I4 => \p_s_reg_45_reg[15]\(7),
I5 => \result_reg_56_reg[15]\(7),
O => int_ap_start_i_8_n_0
);
int_ap_start_i_9: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(3),
I1 => \p_s_reg_45_reg[15]\(3),
I2 => \p_s_reg_45_reg[15]\(5),
I3 => \result_reg_56_reg[15]\(5),
I4 => \p_s_reg_45_reg[15]\(4),
I5 => \result_reg_56_reg[15]\(4),
O => int_ap_start_i_9_n_0
);
int_ap_start_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_ap_start_i_1_n_0,
Q => ap_start,
R => \^sr\(0)
);
int_ap_start_reg_i_2: unisim.vcomponents.CARRY4
port map (
CI => int_ap_start_reg_i_4_n_0,
CO(3 downto 2) => NLW_int_ap_start_reg_i_2_CO_UNCONNECTED(3 downto 2),
CO(1) => \^co\(0),
CO(0) => int_ap_start_reg_i_2_n_3,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => NLW_int_ap_start_reg_i_2_O_UNCONNECTED(3 downto 0),
S(3 downto 2) => B"00",
S(1) => int_ap_start_i_5_n_0,
S(0) => int_ap_start_i_6_n_0
);
int_ap_start_reg_i_4: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => int_ap_start_reg_i_4_n_0,
CO(2) => int_ap_start_reg_i_4_n_1,
CO(1) => int_ap_start_reg_i_4_n_2,
CO(0) => int_ap_start_reg_i_4_n_3,
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => NLW_int_ap_start_reg_i_4_O_UNCONNECTED(3 downto 0),
S(3) => int_ap_start_i_7_n_0,
S(2) => int_ap_start_i_8_n_0,
S(1) => int_ap_start_i_9_n_0,
S(0) => int_ap_start_i_10_n_0
);
int_auto_restart_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(7),
I1 => \waddr_reg_n_0_[3]\,
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[2]\,
I4 => s_axi_gcd_bus_WSTRB(0),
I5 => int_auto_restart,
O => int_auto_restart_i_1_n_0
);
int_auto_restart_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_auto_restart_i_1_n_0,
Q => int_auto_restart,
R => \^sr\(0)
);
\int_b[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(0),
O => int_b0(0)
);
\int_b[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(10),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(10),
O => int_b0(10)
);
\int_b[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(11),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(11),
O => int_b0(11)
);
\int_b[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(12),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(12),
O => int_b0(12)
);
\int_b[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(13),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(13),
O => int_b0(13)
);
\int_b[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(14),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(14),
O => int_b0(14)
);
\int_b[15]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0080"
)
port map (
I0 => \waddr_reg_n_0_[3]\,
I1 => \waddr_reg_n_0_[4]\,
I2 => \int_a[15]_i_3_n_0\,
I3 => \waddr_reg_n_0_[2]\,
O => \int_b[15]_i_1_n_0\
);
\int_b[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(15),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(15),
O => int_b0(15)
);
\int_b[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(1),
O => int_b0(1)
);
\int_b[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(2),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(2),
O => int_b0(2)
);
\int_b[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(3),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(3),
O => int_b0(3)
);
\int_b[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(4),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(4),
O => int_b0(4)
);
\int_b[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(5),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(5),
O => int_b0(5)
);
\int_b[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(6),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(6),
O => int_b0(6)
);
\int_b[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(7),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(7),
O => int_b0(7)
);
\int_b[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(8),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(8),
O => int_b0(8)
);
\int_b[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(9),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(9),
O => int_b0(9)
);
\int_b_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(0),
Q => \^b_read_reg_102_reg[15]\(0),
R => \^sr\(0)
);
\int_b_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(10),
Q => \^b_read_reg_102_reg[15]\(10),
R => \^sr\(0)
);
\int_b_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(11),
Q => \^b_read_reg_102_reg[15]\(11),
R => \^sr\(0)
);
\int_b_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(12),
Q => \^b_read_reg_102_reg[15]\(12),
R => \^sr\(0)
);
\int_b_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(13),
Q => \^b_read_reg_102_reg[15]\(13),
R => \^sr\(0)
);
\int_b_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(14),
Q => \^b_read_reg_102_reg[15]\(14),
R => \^sr\(0)
);
\int_b_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(15),
Q => \^b_read_reg_102_reg[15]\(15),
R => \^sr\(0)
);
\int_b_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(1),
Q => \^b_read_reg_102_reg[15]\(1),
R => \^sr\(0)
);
\int_b_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(2),
Q => \^b_read_reg_102_reg[15]\(2),
R => \^sr\(0)
);
\int_b_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(3),
Q => \^b_read_reg_102_reg[15]\(3),
R => \^sr\(0)
);
\int_b_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(4),
Q => \^b_read_reg_102_reg[15]\(4),
R => \^sr\(0)
);
\int_b_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(5),
Q => \^b_read_reg_102_reg[15]\(5),
R => \^sr\(0)
);
\int_b_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(6),
Q => \^b_read_reg_102_reg[15]\(6),
R => \^sr\(0)
);
\int_b_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(7),
Q => \^b_read_reg_102_reg[15]\(7),
R => \^sr\(0)
);
\int_b_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(8),
Q => \^b_read_reg_102_reg[15]\(8),
R => \^sr\(0)
);
\int_b_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(9),
Q => \^b_read_reg_102_reg[15]\(9),
R => \^sr\(0)
);
int_gie_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFFFFFF08000000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \waddr_reg_n_0_[3]\,
I3 => \waddr_reg_n_0_[2]\,
I4 => \int_ier[1]_i_2_n_0\,
I5 => int_gie_reg_n_0,
O => int_gie_i_1_n_0
);
int_gie_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_gie_i_1_n_0,
Q => int_gie_reg_n_0,
R => \^sr\(0)
);
\int_ier[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFFFFF00800000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[2]\,
I4 => \waddr_reg_n_0_[3]\,
I5 => \int_ier_reg_n_0_[0]\,
O => \int_ier[0]_i_1_n_0\
);
\int_ier[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFFFFF00800000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[2]\,
I4 => \waddr_reg_n_0_[3]\,
I5 => \int_ier_reg_n_0_[1]\,
O => \int_ier[1]_i_1_n_0\
);
\int_ier[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000040"
)
port map (
I0 => \waddr_reg_n_0_[1]\,
I1 => s_axi_gcd_bus_WVALID,
I2 => \^out\(1),
I3 => \waddr_reg_n_0_[5]\,
I4 => \waddr_reg_n_0_[0]\,
I5 => \waddr_reg_n_0_[4]\,
O => \int_ier[1]_i_2_n_0\
);
\int_ier_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_ier[0]_i_1_n_0\,
Q => \int_ier_reg_n_0_[0]\,
R => \^sr\(0)
);
\int_ier_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_ier[1]_i_1_n_0\,
Q => \int_ier_reg_n_0_[1]\,
R => \^sr\(0)
);
\int_isr[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F7777777F8888888"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => int_isr6_out,
I2 => \int_ier_reg_n_0_[0]\,
I3 => \^co\(0),
I4 => Q(2),
I5 => \int_isr_reg_n_0_[0]\,
O => \int_isr[0]_i_1_n_0\
);
\int_isr[0]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"8000"
)
port map (
I0 => s_axi_gcd_bus_WSTRB(0),
I1 => \waddr_reg_n_0_[2]\,
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[3]\,
O => int_isr6_out
);
\int_isr[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F7777777F8888888"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => int_isr6_out,
I2 => \int_ier_reg_n_0_[1]\,
I3 => \^co\(0),
I4 => Q(2),
I5 => p_1_in,
O => \int_isr[1]_i_1_n_0\
);
\int_isr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_isr[0]_i_1_n_0\,
Q => \int_isr_reg_n_0_[0]\,
R => \^sr\(0)
);
\int_isr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_isr[1]_i_1_n_0\,
Q => p_1_in,
R => \^sr\(0)
);
int_pResult_ap_vld_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"8FFFFFFF88888888"
)
port map (
I0 => Q(2),
I1 => \^co\(0),
I2 => \^s_axi_gcd_bus_rvalid\(0),
I3 => s_axi_gcd_bus_ARVALID,
I4 => int_pResult_ap_vld1,
I5 => int_pResult_ap_vld,
O => int_pResult_ap_vld_i_1_n_0
);
int_pResult_ap_vld_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000001000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(1),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => s_axi_gcd_bus_ARADDR(5),
I3 => s_axi_gcd_bus_ARADDR(2),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => s_axi_gcd_bus_ARADDR(0),
O => int_pResult_ap_vld1
);
int_pResult_ap_vld_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => int_pResult_ap_vld_i_1_n_0,
Q => int_pResult_ap_vld,
R => \^sr\(0)
);
\int_pResult_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(0),
Q => int_pResult(0),
R => \^sr\(0)
);
\int_pResult_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(10),
Q => int_pResult(10),
R => \^sr\(0)
);
\int_pResult_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(11),
Q => int_pResult(11),
R => \^sr\(0)
);
\int_pResult_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(12),
Q => int_pResult(12),
R => \^sr\(0)
);
\int_pResult_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(13),
Q => int_pResult(13),
R => \^sr\(0)
);
\int_pResult_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(14),
Q => int_pResult(14),
R => \^sr\(0)
);
\int_pResult_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(15),
Q => int_pResult(15),
R => \^sr\(0)
);
\int_pResult_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(1),
Q => int_pResult(1),
R => \^sr\(0)
);
\int_pResult_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(2),
Q => int_pResult(2),
R => \^sr\(0)
);
\int_pResult_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(3),
Q => int_pResult(3),
R => \^sr\(0)
);
\int_pResult_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(4),
Q => int_pResult(4),
R => \^sr\(0)
);
\int_pResult_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(5),
Q => int_pResult(5),
R => \^sr\(0)
);
\int_pResult_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(6),
Q => int_pResult(6),
R => \^sr\(0)
);
\int_pResult_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(7),
Q => int_pResult(7),
R => \^sr\(0)
);
\int_pResult_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(8),
Q => int_pResult(8),
R => \^sr\(0)
);
\int_pResult_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(9),
Q => int_pResult(9),
R => \^sr\(0)
);
interrupt_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"E0"
)
port map (
I0 => p_1_in,
I1 => \int_isr_reg_n_0_[0]\,
I2 => int_gie_reg_n_0,
O => interrupt
);
\rdata[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \rdata[0]_i_2_n_0\,
I1 => s_axi_gcd_bus_ARADDR(2),
I2 => \rdata[0]_i_3_n_0\,
I3 => \rdata[1]_i_4_n_0\,
I4 => ar_hs,
I5 => \^s_axi_gcd_bus_rdata\(0),
O => \rdata[0]_i_1_n_0\
);
\rdata[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \int_ier_reg_n_0_[0]\,
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(0),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => \rdata[0]_i_4_n_0\,
O => \rdata[0]_i_2_n_0\
);
\rdata[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033223000002230"
)
port map (
I0 => int_pResult_ap_vld,
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_gie_reg_n_0,
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => \int_isr_reg_n_0_[0]\,
O => \rdata[0]_i_3_n_0\
);
\rdata[0]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(0),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(0),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => ap_start,
O => \rdata[0]_i_4_n_0\
);
\rdata[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(10),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(10),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(10),
O => \rdata[10]_i_1_n_0\
);
\rdata[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(11),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(11),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(11),
O => \rdata[11]_i_1_n_0\
);
\rdata[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(12),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(12),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(12),
O => \rdata[12]_i_1_n_0\
);
\rdata[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(13),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(13),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(13),
O => \rdata[13]_i_1_n_0\
);
\rdata[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(14),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(14),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(14),
O => \rdata[14]_i_1_n_0\
);
\rdata[15]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"88888880"
)
port map (
I0 => s_axi_gcd_bus_ARVALID,
I1 => \^s_axi_gcd_bus_rvalid\(0),
I2 => s_axi_gcd_bus_ARADDR(1),
I3 => s_axi_gcd_bus_ARADDR(0),
I4 => s_axi_gcd_bus_ARADDR(2),
O => \rdata[15]_i_1_n_0\
);
\rdata[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^s_axi_gcd_bus_rvalid\(0),
I1 => s_axi_gcd_bus_ARVALID,
O => ar_hs
);
\rdata[15]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(15),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(15),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(15),
O => \rdata[15]_i_3_n_0\
);
\rdata[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \rdata[1]_i_2_n_0\,
I1 => s_axi_gcd_bus_ARADDR(2),
I2 => \rdata[1]_i_3_n_0\,
I3 => \rdata[1]_i_4_n_0\,
I4 => ar_hs,
I5 => \^s_axi_gcd_bus_rdata\(1),
O => \rdata[1]_i_1_n_0\
);
\rdata[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \int_ier_reg_n_0_[1]\,
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(1),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => \rdata[1]_i_5_n_0\,
O => \rdata[1]_i_2_n_0\
);
\rdata[1]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"1000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(4),
I1 => s_axi_gcd_bus_ARADDR(5),
I2 => s_axi_gcd_bus_ARADDR(3),
I3 => p_1_in,
O => \rdata[1]_i_3_n_0\
);
\rdata[1]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(1),
I1 => s_axi_gcd_bus_ARADDR(0),
O => \rdata[1]_i_4_n_0\
);
\rdata[1]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(1),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(1),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_ap_done,
O => \rdata[1]_i_5_n_0\
);
\rdata[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"40FF4000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(2),
I3 => s_axi_gcd_bus_ARADDR(3),
I4 => \rdata[2]_i_2_n_0\,
O => \rdata[2]_i_1_n_0\
);
\rdata[2]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(2),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(2),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_ap_idle,
O => \rdata[2]_i_2_n_0\
);
\rdata[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"40FF4000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(3),
I3 => s_axi_gcd_bus_ARADDR(3),
I4 => \rdata[3]_i_2_n_0\,
O => \rdata[3]_i_1_n_0\
);
\rdata[3]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(3),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(3),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_ap_ready,
O => \rdata[3]_i_2_n_0\
);
\rdata[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(4),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(4),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(4),
O => \rdata[4]_i_1_n_0\
);
\rdata[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(5),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(5),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(5),
O => \rdata[5]_i_1_n_0\
);
\rdata[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(6),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(6),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(6),
O => \rdata[6]_i_1_n_0\
);
\rdata[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"40FF4000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(7),
I3 => s_axi_gcd_bus_ARADDR(3),
I4 => \rdata[7]_i_2_n_0\,
O => \rdata[7]_i_1_n_0\
);
\rdata[7]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(7),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(7),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_auto_restart,
O => \rdata[7]_i_2_n_0\
);
\rdata[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(8),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(8),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(8),
O => \rdata[8]_i_1_n_0\
);
\rdata[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(9),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(9),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(9),
O => \rdata[9]_i_1_n_0\
);
\rdata_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => \rdata[0]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(0),
R => '0'
);
\rdata_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[10]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(10),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[11]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(11),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[12]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(12),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[13]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(13),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[14]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(14),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[15]_i_3_n_0\,
Q => \^s_axi_gcd_bus_rdata\(15),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => \rdata[1]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(1),
R => '0'
);
\rdata_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[2]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(2),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[3]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(3),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[4]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(4),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[5]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(5),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[6]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(6),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[7]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(7),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[8]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(8),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[9]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(9),
R => \rdata[15]_i_1_n_0\
);
\waddr[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^out\(0),
I1 => s_axi_gcd_bus_AWVALID,
O => waddr
);
\waddr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(0),
Q => \waddr_reg_n_0_[0]\,
R => '0'
);
\waddr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(1),
Q => \waddr_reg_n_0_[1]\,
R => '0'
);
\waddr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(2),
Q => \waddr_reg_n_0_[2]\,
R => '0'
);
\waddr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(3),
Q => \waddr_reg_n_0_[3]\,
R => '0'
);
\waddr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(4),
Q => \waddr_reg_n_0_[4]\,
R => '0'
);
\waddr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(5),
Q => \waddr_reg_n_0_[5]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_gcd_0_1_gcd is
port (
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
s_axi_gcd_bus_AWVALID : in STD_LOGIC;
s_axi_gcd_bus_AWREADY : out STD_LOGIC;
s_axi_gcd_bus_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_WVALID : in STD_LOGIC;
s_axi_gcd_bus_WREADY : out STD_LOGIC;
s_axi_gcd_bus_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_gcd_bus_ARVALID : in STD_LOGIC;
s_axi_gcd_bus_ARREADY : out STD_LOGIC;
s_axi_gcd_bus_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_RVALID : out STD_LOGIC;
s_axi_gcd_bus_RREADY : in STD_LOGIC;
s_axi_gcd_bus_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_BVALID : out STD_LOGIC;
s_axi_gcd_bus_BREADY : in STD_LOGIC;
s_axi_gcd_bus_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
interrupt : out STD_LOGIC
);
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of gcd_block_design_gcd_0_1_gcd : entity is 32;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH of gcd_block_design_gcd_0_1_gcd : entity is 6;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH of gcd_block_design_gcd_0_1_gcd : entity is 32;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH of gcd_block_design_gcd_0_1_gcd : entity is 4;
attribute C_S_AXI_WSTRB_WIDTH : integer;
attribute C_S_AXI_WSTRB_WIDTH of gcd_block_design_gcd_0_1_gcd : entity is 4;
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_gcd_0_1_gcd : entity is "gcd";
attribute ap_ST_fsm_state1 : string;
attribute ap_ST_fsm_state1 of gcd_block_design_gcd_0_1_gcd : entity is "4'b0001";
attribute ap_ST_fsm_state2 : string;
attribute ap_ST_fsm_state2 of gcd_block_design_gcd_0_1_gcd : entity is "4'b0010";
attribute ap_ST_fsm_state3 : string;
attribute ap_ST_fsm_state3 of gcd_block_design_gcd_0_1_gcd : entity is "4'b0100";
attribute ap_ST_fsm_state4 : string;
attribute ap_ST_fsm_state4 of gcd_block_design_gcd_0_1_gcd : entity is "4'b1000";
attribute hls_module : string;
attribute hls_module of gcd_block_design_gcd_0_1_gcd : entity is "yes";
end gcd_block_design_gcd_0_1_gcd;
architecture STRUCTURE of gcd_block_design_gcd_0_1_gcd is
signal \<const0>\ : STD_LOGIC;
signal a : STD_LOGIC_VECTOR ( 15 downto 0 );
signal a_assign_fu_78_p21_out : STD_LOGIC_VECTOR ( 15 downto 0 );
signal a_assign_reg_121 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal a_assign_reg_1210 : STD_LOGIC;
signal \a_assign_reg_121[11]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[11]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[11]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[11]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_3\ : STD_LOGIC;
signal \a_assign_reg_121_reg[15]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[15]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[15]_i_1_n_3\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_3\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_3\ : STD_LOGIC;
signal a_read_reg_107 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \ap_CS_fsm_reg_n_0_[0]\ : STD_LOGIC;
signal ap_CS_fsm_state2 : STD_LOGIC;
signal ap_CS_fsm_state3 : STD_LOGIC;
signal ap_CS_fsm_state4 : STD_LOGIC;
signal ap_NS_fsm : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ap_NS_fsm1 : STD_LOGIC;
signal ap_rst_n_inv : STD_LOGIC;
signal b : STD_LOGIC_VECTOR ( 15 downto 0 );
signal b_assign_fu_84_p20_out : STD_LOGIC_VECTOR ( 15 downto 0 );
signal b_assign_reg_126 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \b_assign_reg_126[11]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[11]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[11]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[11]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_3\ : STD_LOGIC;
signal \b_assign_reg_126_reg[15]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[15]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[15]_i_1_n_3\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_3\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_3\ : STD_LOGIC;
signal b_read_reg_102 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal p_1_in : STD_LOGIC_VECTOR ( 15 downto 0 );
signal p_s_reg_45 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \p_s_reg_45[0]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[10]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[11]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[12]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[13]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[14]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[15]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[15]_i_2_n_0\ : STD_LOGIC;
signal \p_s_reg_45[1]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[2]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[3]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[4]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[5]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[6]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[7]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[8]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[9]_i_1_n_0\ : STD_LOGIC;
signal result_reg_56 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \result_reg_56[15]_i_1_n_0\ : STD_LOGIC;
signal \^s_axi_gcd_bus_rdata\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal tmp_2_fu_66_p2 : STD_LOGIC;
signal tmp_3_fu_72_p2 : STD_LOGIC;
signal tmp_3_reg_115 : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_10_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_11_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_12_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_13_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_14_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_15_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_16_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_17_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_18_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_3_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_4_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_5_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_6_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_7_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_8_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_9_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_1_n_1\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_1_n_2\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_1_n_3\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_1\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_2\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_3\ : STD_LOGIC;
signal \NLW_a_assign_reg_121_reg[15]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_b_assign_reg_126_reg[15]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_tmp_3_reg_115_reg[0]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_tmp_3_reg_115_reg[0]_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute FSM_ENCODING : string;
attribute FSM_ENCODING of \ap_CS_fsm_reg[0]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[1]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[2]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[3]\ : label is "none";
begin
s_axi_gcd_bus_BRESP(1) <= \<const0>\;
s_axi_gcd_bus_BRESP(0) <= \<const0>\;
s_axi_gcd_bus_RDATA(31) <= \<const0>\;
s_axi_gcd_bus_RDATA(30) <= \<const0>\;
s_axi_gcd_bus_RDATA(29) <= \<const0>\;
s_axi_gcd_bus_RDATA(28) <= \<const0>\;
s_axi_gcd_bus_RDATA(27) <= \<const0>\;
s_axi_gcd_bus_RDATA(26) <= \<const0>\;
s_axi_gcd_bus_RDATA(25) <= \<const0>\;
s_axi_gcd_bus_RDATA(24) <= \<const0>\;
s_axi_gcd_bus_RDATA(23) <= \<const0>\;
s_axi_gcd_bus_RDATA(22) <= \<const0>\;
s_axi_gcd_bus_RDATA(21) <= \<const0>\;
s_axi_gcd_bus_RDATA(20) <= \<const0>\;
s_axi_gcd_bus_RDATA(19) <= \<const0>\;
s_axi_gcd_bus_RDATA(18) <= \<const0>\;
s_axi_gcd_bus_RDATA(17) <= \<const0>\;
s_axi_gcd_bus_RDATA(16) <= \<const0>\;
s_axi_gcd_bus_RDATA(15 downto 0) <= \^s_axi_gcd_bus_rdata\(15 downto 0);
s_axi_gcd_bus_RRESP(1) <= \<const0>\;
s_axi_gcd_bus_RRESP(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\a_assign_reg_121[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(11),
I1 => p_s_reg_45(11),
O => \a_assign_reg_121[11]_i_2_n_0\
);
\a_assign_reg_121[11]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(10),
I1 => p_s_reg_45(10),
O => \a_assign_reg_121[11]_i_3_n_0\
);
\a_assign_reg_121[11]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(9),
I1 => p_s_reg_45(9),
O => \a_assign_reg_121[11]_i_4_n_0\
);
\a_assign_reg_121[11]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(8),
I1 => p_s_reg_45(8),
O => \a_assign_reg_121[11]_i_5_n_0\
);
\a_assign_reg_121[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(15),
I1 => p_s_reg_45(15),
O => \a_assign_reg_121[15]_i_2_n_0\
);
\a_assign_reg_121[15]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(14),
I1 => p_s_reg_45(14),
O => \a_assign_reg_121[15]_i_3_n_0\
);
\a_assign_reg_121[15]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(13),
I1 => p_s_reg_45(13),
O => \a_assign_reg_121[15]_i_4_n_0\
);
\a_assign_reg_121[15]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(12),
I1 => p_s_reg_45(12),
O => \a_assign_reg_121[15]_i_5_n_0\
);
\a_assign_reg_121[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(3),
I1 => p_s_reg_45(3),
O => \a_assign_reg_121[3]_i_2_n_0\
);
\a_assign_reg_121[3]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(2),
I1 => p_s_reg_45(2),
O => \a_assign_reg_121[3]_i_3_n_0\
);
\a_assign_reg_121[3]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(1),
I1 => p_s_reg_45(1),
O => \a_assign_reg_121[3]_i_4_n_0\
);
\a_assign_reg_121[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(0),
I1 => p_s_reg_45(0),
O => \a_assign_reg_121[3]_i_5_n_0\
);
\a_assign_reg_121[7]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(7),
I1 => p_s_reg_45(7),
O => \a_assign_reg_121[7]_i_2_n_0\
);
\a_assign_reg_121[7]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(6),
I1 => p_s_reg_45(6),
O => \a_assign_reg_121[7]_i_3_n_0\
);
\a_assign_reg_121[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(5),
I1 => p_s_reg_45(5),
O => \a_assign_reg_121[7]_i_4_n_0\
);
\a_assign_reg_121[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(4),
I1 => p_s_reg_45(4),
O => \a_assign_reg_121[7]_i_5_n_0\
);
\a_assign_reg_121_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(0),
Q => a_assign_reg_121(0),
R => '0'
);
\a_assign_reg_121_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(10),
Q => a_assign_reg_121(10),
R => '0'
);
\a_assign_reg_121_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(11),
Q => a_assign_reg_121(11),
R => '0'
);
\a_assign_reg_121_reg[11]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \a_assign_reg_121_reg[7]_i_1_n_0\,
CO(3) => \a_assign_reg_121_reg[11]_i_1_n_0\,
CO(2) => \a_assign_reg_121_reg[11]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[11]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[11]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => result_reg_56(11 downto 8),
O(3 downto 0) => a_assign_fu_78_p21_out(11 downto 8),
S(3) => \a_assign_reg_121[11]_i_2_n_0\,
S(2) => \a_assign_reg_121[11]_i_3_n_0\,
S(1) => \a_assign_reg_121[11]_i_4_n_0\,
S(0) => \a_assign_reg_121[11]_i_5_n_0\
);
\a_assign_reg_121_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(12),
Q => a_assign_reg_121(12),
R => '0'
);
\a_assign_reg_121_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(13),
Q => a_assign_reg_121(13),
R => '0'
);
\a_assign_reg_121_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(14),
Q => a_assign_reg_121(14),
R => '0'
);
\a_assign_reg_121_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(15),
Q => a_assign_reg_121(15),
R => '0'
);
\a_assign_reg_121_reg[15]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \a_assign_reg_121_reg[11]_i_1_n_0\,
CO(3) => \NLW_a_assign_reg_121_reg[15]_i_1_CO_UNCONNECTED\(3),
CO(2) => \a_assign_reg_121_reg[15]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[15]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[15]_i_1_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => result_reg_56(14 downto 12),
O(3 downto 0) => a_assign_fu_78_p21_out(15 downto 12),
S(3) => \a_assign_reg_121[15]_i_2_n_0\,
S(2) => \a_assign_reg_121[15]_i_3_n_0\,
S(1) => \a_assign_reg_121[15]_i_4_n_0\,
S(0) => \a_assign_reg_121[15]_i_5_n_0\
);
\a_assign_reg_121_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(1),
Q => a_assign_reg_121(1),
R => '0'
);
\a_assign_reg_121_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(2),
Q => a_assign_reg_121(2),
R => '0'
);
\a_assign_reg_121_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(3),
Q => a_assign_reg_121(3),
R => '0'
);
\a_assign_reg_121_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \a_assign_reg_121_reg[3]_i_1_n_0\,
CO(2) => \a_assign_reg_121_reg[3]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[3]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[3]_i_1_n_3\,
CYINIT => '1',
DI(3 downto 0) => result_reg_56(3 downto 0),
O(3 downto 0) => a_assign_fu_78_p21_out(3 downto 0),
S(3) => \a_assign_reg_121[3]_i_2_n_0\,
S(2) => \a_assign_reg_121[3]_i_3_n_0\,
S(1) => \a_assign_reg_121[3]_i_4_n_0\,
S(0) => \a_assign_reg_121[3]_i_5_n_0\
);
\a_assign_reg_121_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(4),
Q => a_assign_reg_121(4),
R => '0'
);
\a_assign_reg_121_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(5),
Q => a_assign_reg_121(5),
R => '0'
);
\a_assign_reg_121_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(6),
Q => a_assign_reg_121(6),
R => '0'
);
\a_assign_reg_121_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(7),
Q => a_assign_reg_121(7),
R => '0'
);
\a_assign_reg_121_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \a_assign_reg_121_reg[3]_i_1_n_0\,
CO(3) => \a_assign_reg_121_reg[7]_i_1_n_0\,
CO(2) => \a_assign_reg_121_reg[7]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[7]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => result_reg_56(7 downto 4),
O(3 downto 0) => a_assign_fu_78_p21_out(7 downto 4),
S(3) => \a_assign_reg_121[7]_i_2_n_0\,
S(2) => \a_assign_reg_121[7]_i_3_n_0\,
S(1) => \a_assign_reg_121[7]_i_4_n_0\,
S(0) => \a_assign_reg_121[7]_i_5_n_0\
);
\a_assign_reg_121_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(8),
Q => a_assign_reg_121(8),
R => '0'
);
\a_assign_reg_121_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(9),
Q => a_assign_reg_121(9),
R => '0'
);
\a_read_reg_107_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(0),
Q => a_read_reg_107(0),
R => '0'
);
\a_read_reg_107_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(10),
Q => a_read_reg_107(10),
R => '0'
);
\a_read_reg_107_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(11),
Q => a_read_reg_107(11),
R => '0'
);
\a_read_reg_107_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(12),
Q => a_read_reg_107(12),
R => '0'
);
\a_read_reg_107_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(13),
Q => a_read_reg_107(13),
R => '0'
);
\a_read_reg_107_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(14),
Q => a_read_reg_107(14),
R => '0'
);
\a_read_reg_107_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(15),
Q => a_read_reg_107(15),
R => '0'
);
\a_read_reg_107_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(1),
Q => a_read_reg_107(1),
R => '0'
);
\a_read_reg_107_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(2),
Q => a_read_reg_107(2),
R => '0'
);
\a_read_reg_107_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(3),
Q => a_read_reg_107(3),
R => '0'
);
\a_read_reg_107_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(4),
Q => a_read_reg_107(4),
R => '0'
);
\a_read_reg_107_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(5),
Q => a_read_reg_107(5),
R => '0'
);
\a_read_reg_107_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(6),
Q => a_read_reg_107(6),
R => '0'
);
\a_read_reg_107_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(7),
Q => a_read_reg_107(7),
R => '0'
);
\a_read_reg_107_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(8),
Q => a_read_reg_107(8),
R => '0'
);
\a_read_reg_107_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(9),
Q => a_read_reg_107(9),
R => '0'
);
\ap_CS_fsm[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => ap_CS_fsm_state2,
I1 => ap_CS_fsm_state4,
O => ap_NS_fsm(2)
);
\ap_CS_fsm[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => ap_CS_fsm_state3,
I1 => tmp_2_fu_66_p2,
O => a_assign_reg_1210
);
\ap_CS_fsm_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(0),
Q => \ap_CS_fsm_reg_n_0_[0]\,
S => ap_rst_n_inv
);
\ap_CS_fsm_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(1),
Q => ap_CS_fsm_state2,
R => ap_rst_n_inv
);
\ap_CS_fsm_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(2),
Q => ap_CS_fsm_state3,
R => ap_rst_n_inv
);
\ap_CS_fsm_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => a_assign_reg_1210,
Q => ap_CS_fsm_state4,
R => ap_rst_n_inv
);
\b_assign_reg_126[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(11),
I1 => result_reg_56(11),
O => \b_assign_reg_126[11]_i_2_n_0\
);
\b_assign_reg_126[11]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(10),
I1 => result_reg_56(10),
O => \b_assign_reg_126[11]_i_3_n_0\
);
\b_assign_reg_126[11]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(9),
I1 => result_reg_56(9),
O => \b_assign_reg_126[11]_i_4_n_0\
);
\b_assign_reg_126[11]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(8),
I1 => result_reg_56(8),
O => \b_assign_reg_126[11]_i_5_n_0\
);
\b_assign_reg_126[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(15),
I1 => result_reg_56(15),
O => \b_assign_reg_126[15]_i_2_n_0\
);
\b_assign_reg_126[15]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(14),
I1 => result_reg_56(14),
O => \b_assign_reg_126[15]_i_3_n_0\
);
\b_assign_reg_126[15]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(13),
I1 => result_reg_56(13),
O => \b_assign_reg_126[15]_i_4_n_0\
);
\b_assign_reg_126[15]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(12),
I1 => result_reg_56(12),
O => \b_assign_reg_126[15]_i_5_n_0\
);
\b_assign_reg_126[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(3),
I1 => result_reg_56(3),
O => \b_assign_reg_126[3]_i_2_n_0\
);
\b_assign_reg_126[3]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(2),
I1 => result_reg_56(2),
O => \b_assign_reg_126[3]_i_3_n_0\
);
\b_assign_reg_126[3]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(1),
I1 => result_reg_56(1),
O => \b_assign_reg_126[3]_i_4_n_0\
);
\b_assign_reg_126[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(0),
I1 => result_reg_56(0),
O => \b_assign_reg_126[3]_i_5_n_0\
);
\b_assign_reg_126[7]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(7),
I1 => result_reg_56(7),
O => \b_assign_reg_126[7]_i_2_n_0\
);
\b_assign_reg_126[7]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(6),
I1 => result_reg_56(6),
O => \b_assign_reg_126[7]_i_3_n_0\
);
\b_assign_reg_126[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(5),
I1 => result_reg_56(5),
O => \b_assign_reg_126[7]_i_4_n_0\
);
\b_assign_reg_126[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(4),
I1 => result_reg_56(4),
O => \b_assign_reg_126[7]_i_5_n_0\
);
\b_assign_reg_126_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(0),
Q => b_assign_reg_126(0),
R => '0'
);
\b_assign_reg_126_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(10),
Q => b_assign_reg_126(10),
R => '0'
);
\b_assign_reg_126_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(11),
Q => b_assign_reg_126(11),
R => '0'
);
\b_assign_reg_126_reg[11]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \b_assign_reg_126_reg[7]_i_1_n_0\,
CO(3) => \b_assign_reg_126_reg[11]_i_1_n_0\,
CO(2) => \b_assign_reg_126_reg[11]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[11]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[11]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => p_s_reg_45(11 downto 8),
O(3 downto 0) => b_assign_fu_84_p20_out(11 downto 8),
S(3) => \b_assign_reg_126[11]_i_2_n_0\,
S(2) => \b_assign_reg_126[11]_i_3_n_0\,
S(1) => \b_assign_reg_126[11]_i_4_n_0\,
S(0) => \b_assign_reg_126[11]_i_5_n_0\
);
\b_assign_reg_126_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(12),
Q => b_assign_reg_126(12),
R => '0'
);
\b_assign_reg_126_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(13),
Q => b_assign_reg_126(13),
R => '0'
);
\b_assign_reg_126_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(14),
Q => b_assign_reg_126(14),
R => '0'
);
\b_assign_reg_126_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(15),
Q => b_assign_reg_126(15),
R => '0'
);
\b_assign_reg_126_reg[15]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \b_assign_reg_126_reg[11]_i_1_n_0\,
CO(3) => \NLW_b_assign_reg_126_reg[15]_i_1_CO_UNCONNECTED\(3),
CO(2) => \b_assign_reg_126_reg[15]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[15]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[15]_i_1_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => p_s_reg_45(14 downto 12),
O(3 downto 0) => b_assign_fu_84_p20_out(15 downto 12),
S(3) => \b_assign_reg_126[15]_i_2_n_0\,
S(2) => \b_assign_reg_126[15]_i_3_n_0\,
S(1) => \b_assign_reg_126[15]_i_4_n_0\,
S(0) => \b_assign_reg_126[15]_i_5_n_0\
);
\b_assign_reg_126_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(1),
Q => b_assign_reg_126(1),
R => '0'
);
\b_assign_reg_126_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(2),
Q => b_assign_reg_126(2),
R => '0'
);
\b_assign_reg_126_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(3),
Q => b_assign_reg_126(3),
R => '0'
);
\b_assign_reg_126_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \b_assign_reg_126_reg[3]_i_1_n_0\,
CO(2) => \b_assign_reg_126_reg[3]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[3]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[3]_i_1_n_3\,
CYINIT => '1',
DI(3 downto 0) => p_s_reg_45(3 downto 0),
O(3 downto 0) => b_assign_fu_84_p20_out(3 downto 0),
S(3) => \b_assign_reg_126[3]_i_2_n_0\,
S(2) => \b_assign_reg_126[3]_i_3_n_0\,
S(1) => \b_assign_reg_126[3]_i_4_n_0\,
S(0) => \b_assign_reg_126[3]_i_5_n_0\
);
\b_assign_reg_126_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(4),
Q => b_assign_reg_126(4),
R => '0'
);
\b_assign_reg_126_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(5),
Q => b_assign_reg_126(5),
R => '0'
);
\b_assign_reg_126_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(6),
Q => b_assign_reg_126(6),
R => '0'
);
\b_assign_reg_126_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(7),
Q => b_assign_reg_126(7),
R => '0'
);
\b_assign_reg_126_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \b_assign_reg_126_reg[3]_i_1_n_0\,
CO(3) => \b_assign_reg_126_reg[7]_i_1_n_0\,
CO(2) => \b_assign_reg_126_reg[7]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[7]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => p_s_reg_45(7 downto 4),
O(3 downto 0) => b_assign_fu_84_p20_out(7 downto 4),
S(3) => \b_assign_reg_126[7]_i_2_n_0\,
S(2) => \b_assign_reg_126[7]_i_3_n_0\,
S(1) => \b_assign_reg_126[7]_i_4_n_0\,
S(0) => \b_assign_reg_126[7]_i_5_n_0\
);
\b_assign_reg_126_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(8),
Q => b_assign_reg_126(8),
R => '0'
);
\b_assign_reg_126_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(9),
Q => b_assign_reg_126(9),
R => '0'
);
\b_read_reg_102_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(0),
Q => b_read_reg_102(0),
R => '0'
);
\b_read_reg_102_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(10),
Q => b_read_reg_102(10),
R => '0'
);
\b_read_reg_102_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(11),
Q => b_read_reg_102(11),
R => '0'
);
\b_read_reg_102_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(12),
Q => b_read_reg_102(12),
R => '0'
);
\b_read_reg_102_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(13),
Q => b_read_reg_102(13),
R => '0'
);
\b_read_reg_102_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(14),
Q => b_read_reg_102(14),
R => '0'
);
\b_read_reg_102_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(15),
Q => b_read_reg_102(15),
R => '0'
);
\b_read_reg_102_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(1),
Q => b_read_reg_102(1),
R => '0'
);
\b_read_reg_102_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(2),
Q => b_read_reg_102(2),
R => '0'
);
\b_read_reg_102_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(3),
Q => b_read_reg_102(3),
R => '0'
);
\b_read_reg_102_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(4),
Q => b_read_reg_102(4),
R => '0'
);
\b_read_reg_102_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(5),
Q => b_read_reg_102(5),
R => '0'
);
\b_read_reg_102_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(6),
Q => b_read_reg_102(6),
R => '0'
);
\b_read_reg_102_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(7),
Q => b_read_reg_102(7),
R => '0'
);
\b_read_reg_102_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(8),
Q => b_read_reg_102(8),
R => '0'
);
\b_read_reg_102_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(9),
Q => b_read_reg_102(9),
R => '0'
);
gcd_gcd_bus_s_axi_U: entity work.gcd_block_design_gcd_0_1_gcd_gcd_bus_s_axi
port map (
CO(0) => tmp_2_fu_66_p2,
D(1 downto 0) => ap_NS_fsm(1 downto 0),
E(0) => ap_NS_fsm1,
Q(3) => ap_CS_fsm_state4,
Q(2) => ap_CS_fsm_state3,
Q(1) => ap_CS_fsm_state2,
Q(0) => \ap_CS_fsm_reg_n_0_[0]\,
SR(0) => ap_rst_n_inv,
\a_read_reg_107_reg[15]\(15 downto 0) => a(15 downto 0),
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
\b_read_reg_102_reg[15]\(15 downto 0) => b(15 downto 0),
interrupt => interrupt,
\out\(2) => s_axi_gcd_bus_BVALID,
\out\(1) => s_axi_gcd_bus_WREADY,
\out\(0) => s_axi_gcd_bus_AWREADY,
\p_s_reg_45_reg[15]\(15 downto 0) => p_s_reg_45(15 downto 0),
\result_reg_56_reg[15]\(15 downto 0) => result_reg_56(15 downto 0),
s_axi_gcd_bus_ARADDR(5 downto 0) => s_axi_gcd_bus_ARADDR(5 downto 0),
s_axi_gcd_bus_ARVALID => s_axi_gcd_bus_ARVALID,
s_axi_gcd_bus_AWADDR(5 downto 0) => s_axi_gcd_bus_AWADDR(5 downto 0),
s_axi_gcd_bus_AWVALID => s_axi_gcd_bus_AWVALID,
s_axi_gcd_bus_BREADY => s_axi_gcd_bus_BREADY,
s_axi_gcd_bus_RDATA(15 downto 0) => \^s_axi_gcd_bus_rdata\(15 downto 0),
s_axi_gcd_bus_RREADY => s_axi_gcd_bus_RREADY,
s_axi_gcd_bus_RVALID(1) => s_axi_gcd_bus_RVALID,
s_axi_gcd_bus_RVALID(0) => s_axi_gcd_bus_ARREADY,
s_axi_gcd_bus_WDATA(15 downto 0) => s_axi_gcd_bus_WDATA(15 downto 0),
s_axi_gcd_bus_WSTRB(1 downto 0) => s_axi_gcd_bus_WSTRB(1 downto 0),
s_axi_gcd_bus_WVALID => s_axi_gcd_bus_WVALID
);
\p_s_reg_45[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(0),
I1 => b_read_reg_102(0),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[0]_i_1_n_0\
);
\p_s_reg_45[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(10),
I1 => b_read_reg_102(10),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[10]_i_1_n_0\
);
\p_s_reg_45[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(11),
I1 => b_read_reg_102(11),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[11]_i_1_n_0\
);
\p_s_reg_45[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(12),
I1 => b_read_reg_102(12),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[12]_i_1_n_0\
);
\p_s_reg_45[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(13),
I1 => b_read_reg_102(13),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[13]_i_1_n_0\
);
\p_s_reg_45[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(14),
I1 => b_read_reg_102(14),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[14]_i_1_n_0\
);
\p_s_reg_45[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"74"
)
port map (
I0 => tmp_3_reg_115,
I1 => ap_CS_fsm_state4,
I2 => ap_CS_fsm_state2,
O => \p_s_reg_45[15]_i_1_n_0\
);
\p_s_reg_45[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(15),
I1 => b_read_reg_102(15),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[15]_i_2_n_0\
);
\p_s_reg_45[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(1),
I1 => b_read_reg_102(1),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[1]_i_1_n_0\
);
\p_s_reg_45[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(2),
I1 => b_read_reg_102(2),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[2]_i_1_n_0\
);
\p_s_reg_45[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(3),
I1 => b_read_reg_102(3),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[3]_i_1_n_0\
);
\p_s_reg_45[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(4),
I1 => b_read_reg_102(4),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[4]_i_1_n_0\
);
\p_s_reg_45[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(5),
I1 => b_read_reg_102(5),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[5]_i_1_n_0\
);
\p_s_reg_45[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(6),
I1 => b_read_reg_102(6),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[6]_i_1_n_0\
);
\p_s_reg_45[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(7),
I1 => b_read_reg_102(7),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[7]_i_1_n_0\
);
\p_s_reg_45[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(8),
I1 => b_read_reg_102(8),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[8]_i_1_n_0\
);
\p_s_reg_45[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(9),
I1 => b_read_reg_102(9),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[9]_i_1_n_0\
);
\p_s_reg_45_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[0]_i_1_n_0\,
Q => p_s_reg_45(0),
R => '0'
);
\p_s_reg_45_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[10]_i_1_n_0\,
Q => p_s_reg_45(10),
R => '0'
);
\p_s_reg_45_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[11]_i_1_n_0\,
Q => p_s_reg_45(11),
R => '0'
);
\p_s_reg_45_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[12]_i_1_n_0\,
Q => p_s_reg_45(12),
R => '0'
);
\p_s_reg_45_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[13]_i_1_n_0\,
Q => p_s_reg_45(13),
R => '0'
);
\p_s_reg_45_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[14]_i_1_n_0\,
Q => p_s_reg_45(14),
R => '0'
);
\p_s_reg_45_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[15]_i_2_n_0\,
Q => p_s_reg_45(15),
R => '0'
);
\p_s_reg_45_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[1]_i_1_n_0\,
Q => p_s_reg_45(1),
R => '0'
);
\p_s_reg_45_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[2]_i_1_n_0\,
Q => p_s_reg_45(2),
R => '0'
);
\p_s_reg_45_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[3]_i_1_n_0\,
Q => p_s_reg_45(3),
R => '0'
);
\p_s_reg_45_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[4]_i_1_n_0\,
Q => p_s_reg_45(4),
R => '0'
);
\p_s_reg_45_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[5]_i_1_n_0\,
Q => p_s_reg_45(5),
R => '0'
);
\p_s_reg_45_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[6]_i_1_n_0\,
Q => p_s_reg_45(6),
R => '0'
);
\p_s_reg_45_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[7]_i_1_n_0\,
Q => p_s_reg_45(7),
R => '0'
);
\p_s_reg_45_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[8]_i_1_n_0\,
Q => p_s_reg_45(8),
R => '0'
);
\p_s_reg_45_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[9]_i_1_n_0\,
Q => p_s_reg_45(9),
R => '0'
);
\result_reg_56[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(0),
I1 => a_read_reg_107(0),
I2 => ap_CS_fsm_state4,
O => p_1_in(0)
);
\result_reg_56[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(10),
I1 => a_read_reg_107(10),
I2 => ap_CS_fsm_state4,
O => p_1_in(10)
);
\result_reg_56[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(11),
I1 => a_read_reg_107(11),
I2 => ap_CS_fsm_state4,
O => p_1_in(11)
);
\result_reg_56[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(12),
I1 => a_read_reg_107(12),
I2 => ap_CS_fsm_state4,
O => p_1_in(12)
);
\result_reg_56[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(13),
I1 => a_read_reg_107(13),
I2 => ap_CS_fsm_state4,
O => p_1_in(13)
);
\result_reg_56[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(14),
I1 => a_read_reg_107(14),
I2 => ap_CS_fsm_state4,
O => p_1_in(14)
);
\result_reg_56[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => tmp_3_reg_115,
I1 => ap_CS_fsm_state4,
I2 => ap_CS_fsm_state2,
O => \result_reg_56[15]_i_1_n_0\
);
\result_reg_56[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(15),
I1 => a_read_reg_107(15),
I2 => ap_CS_fsm_state4,
O => p_1_in(15)
);
\result_reg_56[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(1),
I1 => a_read_reg_107(1),
I2 => ap_CS_fsm_state4,
O => p_1_in(1)
);
\result_reg_56[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(2),
I1 => a_read_reg_107(2),
I2 => ap_CS_fsm_state4,
O => p_1_in(2)
);
\result_reg_56[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(3),
I1 => a_read_reg_107(3),
I2 => ap_CS_fsm_state4,
O => p_1_in(3)
);
\result_reg_56[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(4),
I1 => a_read_reg_107(4),
I2 => ap_CS_fsm_state4,
O => p_1_in(4)
);
\result_reg_56[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(5),
I1 => a_read_reg_107(5),
I2 => ap_CS_fsm_state4,
O => p_1_in(5)
);
\result_reg_56[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(6),
I1 => a_read_reg_107(6),
I2 => ap_CS_fsm_state4,
O => p_1_in(6)
);
\result_reg_56[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(7),
I1 => a_read_reg_107(7),
I2 => ap_CS_fsm_state4,
O => p_1_in(7)
);
\result_reg_56[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(8),
I1 => a_read_reg_107(8),
I2 => ap_CS_fsm_state4,
O => p_1_in(8)
);
\result_reg_56[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(9),
I1 => a_read_reg_107(9),
I2 => ap_CS_fsm_state4,
O => p_1_in(9)
);
\result_reg_56_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(0),
Q => result_reg_56(0),
R => '0'
);
\result_reg_56_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(10),
Q => result_reg_56(10),
R => '0'
);
\result_reg_56_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(11),
Q => result_reg_56(11),
R => '0'
);
\result_reg_56_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(12),
Q => result_reg_56(12),
R => '0'
);
\result_reg_56_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(13),
Q => result_reg_56(13),
R => '0'
);
\result_reg_56_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(14),
Q => result_reg_56(14),
R => '0'
);
\result_reg_56_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(15),
Q => result_reg_56(15),
R => '0'
);
\result_reg_56_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(1),
Q => result_reg_56(1),
R => '0'
);
\result_reg_56_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(2),
Q => result_reg_56(2),
R => '0'
);
\result_reg_56_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(3),
Q => result_reg_56(3),
R => '0'
);
\result_reg_56_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(4),
Q => result_reg_56(4),
R => '0'
);
\result_reg_56_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(5),
Q => result_reg_56(5),
R => '0'
);
\result_reg_56_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(6),
Q => result_reg_56(6),
R => '0'
);
\result_reg_56_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(7),
Q => result_reg_56(7),
R => '0'
);
\result_reg_56_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(8),
Q => result_reg_56(8),
R => '0'
);
\result_reg_56_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(9),
Q => result_reg_56(9),
R => '0'
);
\tmp_3_reg_115[0]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(8),
I1 => p_s_reg_45(8),
I2 => result_reg_56(9),
I3 => p_s_reg_45(9),
O => \tmp_3_reg_115[0]_i_10_n_0\
);
\tmp_3_reg_115[0]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(6),
I1 => p_s_reg_45(6),
I2 => p_s_reg_45(7),
I3 => result_reg_56(7),
O => \tmp_3_reg_115[0]_i_11_n_0\
);
\tmp_3_reg_115[0]_i_12\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(4),
I1 => p_s_reg_45(4),
I2 => p_s_reg_45(5),
I3 => result_reg_56(5),
O => \tmp_3_reg_115[0]_i_12_n_0\
);
\tmp_3_reg_115[0]_i_13\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(2),
I1 => p_s_reg_45(2),
I2 => p_s_reg_45(3),
I3 => result_reg_56(3),
O => \tmp_3_reg_115[0]_i_13_n_0\
);
\tmp_3_reg_115[0]_i_14\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(0),
I1 => p_s_reg_45(0),
I2 => p_s_reg_45(1),
I3 => result_reg_56(1),
O => \tmp_3_reg_115[0]_i_14_n_0\
);
\tmp_3_reg_115[0]_i_15\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(6),
I1 => p_s_reg_45(6),
I2 => result_reg_56(7),
I3 => p_s_reg_45(7),
O => \tmp_3_reg_115[0]_i_15_n_0\
);
\tmp_3_reg_115[0]_i_16\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(4),
I1 => p_s_reg_45(4),
I2 => result_reg_56(5),
I3 => p_s_reg_45(5),
O => \tmp_3_reg_115[0]_i_16_n_0\
);
\tmp_3_reg_115[0]_i_17\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(2),
I1 => p_s_reg_45(2),
I2 => result_reg_56(3),
I3 => p_s_reg_45(3),
O => \tmp_3_reg_115[0]_i_17_n_0\
);
\tmp_3_reg_115[0]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(0),
I1 => p_s_reg_45(0),
I2 => result_reg_56(1),
I3 => p_s_reg_45(1),
O => \tmp_3_reg_115[0]_i_18_n_0\
);
\tmp_3_reg_115[0]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(14),
I1 => p_s_reg_45(14),
I2 => result_reg_56(15),
I3 => p_s_reg_45(15),
O => \tmp_3_reg_115[0]_i_3_n_0\
);
\tmp_3_reg_115[0]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(12),
I1 => p_s_reg_45(12),
I2 => p_s_reg_45(13),
I3 => result_reg_56(13),
O => \tmp_3_reg_115[0]_i_4_n_0\
);
\tmp_3_reg_115[0]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(10),
I1 => p_s_reg_45(10),
I2 => p_s_reg_45(11),
I3 => result_reg_56(11),
O => \tmp_3_reg_115[0]_i_5_n_0\
);
\tmp_3_reg_115[0]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(8),
I1 => p_s_reg_45(8),
I2 => p_s_reg_45(9),
I3 => result_reg_56(9),
O => \tmp_3_reg_115[0]_i_6_n_0\
);
\tmp_3_reg_115[0]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(14),
I1 => p_s_reg_45(14),
I2 => p_s_reg_45(15),
I3 => result_reg_56(15),
O => \tmp_3_reg_115[0]_i_7_n_0\
);
\tmp_3_reg_115[0]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(12),
I1 => p_s_reg_45(12),
I2 => result_reg_56(13),
I3 => p_s_reg_45(13),
O => \tmp_3_reg_115[0]_i_8_n_0\
);
\tmp_3_reg_115[0]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(10),
I1 => p_s_reg_45(10),
I2 => result_reg_56(11),
I3 => p_s_reg_45(11),
O => \tmp_3_reg_115[0]_i_9_n_0\
);
\tmp_3_reg_115_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => tmp_3_fu_72_p2,
Q => tmp_3_reg_115,
R => '0'
);
\tmp_3_reg_115_reg[0]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \tmp_3_reg_115_reg[0]_i_2_n_0\,
CO(3) => tmp_3_fu_72_p2,
CO(2) => \tmp_3_reg_115_reg[0]_i_1_n_1\,
CO(1) => \tmp_3_reg_115_reg[0]_i_1_n_2\,
CO(0) => \tmp_3_reg_115_reg[0]_i_1_n_3\,
CYINIT => '0',
DI(3) => \tmp_3_reg_115[0]_i_3_n_0\,
DI(2) => \tmp_3_reg_115[0]_i_4_n_0\,
DI(1) => \tmp_3_reg_115[0]_i_5_n_0\,
DI(0) => \tmp_3_reg_115[0]_i_6_n_0\,
O(3 downto 0) => \NLW_tmp_3_reg_115_reg[0]_i_1_O_UNCONNECTED\(3 downto 0),
S(3) => \tmp_3_reg_115[0]_i_7_n_0\,
S(2) => \tmp_3_reg_115[0]_i_8_n_0\,
S(1) => \tmp_3_reg_115[0]_i_9_n_0\,
S(0) => \tmp_3_reg_115[0]_i_10_n_0\
);
\tmp_3_reg_115_reg[0]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \tmp_3_reg_115_reg[0]_i_2_n_0\,
CO(2) => \tmp_3_reg_115_reg[0]_i_2_n_1\,
CO(1) => \tmp_3_reg_115_reg[0]_i_2_n_2\,
CO(0) => \tmp_3_reg_115_reg[0]_i_2_n_3\,
CYINIT => '0',
DI(3) => \tmp_3_reg_115[0]_i_11_n_0\,
DI(2) => \tmp_3_reg_115[0]_i_12_n_0\,
DI(1) => \tmp_3_reg_115[0]_i_13_n_0\,
DI(0) => \tmp_3_reg_115[0]_i_14_n_0\,
O(3 downto 0) => \NLW_tmp_3_reg_115_reg[0]_i_2_O_UNCONNECTED\(3 downto 0),
S(3) => \tmp_3_reg_115[0]_i_15_n_0\,
S(2) => \tmp_3_reg_115[0]_i_16_n_0\,
S(1) => \tmp_3_reg_115[0]_i_17_n_0\,
S(0) => \tmp_3_reg_115[0]_i_18_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_gcd_0_1 is
port (
s_axi_gcd_bus_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_AWVALID : in STD_LOGIC;
s_axi_gcd_bus_AWREADY : out STD_LOGIC;
s_axi_gcd_bus_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_gcd_bus_WVALID : in STD_LOGIC;
s_axi_gcd_bus_WREADY : out STD_LOGIC;
s_axi_gcd_bus_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_BVALID : out STD_LOGIC;
s_axi_gcd_bus_BREADY : in STD_LOGIC;
s_axi_gcd_bus_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_ARVALID : in STD_LOGIC;
s_axi_gcd_bus_ARREADY : out STD_LOGIC;
s_axi_gcd_bus_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_RVALID : out STD_LOGIC;
s_axi_gcd_bus_RREADY : in STD_LOGIC;
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
interrupt : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of gcd_block_design_gcd_0_1 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of gcd_block_design_gcd_0_1 : entity is "gcd_block_design_gcd_0_1,gcd,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of gcd_block_design_gcd_0_1 : entity is "yes";
attribute IP_DEFINITION_SOURCE : string;
attribute IP_DEFINITION_SOURCE of gcd_block_design_gcd_0_1 : entity is "HLS";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of gcd_block_design_gcd_0_1 : entity is "gcd,Vivado 2018.2";
attribute hls_module : string;
attribute hls_module of gcd_block_design_gcd_0_1 : entity is "yes";
end gcd_block_design_gcd_0_1;
architecture STRUCTURE of gcd_block_design_gcd_0_1 is
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of inst : label is 32;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH of inst : label is 6;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH of inst : label is 32;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH of inst : label is 4;
attribute C_S_AXI_WSTRB_WIDTH : integer;
attribute C_S_AXI_WSTRB_WIDTH of inst : label is 4;
attribute ap_ST_fsm_state1 : string;
attribute ap_ST_fsm_state1 of inst : label is "4'b0001";
attribute ap_ST_fsm_state2 : string;
attribute ap_ST_fsm_state2 of inst : label is "4'b0010";
attribute ap_ST_fsm_state3 : string;
attribute ap_ST_fsm_state3 of inst : label is "4'b0100";
attribute ap_ST_fsm_state4 : string;
attribute ap_ST_fsm_state4 of inst : label is "4'b1000";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of ap_clk : signal is "xilinx.com:signal:clock:1.0 ap_clk CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of ap_clk : signal is "XIL_INTERFACENAME ap_clk, ASSOCIATED_BUSIF s_axi_gcd_bus, ASSOCIATED_RESET ap_rst_n, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0";
attribute X_INTERFACE_INFO of ap_rst_n : signal is "xilinx.com:signal:reset:1.0 ap_rst_n RST";
attribute X_INTERFACE_PARAMETER of ap_rst_n : signal is "XIL_INTERFACENAME ap_rst_n, POLARITY ACTIVE_LOW, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {RST {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}";
attribute X_INTERFACE_INFO of interrupt : signal is "xilinx.com:signal:interrupt:1.0 interrupt INTERRUPT";
attribute X_INTERFACE_PARAMETER of interrupt : signal is "XIL_INTERFACENAME interrupt, SENSITIVITY LEVEL_HIGH, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {INTERRUPT {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, PortWidth 1";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_ARREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus ARREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_ARVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus ARVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_AWREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus AWREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_AWVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus AWVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_BREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus BREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_BVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus BVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_gcd_bus_RREADY : signal is "XIL_INTERFACENAME s_axi_gcd_bus, ADDR_WIDTH 6, DATA_WIDTH 32, PROTOCOL AXI4LITE, READ_WRITE_MODE READ_WRITE, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 100000000, ID_WIDTH 0, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 1, NUM_WRITE_OUTSTANDING 1, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_ARADDR : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus ARADDR";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_AWADDR : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus AWADDR";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_BRESP : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus BRESP";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RDATA : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RDATA";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RRESP : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RRESP";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WDATA : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WDATA";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WSTRB : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WSTRB";
begin
inst: entity work.gcd_block_design_gcd_0_1_gcd
port map (
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
interrupt => interrupt,
s_axi_gcd_bus_ARADDR(5 downto 0) => s_axi_gcd_bus_ARADDR(5 downto 0),
s_axi_gcd_bus_ARREADY => s_axi_gcd_bus_ARREADY,
s_axi_gcd_bus_ARVALID => s_axi_gcd_bus_ARVALID,
s_axi_gcd_bus_AWADDR(5 downto 0) => s_axi_gcd_bus_AWADDR(5 downto 0),
s_axi_gcd_bus_AWREADY => s_axi_gcd_bus_AWREADY,
s_axi_gcd_bus_AWVALID => s_axi_gcd_bus_AWVALID,
s_axi_gcd_bus_BREADY => s_axi_gcd_bus_BREADY,
s_axi_gcd_bus_BRESP(1 downto 0) => s_axi_gcd_bus_BRESP(1 downto 0),
s_axi_gcd_bus_BVALID => s_axi_gcd_bus_BVALID,
s_axi_gcd_bus_RDATA(31 downto 0) => s_axi_gcd_bus_RDATA(31 downto 0),
s_axi_gcd_bus_RREADY => s_axi_gcd_bus_RREADY,
s_axi_gcd_bus_RRESP(1 downto 0) => s_axi_gcd_bus_RRESP(1 downto 0),
s_axi_gcd_bus_RVALID => s_axi_gcd_bus_RVALID,
s_axi_gcd_bus_WDATA(31 downto 0) => s_axi_gcd_bus_WDATA(31 downto 0),
s_axi_gcd_bus_WREADY => s_axi_gcd_bus_WREADY,
s_axi_gcd_bus_WSTRB(3 downto 0) => s_axi_gcd_bus_WSTRB(3 downto 0),
s_axi_gcd_bus_WVALID => s_axi_gcd_bus_WVALID
);
end STRUCTURE;
| mit | 469353564f714eb19f82d9ae8efa25ff | 0.512542 | 2.506545 | false | false | false | false |
natsutan/NPU | fpga_implement/npu8/npu8.srcs/sources_1/ip/mult_17x16/sim/mult_17x16.vhd | 1 | 4,814 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:mult_gen:12.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY mult_gen_v12_0_12;
USE mult_gen_v12_0_12.mult_gen_v12_0_12;
ENTITY mult_17x16 IS
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(16 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(24 DOWNTO 0)
);
END mult_17x16;
ARCHITECTURE mult_17x16_arch OF mult_17x16 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF mult_17x16_arch: ARCHITECTURE IS "yes";
COMPONENT mult_gen_v12_0_12 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_MODEL_TYPE : INTEGER;
C_OPTIMIZE_GOAL : INTEGER;
C_XDEVICEFAMILY : STRING;
C_HAS_CE : INTEGER;
C_HAS_SCLR : INTEGER;
C_LATENCY : INTEGER;
C_A_WIDTH : INTEGER;
C_A_TYPE : INTEGER;
C_B_WIDTH : INTEGER;
C_B_TYPE : INTEGER;
C_OUT_HIGH : INTEGER;
C_OUT_LOW : INTEGER;
C_MULT_TYPE : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_CCM_IMP : INTEGER;
C_B_VALUE : STRING;
C_HAS_ZERO_DETECT : INTEGER;
C_ROUND_OUTPUT : INTEGER;
C_ROUND_PT : INTEGER
);
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(16 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
CE : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
P : OUT STD_LOGIC_VECTOR(24 DOWNTO 0)
);
END COMPONENT mult_gen_v12_0_12;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA";
BEGIN
U0 : mult_gen_v12_0_12
GENERIC MAP (
C_VERBOSITY => 0,
C_MODEL_TYPE => 0,
C_OPTIMIZE_GOAL => 1,
C_XDEVICEFAMILY => "kintexu",
C_HAS_CE => 0,
C_HAS_SCLR => 0,
C_LATENCY => 4,
C_A_WIDTH => 17,
C_A_TYPE => 1,
C_B_WIDTH => 16,
C_B_TYPE => 1,
C_OUT_HIGH => 32,
C_OUT_LOW => 8,
C_MULT_TYPE => 0,
C_CE_OVERRIDES_SCLR => 0,
C_CCM_IMP => 0,
C_B_VALUE => "10000001",
C_HAS_ZERO_DETECT => 0,
C_ROUND_OUTPUT => 0,
C_ROUND_PT => 0
)
PORT MAP (
CLK => CLK,
A => A,
B => B,
CE => '1',
SCLR => '0',
P => P
);
END mult_17x16_arch;
| bsd-3-clause | 4d9fcf95153f58b37617c1f7b1e00026 | 0.666597 | 3.571217 | false | false | false | false |
MartinCura/SistDig-TP4 | src/comps/ffd_serie.vhd | 1 | 723 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ffd_serie is
generic (
N : natural := 1
);
port (
clock : in std_logic;
reset : in std_logic;
enable: in std_logic;
D : in std_logic;
Q : out std_logic
);
end;
architecture ffd_serie_arq of ffd_serie is
signal aux : std_logic_vector(N downto 0);
begin
aux(0) <= D;
delay_i: for i in 1 to N generate
ffd_delay: entity work.ffd
port map(
clk => clock,
rst => reset,
ena => enable,
d => aux(i-1),
q => aux(i)
);
end generate;
Q <= aux(N);
end;
| gpl-3.0 | 298f5d2c6af08285306e350d507215be | 0.475795 | 3.509709 | false | false | false | false |
jakubcabal/uart-for-fpga | rtl/comp/uart_rx.vhd | 2 | 8,094 | --------------------------------------------------------------------------------
-- PROJECT: SIMPLE UART FOR FPGA
--------------------------------------------------------------------------------
-- AUTHORS: Jakub Cabal <[email protected]>
-- LICENSE: The MIT License, please read LICENSE file
-- WEBSITE: https://github.com/jakubcabal/uart-for-fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity UART_RX is
Generic (
CLK_DIV_VAL : integer := 16;
PARITY_BIT : string := "none" -- type of parity: "none", "even", "odd", "mark", "space"
);
Port (
CLK : in std_logic; -- system clock
RST : in std_logic; -- high active synchronous reset
-- UART INTERFACE
UART_CLK_EN : in std_logic; -- oversampling (16x) UART clock enable
UART_RXD : in std_logic; -- serial receive data
-- USER DATA OUTPUT INTERFACE
DOUT : out std_logic_vector(7 downto 0); -- output data received via UART
DOUT_VLD : out std_logic; -- when DOUT_VLD = 1, output data (DOUT) are valid without errors (is assert only for one clock cycle)
FRAME_ERROR : out std_logic; -- when FRAME_ERROR = 1, stop bit was invalid (is assert only for one clock cycle)
PARITY_ERROR : out std_logic -- when PARITY_ERROR = 1, parity bit was invalid (is assert only for one clock cycle)
);
end entity;
architecture RTL of UART_RX is
signal rx_clk_en : std_logic;
signal rx_data : std_logic_vector(7 downto 0);
signal rx_bit_count : unsigned(2 downto 0);
signal rx_parity_bit : std_logic;
signal rx_parity_error : std_logic;
signal rx_parity_check_en : std_logic;
signal rx_done : std_logic;
signal fsm_idle : std_logic;
signal fsm_databits : std_logic;
signal fsm_stopbit : std_logic;
type state is (idle, startbit, databits, paritybit, stopbit);
signal fsm_pstate : state;
signal fsm_nstate : state;
begin
-- -------------------------------------------------------------------------
-- UART RECEIVER CLOCK DIVIDER AND CLOCK ENABLE FLAG
-- -------------------------------------------------------------------------
rx_clk_divider_i : entity work.UART_CLK_DIV
generic map(
DIV_MAX_VAL => CLK_DIV_VAL,
DIV_MARK_POS => 3
)
port map (
CLK => CLK,
RST => RST,
CLEAR => fsm_idle,
ENABLE => UART_CLK_EN,
DIV_MARK => rx_clk_en
);
-- -------------------------------------------------------------------------
-- UART RECEIVER BIT COUNTER
-- -------------------------------------------------------------------------
uart_rx_bit_counter_p : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
rx_bit_count <= (others => '0');
elsif (rx_clk_en = '1' AND fsm_databits = '1') then
if (rx_bit_count = "111") then
rx_bit_count <= (others => '0');
else
rx_bit_count <= rx_bit_count + 1;
end if;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART RECEIVER DATA SHIFT REGISTER
-- -------------------------------------------------------------------------
uart_rx_data_shift_reg_p : process (CLK)
begin
if (rising_edge(CLK)) then
if (rx_clk_en = '1' AND fsm_databits = '1') then
rx_data <= UART_RXD & rx_data(7 downto 1);
end if;
end if;
end process;
DOUT <= rx_data;
-- -------------------------------------------------------------------------
-- UART RECEIVER PARITY GENERATOR AND CHECK
-- -------------------------------------------------------------------------
uart_rx_parity_g : if (PARITY_BIT /= "none") generate
uart_rx_parity_gen_i: entity work.UART_PARITY
generic map (
DATA_WIDTH => 8,
PARITY_TYPE => PARITY_BIT
)
port map (
DATA_IN => rx_data,
PARITY_OUT => rx_parity_bit
);
uart_rx_parity_check_reg_p : process (CLK)
begin
if (rising_edge(CLK)) then
if (rx_clk_en = '1') then
rx_parity_error <= rx_parity_bit XOR UART_RXD;
end if;
end if;
end process;
end generate;
uart_rx_noparity_g : if (PARITY_BIT = "none") generate
rx_parity_error <= '0';
end generate;
-- -------------------------------------------------------------------------
-- UART RECEIVER OUTPUT REGISTER
-- -------------------------------------------------------------------------
rx_done <= rx_clk_en and fsm_stopbit;
uart_rx_output_reg_p : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
DOUT_VLD <= '0';
FRAME_ERROR <= '0';
PARITY_ERROR <= '0';
else
DOUT_VLD <= rx_done and not rx_parity_error and UART_RXD;
FRAME_ERROR <= rx_done and not UART_RXD;
PARITY_ERROR <= rx_done and rx_parity_error;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART RECEIVER FSM
-- -------------------------------------------------------------------------
-- PRESENT STATE REGISTER
process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
fsm_pstate <= idle;
else
fsm_pstate <= fsm_nstate;
end if;
end if;
end process;
-- NEXT STATE AND OUTPUTS LOGIC
process (fsm_pstate, UART_RXD, rx_clk_en, rx_bit_count)
begin
case fsm_pstate is
when idle =>
fsm_stopbit <= '0';
fsm_databits <= '0';
fsm_idle <= '1';
if (UART_RXD = '0') then
fsm_nstate <= startbit;
else
fsm_nstate <= idle;
end if;
when startbit =>
fsm_stopbit <= '0';
fsm_databits <= '0';
fsm_idle <= '0';
if (rx_clk_en = '1') then
fsm_nstate <= databits;
else
fsm_nstate <= startbit;
end if;
when databits =>
fsm_stopbit <= '0';
fsm_databits <= '1';
fsm_idle <= '0';
if ((rx_clk_en = '1') AND (rx_bit_count = "111")) then
if (PARITY_BIT = "none") then
fsm_nstate <= stopbit;
else
fsm_nstate <= paritybit;
end if ;
else
fsm_nstate <= databits;
end if;
when paritybit =>
fsm_stopbit <= '0';
fsm_databits <= '0';
fsm_idle <= '0';
if (rx_clk_en = '1') then
fsm_nstate <= stopbit;
else
fsm_nstate <= paritybit;
end if;
when stopbit =>
fsm_stopbit <= '1';
fsm_databits <= '0';
fsm_idle <= '0';
if (rx_clk_en = '1') then
fsm_nstate <= idle;
else
fsm_nstate <= stopbit;
end if;
when others =>
fsm_stopbit <= '0';
fsm_databits <= '0';
fsm_idle <= '0';
fsm_nstate <= idle;
end case;
end process;
end architecture;
| mit | 88cc78056722ed8669e6fb48b1927b23 | 0.399432 | 4.66782 | false | false | false | false |
drhodes/jade2hdl | test-data/vhdl-examples/test-gen-make-module.vhdl | 1 | 7,062 | ------------------------------------------------------------------
-- VHDL PRELUDE --------------------------------------------------
-- combinational module -------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity mod_user_And41 IS
port (A : in std_logic; B : in std_logic; C : in std_logic; D : in std_logic; vout : out std_logic);
end mod_user_And41;
architecture struct of mod_user_And41 is
-- node declarations
signal w1, wire_x0620 : std_logic;
begin
-- each submodule is wired up here.
mod_user_AND2_nagPx : entity work.mod_user_AND2 port map (in1 => A, in2 => B, out1 => w1);
mod_user_AND2_LxVDj : entity work.mod_user_AND2 port map (in1 => C, in2 => D, out1 => wire_x0620);
mod_user_AND2_7KpMR : entity work.mod_user_AND2 port map (in1 => w1, in2 => wire_x0620, out1 => vout);
end struct;
-- Combinational testbench. ---------------------------------------
library STD;
use STD.textio.all; -- basic I/O
use STD.env.all;
library IEEE;
use IEEE.std_logic_1164.all; -- basic logic types
use IEEE.std_logic_textio.all; -- I/O for logic types
use ieee.numeric_std.all;
entity /user/And41 is end entity /user/And41;
architecture behaviour of /user/And41 is
A, B, C, D, vout: std_logic;
begin
dut : entity work.mod_user_And41 port map (A => A, B => B, C => C, D => D, vout => vout);
process
begin
-------------------------------------------------------
A <= '1';
B <= '1';
C <= '1';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 1";
report "expecting: vout = '1'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 1: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '1';
C <= '1';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 2";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 2: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '1';
C <= '0';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 3";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 3: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '1';
C <= '0';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 4";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 4: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '0';
C <= '1';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 5";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 5: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '0';
C <= '1';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 6";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 6: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '0';
C <= '0';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 7";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 7: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '0';
C <= '0';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 8";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 8: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '1';
C <= '1';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 9";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 9: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '1';
C <= '1';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 10";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 10: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '1';
C <= '0';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 11";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 11: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '1';
C <= '0';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 12";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 12: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '0';
C <= '1';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 13";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 13: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '0';
C <= '1';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 14";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 14: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '0';
C <= '0';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 15";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 15: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '0';
C <= '0';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report "// This comment is included in vhdl test cases."
report "TestNum 16";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 16: PASSED" & LF);
end if;
wait for 1.0 ns;
finish(0);
end process;
end behavior;
| bsd-3-clause | b77a503651dfb765fac97ba016ae91a3 | 0.451572 | 3.192586 | false | true | false | false |
besm6/micro-besm | tests/2910/vhdl/funct_block_alg_beh/components/mux/mux.vhdl | 1 | 1,975 | --------------------------------------------------------------------------------
--
-- AMD 2910 Benchmark (Functional blocks) (Algorithmic Behaviour of Funct blocks)
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Feb 19, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept17, 92 ZYCAD
--------------------------------------------------------------------------------
--library ZYCAD;
use work.types.all;
use work.MVL7_functions.all;
use work.synthesis_types.all;
entity multiplexer is
port (
R_sel : in MVL7;
D_sel : in MVL7;
uPC_sel : in MVL7;
stack_sel : in MVL7;
OEBAR : in MVL7;
sp : in INTEGER range 0 to 5;
D : in MVL7_VECTOR(11 downto 0);
RE : in MVL7_VECTOR(11 downto 0);
uPC : in MVL7_VECTOR(11 downto 0);
Y : out MVL7_VECTOR(11 downto 0)
);
end multiplexer;
architecture multiplexer of multiplexer is
begin
-------------------------------------------------------------------------------
muxr : block
signal Y_temp : MVL7_VECTOR(11 downto 0);
signal reg_file : MEMORY_12_BIT(5 downto 0) := (
("000000000000"),
("111111111111"),
("000000000000"),
("111111111111"),
("000000000000"),
("111111111111")
);
begin
Y_temp <= RE WHEN R_sel = '1' ELSE
D WHEN D_sel = '1' ELSE
uPC WHEN uPC_sel = '1' ELSE
reg_file(sp) WHEN stack_sel = '1' ELSE
"000000000000";
Y <= Y_temp when OEbar = '0' else
"ZZZZZZZZZZZZ";
end block muxr;
-------------------------------------------------------------------------------
end multiplexer;
| mit | f24ec6040090bb34f7d8bd6e5b47026e | 0.470886 | 4.063786 | false | false | false | false |
Feuerwerk/fpgaNES | cpu.vhd | 1 | 31,980 | /*
This file is part of fpgaNES.
fpgaNES is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation, either version 3 of the License, or
(at your option) any later version.
fpgaNES is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with fpgaNES. If not, see <http://www.gnu.org/licenses/>.
*/
-- The sole purpuse for this component is to decode the opcode to be shown
-- in the simulator
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.common.all;
entity opcode_decode is
port
(
i_opcode : in std_logic_vector(7 downto 0);
o_instruction : out instruction_t;
o_mode : out mode_t
);
end opcode_decode;
architecture behavioral of opcode_decode is
begin
process (i_opcode)
begin
case i_opcode is
when x"a9" =>
o_instruction <= lda;
o_mode <= imm;
when x"a5" =>
o_instruction <= lda;
o_mode <= zpn;
when x"b5" =>
o_instruction <= lda;
o_mode <= zpx;
when x"ad" =>
o_instruction <= lda;
o_mode <= abn;
when x"bd" =>
o_instruction <= lda;
o_mode <= abx;
when x"b9" =>
o_instruction <= lda;
o_mode <= aby;
when x"a1" =>
o_instruction <= lda;
o_mode <= inx;
when x"b1" =>
o_instruction <= lda;
o_mode <= iny;
when x"a2" =>
o_instruction <= ldx;
o_mode <= imm;
when x"a6" =>
o_instruction <= ldx;
o_mode <= zpn;
when x"b6" =>
o_instruction <= ldx;
o_mode <= zpy;
when x"ae" =>
o_instruction <= ldx;
o_mode <= abn;
when x"be" =>
o_instruction <= ldx;
o_mode <= aby;
when x"a0" =>
o_instruction <= ldy;
o_mode <= imm;
when x"a4" =>
o_instruction <= ldy;
o_mode <= zpn;
when x"b4" =>
o_instruction <= ldy;
o_mode <= zpx;
when x"ac" =>
o_instruction <= ldy;
o_mode <= abn;
when x"bc" =>
o_instruction <= ldy;
o_mode <= abx;
when x"69" =>
o_instruction <= adc;
o_mode <= imm;
when x"65" =>
o_instruction <= adc;
o_mode <= zpn;
when x"75" =>
o_instruction <= adc;
o_mode <= zpx;
when x"6d" =>
o_instruction <= adc;
o_mode <= abn;
when x"7d" =>
o_instruction <= adc;
o_mode <= abx;
when x"79" =>
o_instruction <= adc;
o_mode <= aby;
when x"61" =>
o_instruction <= adc;
o_mode <= inx;
when x"71" =>
o_instruction <= adc;
o_mode <= iny;
when x"09" =>
o_instruction <= ora;
o_mode <= imm;
when x"05" =>
o_instruction <= ora;
o_mode <= zpn;
when x"15" =>
o_instruction <= ora;
o_mode <= zpx;
when x"0d" =>
o_instruction <= ora;
o_mode <= abn;
when x"1d" =>
o_instruction <= ora;
o_mode <= abx;
when x"19" =>
o_instruction <= ora;
o_mode <= aby;
when x"01" =>
o_instruction <= ora;
o_mode <= inx;
when x"11" =>
o_instruction <= ora;
o_mode <= iny;
when x"29" =>
o_instruction <= and_i;
o_mode <= imm;
when x"25" =>
o_instruction <= and_i;
o_mode <= zpn;
when x"35" =>
o_instruction <= and_i;
o_mode <= zpx;
when x"2d" =>
o_instruction <= and_i;
o_mode <= abn;
when x"3d" =>
o_instruction <= and_i;
o_mode <= abx;
when x"39" =>
o_instruction <= and_i;
o_mode <= aby;
when x"21" =>
o_instruction <= and_i;
o_mode <= inx;
when x"31" =>
o_instruction <= and_i;
o_mode <= iny;
when x"c9" =>
o_instruction <= cmp;
o_mode <= imm;
when x"c5" =>
o_instruction <= cmp;
o_mode <= zpn;
when x"d5" =>
o_instruction <= cmp;
o_mode <= zpx;
when x"cd" =>
o_instruction <= cmp;
o_mode <= abn;
when x"dd" =>
o_instruction <= cmp;
o_mode <= abx;
when x"d9" =>
o_instruction <= cmp;
o_mode <= aby;
when x"c1" =>
o_instruction <= cmp;
o_mode <= inx;
when x"d1" =>
o_instruction <= cmp;
o_mode <= iny;
when x"85" =>
o_instruction <= sta;
o_mode <= zpn;
when x"95" =>
o_instruction <= sta;
o_mode <= zpx;
when x"8d" =>
o_instruction <= sta;
o_mode <= abn;
when x"9d" =>
o_instruction <= sta;
o_mode <= abx;
when x"99" =>
o_instruction <= sta;
o_mode <= aby;
when x"81" =>
o_instruction <= sta;
o_mode <= inx;
when x"91" =>
o_instruction <= sta;
o_mode <= iny;
when x"49" =>
o_instruction <= eor;
o_mode <= imm;
when x"45" =>
o_instruction <= eor;
o_mode <= zpn;
when x"55" =>
o_instruction <= eor;
o_mode <= zpx;
when x"4d" =>
o_instruction <= eor;
o_mode <= abn;
when x"5d" =>
o_instruction <= eor;
o_mode <= abx;
when x"59" =>
o_instruction <= eor;
o_mode <= aby;
when x"41" =>
o_instruction <= eor;
o_mode <= inx;
when x"51" =>
o_instruction <= eor;
o_mode <= iny;
when x"e0" =>
o_instruction <= cpx;
o_mode <= imm;
when x"e4" =>
o_instruction <= cpx;
o_mode <= zpn;
when x"ec" =>
o_instruction <= cpx;
o_mode <= abn;
when x"c0" =>
o_instruction <= cpy;
o_mode <= imm;
when x"c4" =>
o_instruction <= cpy;
o_mode <= zpn;
when x"cc" =>
o_instruction <= cpy;
o_mode <= abn;
when x"e8" =>
o_instruction <= inx;
o_mode <= imp;
when x"c8" =>
o_instruction <= iny;
o_mode <= imp;
when x"aa" =>
o_instruction <= tax;
o_mode <= imp;
when x"a8" =>
o_instruction <= tay;
o_mode <= imp;
when x"ba" =>
o_instruction <= tsx;
o_mode <= imp;
when x"8a" =>
o_instruction <= txa;
o_mode <= imp;
when x"9a" =>
o_instruction <= txs;
o_mode <= imp;
when x"98" =>
o_instruction <= tya;
o_mode <= imp;
when x"18" =>
o_instruction <= clc;
o_mode <= imp;
when x"d8" =>
o_instruction <= cld;
o_mode <= imp;
when x"58" =>
o_instruction <= cli;
o_mode <= imp;
when x"b8" =>
o_instruction <= clv;
o_mode <= imp;
when x"38" =>
o_instruction <= secr;
o_mode <= imp;
when x"f8" =>
o_instruction <= sed;
o_mode <= imp;
when x"78" =>
o_instruction <= sei;
o_mode <= imp;
when x"20" =>
o_instruction <= jsr;
o_mode <= abn;
when x"60" =>
o_instruction <= rts;
o_mode <= imp;
when x"40" =>
o_instruction <= rti;
o_mode <= imp;
when x"90" =>
o_instruction <= bcc;
o_mode <= rel;
when x"b0" =>
o_instruction <= bcs;
o_mode <= rel;
when x"f0" =>
o_instruction <= beq;
o_mode <= rel;
when x"30" =>
o_instruction <= bmi;
o_mode <= rel;
when x"d0" =>
o_instruction <= bne;
o_mode <= rel;
when x"10" =>
o_instruction <= bpl;
o_mode <= rel;
when x"50" =>
o_instruction <= bvc;
o_mode <= rel;
when x"70" =>
o_instruction <= bvs;
o_mode <= rel;
when x"ea" =>
o_instruction <= nop;
o_mode <= imp;
when x"48" =>
o_instruction <= pha;
o_mode <= imp;
when x"08" =>
o_instruction <= php;
o_mode <= imp;
when x"68" =>
o_instruction <= pla;
o_mode <= imp;
when x"28" =>
o_instruction <= plp;
o_mode <= imp;
when x"86" =>
o_instruction <= stx;
o_mode <= zpn;
when x"96" =>
o_instruction <= stx;
o_mode <= zpy;
when x"8e" =>
o_instruction <= stx;
o_mode <= abn;
when x"84" =>
o_instruction <= sty;
o_mode <= zpn;
when x"94" =>
o_instruction <= sty;
o_mode <= zpx;
when x"8c" =>
o_instruction <= sty;
o_mode <= abn;
when x"2a" =>
o_instruction <= rol_i;
o_mode <= acc;
when x"26" =>
o_instruction <= rol_i;
o_mode <= zpn;
when x"36" =>
o_instruction <= rol_i;
o_mode <= zpx;
when x"2e" =>
o_instruction <= rol_i;
o_mode <= abn;
when x"3e" =>
o_instruction <= rol_i;
o_mode <= abx;
when x"6a" =>
o_instruction <= ror_i;
o_mode <= acc;
when x"66" =>
o_instruction <= ror_i;
o_mode <= zpn;
when x"76" =>
o_instruction <= ror_i;
o_mode <= zpx;
when x"6e" =>
o_instruction <= ror_i;
o_mode <= abn;
when x"7e" =>
o_instruction <= ror_i;
o_mode <= abx;
when x"4a" =>
o_instruction <= lsr;
o_mode <= acc;
when x"46" =>
o_instruction <= lsr;
o_mode <= zpn;
when x"56" =>
o_instruction <= lsr;
o_mode <= zpx;
when x"4e" =>
o_instruction <= lsr;
o_mode <= abn;
when x"5e" =>
o_instruction <= lsr;
o_mode <= abx;
when x"0a" =>
o_instruction <= asl;
o_mode <= acc;
when x"06" =>
o_instruction <= asl;
o_mode <= zpn;
when x"16" =>
o_instruction <= asl;
o_mode <= zpx;
when x"0e" =>
o_instruction <= asl;
o_mode <= abn;
when x"1e" =>
o_instruction <= asl;
o_mode <= abx;
when x"ca" =>
o_instruction <= dex;
o_mode <= imp;
when x"88" =>
o_instruction <= dey;
o_mode <= imp;
when x"4c" =>
o_instruction <= jmp;
o_mode <= abn;
when x"6c" =>
o_instruction <= jmp;
o_mode <= ind;
when x"e6" =>
o_instruction <= inc;
o_mode <= zpn;
when x"f6" =>
o_instruction <= inc;
o_mode <= zpx;
when x"ee" =>
o_instruction <= inc;
o_mode <= abn;
when x"fe" =>
o_instruction <= inc;
o_mode <= abx;
when x"c6" =>
o_instruction <= dec;
o_mode <= zpn;
when x"d6" =>
o_instruction <= dec;
o_mode <= zpx;
when x"ce" =>
o_instruction <= dec;
o_mode <= abn;
when x"de" =>
o_instruction <= dec;
o_mode <= abx;
when x"24" =>
o_instruction <= bit_i;
o_mode <= zpn;
when x"2c" =>
o_instruction <= bit_i;
o_mode <= abn;
when x"00" =>
o_instruction <= brk;
o_mode <= imp;
when x"e9" =>
o_instruction <= sbc;
o_mode <= imm;
when x"e5" =>
o_instruction <= sbc;
o_mode <= zpn;
when x"f5" =>
o_instruction <= sbc;
o_mode <= zpx;
when x"ed" =>
o_instruction <= sbc;
o_mode <= abn;
when x"fd" =>
o_instruction <= sbc;
o_mode <= abx;
when x"f9" =>
o_instruction <= sbc;
o_mode <= aby;
when x"e1" =>
o_instruction <= sbc;
o_mode <= inx;
when x"f1" =>
o_instruction <= sbc;
o_mode <= iny;
when others =>
o_instruction <= unk;
o_mode <= imp;
end case;
end process;
end;
/***************************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.common.all;
entity alu is
port
(
i_op : in alu_op_t;
i_a : in std_logic_vector(7 downto 0);
i_b : in std_logic_vector(7 downto 0);
i_c : in std_logic;
o_q : out std_logic_vector(8 downto 0)
);
end alu;
architecture behavioral of alu is
signal s_sum_n : std_logic_vector(8 downto 0);
signal s_sum_c : std_logic_vector(8 downto 0);
signal s_diff_n : std_logic_vector(8 downto 0);
signal s_diff_c : std_logic_vector(8 downto 0);
begin
process (all)
begin
case i_op is
when psa =>
o_q <= '0' & i_a;
when add =>
o_q <= s_sum_n;
when adc =>
o_q <= s_sum_c;
when sub =>
o_q <= not s_diff_n(8) & s_diff_n(7 downto 0);
when sbc =>
o_q <= not s_diff_c(8) & s_diff_c(7 downto 0);
when ada =>
o_q <= '0' & (i_a and i_b);
when ora =>
o_q <= '0' & (i_a or i_b);
when eor =>
o_q <= '0' & (i_a xor i_b);
when rla =>
o_q <= i_a & i_c;
when rra =>
o_q <= i_a(0) & i_c & i_a(7 downto 1);
when lsr =>
o_q <= i_a(0) & '0' & i_a(7 downto 1);
when asl =>
o_q <= i_a(7 downto 0) & '0';
when others =>
o_q <= 9x"00";
end case;
end process;
s_sum_n <= ('0' & i_a) + ('0' & i_b);
s_sum_c <= s_sum_n + (7x"00" & i_c);
s_diff_n <= ('0' & i_a) - ('0' & i_b);
s_diff_c <= s_diff_n - (7x"00" & not i_c);
end;
/***************************************************************/
-- the main component for the 6502 CPU. It doesn't support decimal computation
-- and only the official opcodes. It can be thought as a cylinder music box where
-- the cpu component is the cam with the tone bells an the sequencer component is
-- the cylinder with raised pins to play the bells.
-- inspired by https://github.com/chenxiao07/vhdl-nes
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.common.all;
entity cpu is
port
(
i_clk : in std_logic;
i_clk_enable : in std_logic := '1';
i_ready : in std_logic := '1';
i_reset_n : in std_logic := '1';
i_int_n : in std_logic := '1';
i_nmi_n : in std_logic := '1';
i_mem_q : in std_logic_vector(7 downto 0) := x"00";
o_mem_addr : out std_logic_vector(15 downto 0);
o_mem_data : out std_logic_vector(7 downto 0);
o_mem_write_enable : out std_logic
);
end cpu;
architecture behavioral of cpu is
function ze(a: std_logic_vector) return std_logic is
variable tmp: std_logic := '0';
begin
for i in a'range loop
tmp := tmp or a(i);
end loop;
return not tmp;
end;
component opcode_decode is
port
(
i_opcode : in std_logic_vector(7 downto 0);
o_instruction : out instruction_t;
o_mode : out mode_t
);
end component;
component alu is
port
(
i_op : in alu_op_t;
i_a : in std_logic_vector(7 downto 0);
i_b : in std_logic_vector(7 downto 0);
i_c : in std_logic;
o_q : out std_logic_vector(8 downto 0)
);
end component;
component sequencer is
port
(
i_opcode : in std_logic_vector(7 downto 0);
i_cycle : in std_logic_vector(2 downto 0);
o_ctrl_op : out ctrl_op_t;
o_pc_op : out pc_op_t;
o_in_op : out in_op_t;
o_out_op : out out_op_t;
o_reg_op : out reg_op_t;
o_flags_op : out flags_op_t;
o_alu_op : out alu_op_t;
o_addr_op : out addr_op_t;
o_alu_a_op : out alu_inp_t;
o_alu_b_op : out alu_inp_t;
o_branch_at_cycle_1 : out boolean;
o_branch_at_cycle_2 : out boolean
);
end component;
constant BRK_OPCODE : std_logic_vector(7 downto 0) := x"00";
type opcode_override_t is (nop, rst, int, nmi);
type interrupt_t is array (0 to 1) of opcode_override_t;
signal s_mem_addr : std_logic_vector(15 downto 0);
signal s_mem_data : std_logic_vector(7 downto 0);
signal s_mem_write_enable : std_logic;
signal s_cycle : std_logic_vector(2 downto 0) := "000";
signal s_pc : std_logic_vector(15 downto 0);
signal s_pc_reg : std_logic_vector(15 downto 0) := x"00FF";
signal s_pc_inc : std_logic_vector(15 downto 0);
signal s_instruction : instruction_t;
signal s_mode : mode_t;
signal s_value : std_logic_vector(7 downto 0) := x"00";
signal s_opcode : std_logic_vector(7 downto 0);
signal s_current_opcode : std_logic_vector(7 downto 0) := x"00";
signal s_fetch : boolean;
signal s_fetch_d : boolean := false;
signal s_areg : std_logic_vector(7 downto 0) := x"00";
signal s_xreg : std_logic_vector(7 downto 0) := x"00";
signal s_yreg : std_logic_vector(7 downto 0) := x"00";
signal s_sreg : std_logic_vector(7 downto 0) := x"00";
signal s_flags_reg : flags_t := ( others => '0' );
signal s_flags : flags_t;
signal s_alu_a : std_logic_vector(7 downto 0);
signal s_alu_b : std_logic_vector(7 downto 0);
signal s_alu_q : std_logic_vector(8 downto 0);
signal s_alu_q_d : std_logic_vector(8 downto 0) := 9x"00";
signal s_addr : std_logic_vector(15 downto 0) := x"0000";
signal s_overflow : std_logic;
signal s_alu_carry_input : std_logic_vector(7 downto 0);
signal s_extended_add : std_logic;
signal s_perform_branching : std_logic;
signal s_skip_cycle : boolean;
signal s_pc_op : pc_op_t;
signal s_in_op : in_op_t;
signal s_out_op : out_op_t;
signal s_reg_op : reg_op_t;
signal s_flags_op : flags_op_t;
signal s_alu_op : alu_op_t;
signal s_addr_op : addr_op_t;
signal s_ctrl_op : ctrl_op_t;
signal s_alu_a_op : alu_inp_t;
signal s_alu_b_op : alu_inp_t;
signal s_branch_at_cycle_1 : boolean;
signal s_branch_at_cycle_2 : boolean;
signal s_int_active : boolean;
signal s_nmi_active : boolean;
signal s_nmi_present : boolean := false;
signal s_nmi_last : std_logic := '1';
signal s_nmi_trigger : std_logic;
signal s_int_trigger : std_logic;
signal s_clk_enable : std_logic;
signal s_ready_d : std_logic := '1';
signal s_sync_edge : std_logic;
signal s_interrupt_present : opcode_override_t := nop;
signal s_opcode_override : opcode_override_t := nop;
signal s_next_opcode_override : opcode_override_t;
signal s_next_interrupt : opcode_override_t;
signal s_interrupt : interrupt_t := (others => nop);
signal s_mem_q : std_logic_vector(7 downto 0);
signal s_mem_q_d : std_logic_vector(7 downto 0) := x"00";
signal s_break_addr : std_logic_vector(7 downto 0);
signal s_enable_b : std_logic;
signal s_extended_add_required : boolean := false;
signal s_test_irq : boolean;
signal s_persist_irq : boolean;
alias s_alu_res : std_logic_vector(7 downto 0) is s_alu_q(7 downto 0);
alias s_alu_c : std_logic is s_alu_q(8);
begin
opcdec : opcode_decode port map
(
i_opcode => s_opcode,
o_instruction => s_instruction,
o_mode => s_mode
);
alunit : alu port map
(
i_op => s_alu_op,
i_a => s_alu_a,
i_b => s_alu_b,
i_c => s_flags.c,
o_q => s_alu_q
);
seq : sequencer port map
(
i_opcode => s_opcode,
i_cycle => s_cycle,
o_pc_op => s_pc_op,
o_in_op => s_in_op,
o_out_op => s_out_op,
o_reg_op => s_reg_op,
o_flags_op => s_flags_op,
o_alu_op => s_alu_op,
o_addr_op => s_addr_op,
o_ctrl_op => s_ctrl_op,
o_alu_a_op => s_alu_a_op,
o_alu_b_op => s_alu_b_op,
o_branch_at_cycle_1 => s_branch_at_cycle_1,
o_branch_at_cycle_2 => s_branch_at_cycle_2
);
-- Clock Divider & Internal Clock
s_clk_enable <= i_clk_enable and i_ready;
-- Memory-Access
-- Last read memory value is stored if ready-signal drop to 0 and is available until ready returns to 1
process (i_clk)
begin
if rising_edge(i_clk) then
if i_reset_n = '0' then
s_mem_q_d <= x"00";
elsif (i_clk_enable = '1') and (s_ready_d = '1') then
s_mem_q_d <= i_mem_q;
end if;
end if;
end process;
s_mem_q <= i_mem_q when s_ready_d = '1' else s_mem_q_d;
-- Ready-Signal
process (i_clk)
begin
if rising_edge(i_clk) then
if i_reset_n = '0' then
s_ready_d <= '1';
elsif i_clk_enable = '1' then
s_ready_d <= i_ready;
end if;
end if;
end process;
-- Detection and Shifting
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_fetch_d <= false;
else
s_fetch_d <= s_fetch;
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_alu_q_d <= (others => '0');
else
s_alu_q_d <= s_alu_q;
end if;
end if;
end if;
end process;
-- INT and NMI
s_next_interrupt <= nmi when s_nmi_active
else int when s_int_active
else nop;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_interrupt <= (others => nop);
else
s_interrupt(1) <= s_interrupt(0);
s_interrupt(0) <= s_next_interrupt;
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_opcode_override <= rst;
elsif s_fetch then
s_opcode_override <= s_next_opcode_override;
end if;
end if;
end if;
end process;
s_next_opcode_override <= s_interrupt_present when s_interrupt_present /= nop
else s_interrupt(1) when s_test_irq
else s_opcode_override;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_interrupt_present <= nop;
elsif s_fetch then
s_interrupt_present <= nop;
elsif s_persist_irq and not s_test_irq then
s_interrupt_present <= s_interrupt(1);
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_reset_n = '0' then
s_nmi_present <= false;
s_nmi_last <= '1';
elsif s_clk_enable = '1' then
if (s_opcode_override = nmi) then
s_nmi_present <= false;
end if;
if s_nmi_trigger = '1' then
s_nmi_present <= true;
end if;
s_nmi_last <= i_nmi_n;
end if;
end if;
end process;
s_int_active <= s_int_trigger = '1';
s_int_trigger <= i_int_n nor s_flags.i;
s_nmi_active <= (s_nmi_trigger = '1') or s_nmi_present;
s_nmi_trigger <= s_nmi_last and not i_nmi_n;
-- Cycle
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_cycle <= "000";
else
if s_fetch then
s_cycle <= "000";
elsif s_skip_cycle then
s_cycle <= s_cycle + "010";
else
s_cycle <= s_cycle + "001";
end if;
end if;
end if;
end if;
end process;
-- Program-Counter
with s_pc_op select s_pc <=
s_mem_q & s_alu_res when daq,
s_pc_reg when others;
s_pc_inc <= s_pc + x"0001";
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_pc_reg <= (others => '0');
elsif s_fetch then
if s_next_opcode_override /= nop then
s_pc_reg <= s_pc;
else
s_pc_reg <= s_pc_inc;
end if;
else
case s_pc_op is
when inc | daq =>
s_pc_reg <= s_pc_inc;
when enb =>
if s_enable_b = '1' then
s_pc_reg <= s_pc_inc;
else
s_pc_reg <= s_pc;
end if;
when pla =>
s_pc_reg(7 downto 0) <= s_alu_res;
when pha =>
s_pc_reg(15 downto 8) <= s_alu_res;
when others =>
s_pc_reg <= s_pc;
end case;
end if;
end if;
end if;
end process;
-- Opcode
process (i_clk, s_clk_enable)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_current_opcode <= x"00";
elsif s_fetch_d then
s_current_opcode <= s_opcode;
end if;
end if;
end if;
end process;
-- Input
process (i_clk, s_clk_enable)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_value <= x"00";
else
case s_in_op is
when ena =>
s_value <= s_mem_q;
when alq =>
s_value <= s_alu_res;
when ald =>
s_value <= s_alu_q_d(7 downto 0);
when fff =>
s_value <= x"ff";
when others =>
end case;
end if;
end if;
end if;
end process;
-- Ouput
with s_out_op select s_mem_data <=
s_mem_q when din,
s_alu_res when ena,
s_pc(7 downto 0) when pcl,
s_pc(15 downto 8) when pch,
s_areg when arg,
s_xreg when xrg,
s_yreg when yrg,
s_flags.n & s_flags.v & '1' & s_enable_b & s_flags.d & s_flags.i & s_flags.z & s_flags.c when flg,
x"--" when others;
-- Registers
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_areg <= (others => '0');
s_xreg <= (others => '0');
s_yreg <= (others => '0');
s_sreg <= (others => '0');
else
case s_reg_op is
when arg =>
s_areg <= s_alu_res;
when xrg =>
s_xreg <= s_alu_res;
when yrg =>
s_yreg <= s_alu_res;
when srg =>
s_sreg <= s_alu_res;
when others =>
end case;
end if;
end if;
end if;
end process;
-- I Flag
process (all)
begin
case s_flags_op is
when din =>
s_flags.i <= s_mem_q(I_FLAG);
when others =>
s_flags.i <= s_flags_reg.i;
end case;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_flags_reg.i <= '0';
else
case s_flags_op is
when sei =>
s_flags_reg.i <= '1';
when cli =>
s_flags_reg.i <= '0';
when others =>
s_flags_reg.i <= s_flags.i;
end case;
end if;
end if;
end if;
end process;
-- Z Flag
process (all)
begin
case s_flags_op is
when din =>
s_flags.z <= s_mem_q(Z_FLAG);
when nzv =>
s_flags.z <= ze(s_alu_res);
when others =>
s_flags.z <= s_flags_reg.z;
end case;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_flags_reg.z <= '0';
else
case s_flags_op is
when nz | nzc | nvzc =>
s_flags_reg.z <= ze(s_alu_res);
when others =>
s_flags_reg.z <= s_flags.z;
end case;
end if;
end if;
end if;
end process;
-- N Flag
process (all)
begin
case s_flags_op is
when din =>
s_flags.n <= s_mem_q(N_FLAG);
when nzv =>
s_flags.n <= s_mem_q(7);
when others =>
s_flags.n <= s_flags_reg.n;
end case;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_flags_reg.n <= '0';
else
case s_flags_op is
when nz | nzc | nvzc =>
s_flags_reg.n <= s_alu_res(7);
when others =>
s_flags_reg.n <= s_flags.n;
end case;
end if;
end if;
end if;
end process;
-- V Flag
process (all)
begin
case s_flags_op is
when din =>
s_flags.v <= s_mem_q(V_FLAG);
when nzv =>
s_flags.v <= s_mem_q(6);
when others =>
s_flags.v <= s_flags_reg.v;
end case;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_flags_reg.v <= '0';
else
case s_flags_op is
when nvzc =>
s_flags_reg.v <= s_overflow;
when clv =>
s_flags_reg.v <= '0';
when others =>
s_flags_reg.v <= s_flags.v;
end case;
end if;
end if;
end if;
end process;
-- C Flag
process (all)
begin
case s_flags_op is
when din =>
s_flags.c <= s_mem_q(C_FLAG);
when others =>
s_flags.c <= s_flags_reg.c;
end case;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_flags_reg.c <= '0';
else
case s_flags_op is
when stc =>
s_flags_reg.c <= '1';
when clc =>
s_flags_reg.c <= '0';
when nzc | nvzc =>
s_flags_reg.c <= s_alu_c;
when others =>
s_flags_reg.c <= s_flags.c;
end case;
end if;
end if;
end if;
end process;
-- D Flag
process (all)
begin
case s_flags_op is
when din =>
s_flags.d <= s_mem_q(D_FLAG);
when others =>
s_flags.d <= s_flags_reg.d;
end case;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_flags_reg.d <= '0';
else
case s_flags_op is
when sed =>
s_flags_reg.d <= '1';
when cld =>
s_flags_reg.d <= '0';
when others =>
s_flags_reg.d <= s_flags.d;
end case;
end if;
end if;
end if;
end process;
-- ALU A Register
with s_alu_a_op select s_alu_a <=
s_value when val,
s_mem_q when din,
s_alu_q_d(7 downto 0) when alq,
s_areg when arg,
s_xreg when xrg,
s_yreg when yrg,
s_sreg when srg,
s_pc_reg(7 downto 0) when pcl,
s_pc_reg(15 downto 8) when pch,
s_alu_carry_input when aci,
7x"0" & s_alu_q_d(8) when auc,
x"01" when one,
s_break_addr when brk,
x"--" when others;
-- ALU B Register
with s_alu_b_op select s_alu_b <=
s_value when val,
s_mem_q when din,
s_alu_q_d(7 downto 0) when alq,
s_areg when arg,
s_xreg when xrg,
s_yreg when yrg,
s_sreg when srg,
s_pc_reg(7 downto 0) when pcl,
s_pc_reg(15 downto 8) when pch,
s_alu_carry_input when aci,
7x"0" & s_alu_q_d(8) when auc,
x"01" when one,
s_break_addr when brk,
x"--" when others;
-- Addr
with s_addr_op select s_mem_addr <=
s_pc when nop,
x"00" & s_alu_res when zaq,
x"01" & s_alu_res when oaq,
x"01" & s_alu_q_d(7 downto 0) when oad,
x"00" & s_value when zvl,
s_value & s_alu_res when vaq,
s_mem_q & s_alu_res when daq,
s_alu_res & s_alu_q_d(7 downto 0) when aqd,
s_alu_q_d(7 downto 0) & s_value when adv,
x"----" when others;
-- Branching
with s_ctrl_op select s_perform_branching <= -- is 0 when branch condition is false but branching is requested
not s_flags.c when bcc,
s_flags.c when bcs,
not s_flags.z when bne,
s_flags.z when beq,
not s_flags.n when bpl,
s_flags.n when bmi,
not s_flags.v when bvc,
s_flags.v when bvs,
'1' when others;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_clk_enable = '1' then
if i_reset_n = '0' then
s_extended_add_required <= false;
else
s_extended_add_required <= (s_extended_add = '1');
end if;
end if;
end if;
end process;
-- current opcode which has to be executed
s_opcode <= BRK_OPCODE when s_opcode_override /= nop
else s_mem_q when s_fetch_d
else s_current_opcode;
with s_opcode_override select s_break_addr <=
x"fc" when rst,
x"fa" when nmi,
x"fe" when others;
s_mem_write_enable <= '0' when s_opcode_override = rst
else '0' when s_out_op = nop
else '1';
-- is 1 when a signed overflow occured
s_overflow <= (s_alu_a(7) xnor s_alu_b(7)) and (s_alu_a(7) xor s_alu_res(7)) when (s_alu_op = add) or (s_alu_op = adc)
else (s_alu_a(7) xor s_alu_b(7)) and (s_alu_a(7) xor s_alu_res(7)) when (s_alu_op = sub) or (s_alu_op = sbc)
else '0';
-- is 1 when the MSB of a 16-bit addition changed and an additional cycle is needed
s_extended_add <= (s_alu_b(7) xnor s_alu_res(7)) and (s_alu_b(7) xor s_alu_a(7));
-- input parameter for the ALU when carry for a 16-bit value is needed (e.g. program counter)
s_alu_carry_input <= s_alu_q_d(7) & s_alu_q_d(7) & s_alu_q_d(7) & s_alu_q_d(7) & s_alu_q_d(7) & s_alu_q_d(7) & s_alu_q_d(7) & '1';
-- is 1 when opcode fetching is active in the next cycle
s_fetch <= s_test_irq or (s_branch_at_cycle_2 and not s_extended_add_required);
-- is 1 when test for incoming INT/NMI should be done
s_test_irq <= (s_ctrl_op = don) or (s_perform_branching = '0');
-- is 1 when state of IRQ should be remembered for later evaluation
s_persist_irq <= s_branch_at_cycle_1;
-- controls in B-Flag is set
s_enable_b <= '1' when s_opcode_override = nop else '0';
-- is 1 when a cycle should be skipped (and address calculation stays within page)
s_skip_cycle <= (s_ctrl_op = alc) and (s_alu_c = '0');
o_mem_addr <= s_mem_addr;
o_mem_data <= s_mem_data;
o_mem_write_enable <= s_mem_write_enable;
end;
| gpl-3.0 | 8d3754424770b7a1b14bad25c94ab964 | 0.53546 | 2.569707 | false | false | false | false |
MartinCura/SistDig-TP4 | src/VGActrl.vhd | 1 | 4,770 | --------------------------------------------------------------------------
-- Modulo: Controlador VGA
-- Descripción:
-- Autor: Sistemas Digitales (66.17)
-- Universidad de Buenos Aires - Facultad de Ingeniería
-- www.campus.fi.uba.ar
-- Fecha: 16/04/13
--------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity vga_ctrl is
port (
mclk: in std_logic;
red_i: in std_logic;
grn_i: in std_logic;
blu_i: in std_logic;
hs: out std_logic;
vs: out std_logic;
red_o: out std_logic_vector(2 downto 0);
grn_o: out std_logic_vector(2 downto 0);
blu_o: out std_logic_vector(1 downto 0);
pixel_row: out std_logic_vector(9 downto 0);
pixel_col: out std_logic_vector(9 downto 0)
);
end vga_ctrl;
architecture vga_ctrl_arq of vga_ctrl is
-- Numero de pixeles en una linea horizontal (800)
constant hpixels: unsigned(9 downto 0) := "1100100000";
-- Numero de lineas horizontales en el display (521)
constant vlines: unsigned(9 downto 0) := "1000001001";
constant hbp: unsigned(9 downto 0) := "0010010000"; -- Back porch horizontal (144)
constant hfp: unsigned(9 downto 0) := "1100010000"; -- Front porch horizontal (784)
constant vbp: unsigned(9 downto 0) := "0000011111"; -- Back porch vertical (31)
constant vfp: unsigned(9 downto 0) := "0111111111"; -- Front porch vertical (511)
-- Contadores (horizontal y vertical)
signal hc, vc: unsigned(9 downto 0) := (others => '0');
-- Flag para obtener una habilitación cada dos ciclos de clock
signal clkdiv_flag: std_logic := '0';
-- Señal para habilitar la visualización de datos
signal vidon: std_logic := '0';
-- Señal para habilitar el contador vertical
signal vsenable: std_logic := '0';
begin
-- División de la frecuencia del reloj
process(mclk)
begin
if rising_edge(mclk) then
clkdiv_flag <= not clkdiv_flag;
end if;
end process;
-- Contador horizontal
process(mclk)
begin
if rising_edge(mclk) then
if clkdiv_flag = '1' then
if hc = hpixels then
hc <= (others => '0'); -- El cont horiz se resetea cuando alcanza la cuenta máxima de pixeles
vsenable <= '1'; -- Habilitación del cont vert
else
hc <= hc + 1; -- Incremento del cont horiz
vsenable <= '0'; -- El cont vert se mantiene deshabilitado
end if;
end if;
end if;
end process;
-- Contador vertical
process(mclk)
begin
if rising_edge(mclk) then
if clkdiv_flag = '1' then -- Flag que habilita la operación una vez cada dos ciclos (25 MHz)
if vsenable = '1' then -- Cuando el cont horiz llega al máximo de su cuenta habilita al cont vert
if vc = vlines then
vc <= (others => '0'); -- El cont vert se resetea cuando alcanza la cantidad máxima de lineas
else
vc <= vc + 1; -- Incremento del cont vert
end if;
end if;
end if;
end if;
end process;
-- hs <= '1' when (hc(9 downto 7) = "000") else '0';
-- vs <= '1' when (vc(9 downto 1) = "000000000") else '0';
hs <= '1' when (hc < "0001100001") else '0'; -- Generación de la señal de sincronismo horizontal
vs <= '1' when (vc < "0000000011") else '0'; -- Generación de la señal de sincronismo vertical
-- Original (pre modif):
---pixel_col <= std_logic_vector(hc - 144) when (vidon = '1') else std_logic_vector(hc);
---pixel_row <= std_logic_vector(vc - 31) when (vidon = '1') else std_logic_vector(vc);
-- Modificación:
-- Resto 1 para que cuente desde fila 0 y columna 0 (si no empieza en 1); y que cuando no escribe se quede en 0.
pixel_col <= std_logic_vector(hc - 144 - 1) when (vidon = '1') else (others => '0');
pixel_row <= std_logic_vector(vc - 31 - 1) when (vidon = '1') else (others => '0');
-- Habilitación de la salida de datos por el display cuando se encuentra entre los porches
vidon <= '1' when (((hc < hfp) and (hc > hbp)) and ((vc < vfp) and (vc > vbp))) else '0';
-- Pinta la pantalla del color formado por la combinación de las entradas red_i, grn_i y blu_i (switches)
red_o <= (others => '1') when (red_i = '1' and vidon = '1') else (others => '0');
grn_o <= (others => '1') when (grn_i = '1' and vidon = '1') else (others => '0');
blu_o <= (others => '1') when (blu_i = '1' and vidon = '1') else (others => '0');
end vga_ctrl_arq;
--- Opcional: Agregar un modo arcoiris, donde va cambiando el color de la salida
| gpl-3.0 | 596f0564425a4ca9ff4d87551dae9614 | 0.577563 | 3.506273 | false | false | false | false |
besm6/micro-besm | tests/2910/vhdl/MVL7_functions.vhd | 1 | 12,780 | --***************************************************************************
-- VHDL BIT_VECTOR Operations for MVL7 type
--
-- Copyright (c) 1990 UCI CADLAB, Dept. of ICS
-- Author : Sanjiv Narayan ([email protected])
--
-- Updated 7/8/91 by Sanjiv and Frank
--
-- updated by Champaka Ramachandran 16/10/92
--
--***************************************************************************
use work.TYPES.all;
package MVL7_functions is
function SHL( v2 : MVL7_VECTOR ; fill : MVL7 ) return MVL7_VECTOR;
function SHL0( v2 : MVL7_VECTOR ; dist : INTEGER ) return MVL7_VECTOR;
function SHL1( v2 : MVL7_VECTOR ; dist : INTEGER ) return MVL7_VECTOR;
function SHR( v2 : MVL7_VECTOR ; fill : MVL7 ) return MVL7_VECTOR;
function SHR0( v2 : MVL7_VECTOR ; dist : INTEGER ) return MVL7_VECTOR;
function SHR1( v2 : MVL7_VECTOR ; dist : INTEGER ) return MVL7_VECTOR;
function ROTR( v2 : MVL7_VECTOR ; dist : INTEGER ) return MVL7_VECTOR;
function ROTL( v2 : MVL7_VECTOR ; dist : INTEGER ) return MVL7_VECTOR;
function I2B( Number : integer ; len : integer ) return MVL7_VECTOR;
function B2I( v2 : MVL7_VECTOR ) return integer;
function COMP( v2 : MVL7_VECTOR ) return MVL7_VECTOR;
function TWOs_COMP( v2 : MVL7_VECTOR ) return MVL7_VECTOR;
function ODD_PARITY( v1 : MVL7_VECTOR ) return MVL7;
function EVEN_PARITY( v1 : MVL7_VECTOR ) return MVL7;
function REVERSE( v2 : MVL7_VECTOR ) return MVL7_VECTOR;
function SUM( v2 : MVL7_VECTOR ) return integer;
function PAD( v : MVL7_VECTOR ; width : integer ) return MVL7_VECTOR;
function DEC( x : MVL7_VECTOR ) return MVL7_VECTOR;
function INC( x : MVL7_VECTOR ) return MVL7_VECTOR;
function CARRY_ADD( x1 : MVL7_VECTOR ; x2 : MVL7_VECTOR ) return MVL7_VECTOR;
function "+" ( x1 : MVL7_VECTOR ; x2 : MVL7_VECTOR ) return MVL7_VECTOR;
function "-"( x1 : MVL7_VECTOR ; x2 : MVL7_VECTOR ) return MVL7_VECTOR;
function "*" ( x1 : MVL7_VECTOR ; x2 : MVL7_VECTOR ) return MVL7_VECTOR;
-- truth table for "WiredOr" function
constant tbl_WIREDOR: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', '1', 'X', 'X', 'L', 'H'), -- | X |
('X', '0', '1', '0', '0', 'L', 'H'), -- | 0 |
('1', '1', '1', '1', '1', '1', '1'), -- | 1 |
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- | Z |
('X', '0', '1', 'W', 'W', 'W', 'W'), -- | W |
('L', 'L', '1', 'L', 'W', 'L', 'W'), -- | L |
('H', 'H', '1', 'H', 'W', 'W', 'H')); -- | H |
-- function WiredOr (V: MVL7_VECTOR) return MVL7;
end;
package body MVL7_functions is
--***************************************************************************
function SHL( v2 : MVL7_VECTOR ; fill : MVL7 ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (v2'high downto v2'low);
variable shift_val: MVL7_VECTOR (v1'high downto v1'low);
variable I: integer;
begin
v1 := v2;
for I in v1'high downto (v1'low + 1) loop
shift_val(I) := v1(I - 1);
end loop ;
shift_val(v1'low) := fill;
return shift_val;
end;
--***************************************************************************
function SHL0( v2 : MVL7_VECTOR ; dist : INTEGER ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (v2'high downto v2'low);
variable I: INTEGER;
begin
v1 := v2;
for I in 1 to dist loop
v1 := SHL(v1,'0');
end loop ;
return v1;
end;
--***************************************************************************
function SHL1( v2 : MVL7_VECTOR ; dist : INTEGER ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (v2'high downto v2'low);
variable I: INTEGER;
begin
v1 := v2;
for I in 1 to dist loop
v1 := SHL(v1,'1');
end loop ;
return v1;
end;
--***************************************************************************
function SHR( v2 : MVL7_VECTOR ; fill : MVL7 ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (v2'high downto v2'low);
variable shift_val: MVL7_VECTOR (v1'high downto v1'low);
begin
v1 := v2;
for I in v1'low to (v1'high - 1) loop
shift_val(I) := v1(I + 1);
end loop ;
shift_val(v1'high) := fill;
return shift_val;
end;
--***************************************************************************
function SHR0( v2 : MVL7_VECTOR ; dist : INTEGER ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (v2'high downto v2'low);
variable I: INTEGER;
begin
v1 := v2;
for I in 1 to dist loop
v1 := SHR(v1,'0');
end loop ;
return v1;
end;
--***************************************************************************
function SHR1( v2 : MVL7_VECTOR ; dist : INTEGER ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (v2'high downto v2'low);
variable I: INTEGER;
begin
v1 := v2;
for I in 1 to dist loop
v1 := SHR(v1,'1');
end loop ;
return v1;
end;
--***************************************************************************
function ROTR( v2 : MVL7_VECTOR ; dist : INTEGER ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (v2'high downto v2'low);
variable I: INTEGER;
begin
v1 := v2;
for i in 1 to dist loop
v1 := SHR(v1,v1(v1'low));
end loop ;
return v1;
end;
--***************************************************************************
function ROTL( v2 : MVL7_VECTOR ; dist : INTEGER ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (v2'high downto v2'low);
variable I: INTEGER;
begin
v1 := v2;
for i in 1 to dist loop
v1 := SHL(v1,v1(v1'high));
end loop ;
return v1;
end;
--***************************************************************************
function I2B( Number : integer ; len : integer ) return MVL7_VECTOR is
variable temp: MVL7_VECTOR (len - 1 downto 0);
variable NUM: integer:=0;
variable QUOTIENT: integer:=0;
begin
QUOTIENT := Number;
for I in 0 to len - 1 loop
NUM := 0;
while QUOTIENT > 1 loop
QUOTIENT := QUOTIENT - 2;
NUM := NUM + 1;
end loop ;
case QUOTIENT is
when 1 =>
temp(I) := '1';
when 0 =>
temp(I) := '0';
when others =>
null;
end case;
QUOTIENT := NUM;
end loop ;
return temp;
end;
--***************************************************************************
function B2I( v2 : MVL7_VECTOR ) return integer is
variable v1: MVL7_VECTOR (v2'high downto v2'low);
variable SUM: integer:=0;
begin
v1 := v2;
for N in v1'low to v1'high loop
if v1(N) = '1' then
SUM := SUM + (2 ** (N - v1'low));
end if;
end loop ;
return SUM;
end;
--***************************************************************************
function COMP( v2 : MVL7_VECTOR ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (v2'high downto v2'low);
variable temp: MVL7_VECTOR (v1'high downto v1'low);
variable I: INTEGER;
begin
v1 := v2;
for I in v1'low to v1'high loop
if v1(I) = '0' then
temp(i) := '1';
else
temp(i) := '0';
end if;
end loop ;
return temp;
end;
--***************************************************************************
function TWOs_COMP( v2 : MVL7_VECTOR ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (v2'high downto v2'low);
variable temp: MVL7_VECTOR (v1'high downto v1'low);
begin
v1 := v2;
temp := comp(v1);
temp := INC(temp);
return temp;
end;
--***************************************************************************
function "-" ( x1 : MVL7_VECTOR ; x2 : MVL7_VECTOR ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (x1'high - x1'low downto 0);
variable v2: MVL7_VECTOR (x2'high - x2'low downto 0);
variable SUM: MVL7_VECTOR (v1'high downto v1'low);
begin
v1 := x1;
v2 := x2;
assert v1'length = v2'length
report "MVL7 vector -: operands of unequal lengths" severity FAILURE;
SUM := I2B(B2I(v1) - B2I(v2),SUM'length);
return (SUM);
end;
--***************************************************************************
function DEC( x : MVL7_VECTOR ) return MVL7_VECTOR is
variable v: MVL7_VECTOR (x'high downto x'low);
begin
v := x;
return I2B(B2I(v) - 1,v'length);
end;
--***************************************************************************
function CARRY_ADD( x1: MVL7_VECTOR ; x2: MVL7_VECTOR ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (x1'high - x1'low downto 0);
variable v2: MVL7_VECTOR (x2'high - x2'low downto 0);
variable SUM: MVL7_VECTOR (x1'high - x1'low + 1 downto 0);
-- + 1 is for carry
begin
v1 := x1;
v2 := x2;
assert v1'length = v2'length
report "MVL7vector carry add:operands of unequal lengths"
severity FAILURE;
SUM := I2B(B2I(v1) + B2I(v2),SUM'length);
return (SUM);
end;
--***************************************************************************
function "+" ( x1 : MVL7_VECTOR ; x2 : MVL7_VECTOR ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (x1'high - x1'low downto 0);
variable v2: MVL7_VECTOR (x2'high - x2'low downto 0);
variable SUM: MVL7_VECTOR (v1'high downto v1'low);
begin
v1 := x1;
v2 := x2;
assert v1'length = v2'length
report "MVL7 vector +: operands of unequal lengths" severity FAILURE;
SUM := I2B(B2I(v1) + B2I(v2),SUM'length);
return (SUM);
end;
--***************************************************************************
function INC( x : MVL7_VECTOR ) return MVL7_VECTOR is
variable v: MVL7_VECTOR (x'high downto x'low);
begin
v := x;
return I2B(B2I(v) + 1,v'length);
end;
--***************************************************************************
function ODD_PARITY( v1 : MVL7_VECTOR ) return MVL7 is
begin
if ((SUM(v1) mod 2) = 1) then
return '0';
else
return '1';
end if;
end;
--***************************************************************************
function EVEN_PARITY( v1 : MVL7_VECTOR ) return MVL7 is
begin
if ((SUM(v1) mod 2) = 1) then
return '1';
else
return '0';
end if;
end;
--***************************************************************************
function REVERSE( v2 : MVL7_VECTOR ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (v2'high downto v2'low);
variable temp: MVL7_VECTOR (v1'high downto v1'low);
begin
v1 := v2;
for I in v1'high downto v1'low loop
temp(I) := v1(v1'high - I + v1'low);
end loop ;
return temp;
end;
--***************************************************************************
function SUM( v2 : MVL7_VECTOR ) return integer is
variable v1: MVL7_VECTOR (v2'high downto v2'low);
variable count: integer:=0;
begin
v1 := v2;
for I in v1'high downto v1'low loop
if (v1(I) = '1') then
count := count + 1;
end if;
end loop ;
return count;
end;
--***************************************************************************
function PAD( v : MVL7_VECTOR ; width : integer ) return MVL7_VECTOR is
begin
return I2B(B2I(v),width);
end;
--***************************************************************************
function "*" ( x1 : MVL7_VECTOR ; x2 : MVL7_VECTOR ) return MVL7_VECTOR is
variable v1: MVL7_VECTOR (x1'high - x1'low downto 0);
variable v2: MVL7_VECTOR (x2'high - x2'low downto 0);
variable PROD: MVL7_VECTOR (v1'high downto v1'low);
begin
v1 := x1;
v2 := x2;
assert v1'length = v2'length
report "MVL7 vector MUL: operands of unequal lengths" severity FAILURE;
PROD := I2B(B2I(v1) * B2I(v2),PROD'length);
return (PROD);
end;
--***************************************************************************
--function WiredOr (V: MVL7_VECTOR) return MVL7 is
-- variable result: MVL7;
--begin
-- result := 'Z';
-- for i in V'range loop
-- result := tbl_WIREDOr(result, V(i));
-- exit when result = '1';
-- end loop;
-- return result;
--end WiredOr;
--***************************************************************************
end;
| mit | 1eec81a2a74e1487082caa9252ccbd0c | 0.462128 | 3.52649 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/fir_picoblaze/top.vhdl | 1 | 13,127 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 02:04:07 12/09/2018
-- Design Name:
-- Module Name: top - structural
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use ieee.std_logic_unsigned.all;
use work.fir_filter_shared_package.all;
use work.ssg_display_shared_package.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top is
port (clk : in std_logic;
rst : in std_logic;
out_seg_p : out SEG_T;
out_dp_p : out std_logic;
out_digits_en_p : out DIGITS_EN_T
);
end top;
architecture structural of top is
--
-------------------------------------------------------------------------------------------
-- Components
-------------------------------------------------------------------------------------------
--
component fir_generic_transposed_filter is
port (
-- Clock and asynchronous reset
clk : in std_logic;
rst : in std_logic;
-- Handshaking interface as sink
valid_x_in : in std_logic; -- Valid input sample when acting as sink
ready_x_out : out std_logic; -- Ready for input samples when acting as sink
valid_h_in : in std_logic; -- Valid coefficient input when acting as sink
ready_h_out : out std_logic; -- Ready for coefficients when acting as sink
-- Handshaking interface as source
valid_y_out : out std_logic; -- Valid output when acting as source
ready_y_in : in std_logic; -- Ready input when acting as source
-- Input samples & coefficients and Output samples
x_data_in : in signed(X_BIT_SIZE-1 downto 0); -- Input samples
h_data_in : in signed(H_BIT_SIZE-1 downto 0); -- Coefficients of filter
y_data_out : out signed(Y_BIT_SIZE-1 downto 0) -- Output samples
);
end component fir_generic_transposed_filter;
--
-- Seven Segment Display Component
--
component ssg_display is
port (clk : in std_logic;
rst : in std_logic;
in_bcds_p : in std_logic_vector(15 downto 0);-- Four BCD numbers that can be displayed by the four 7-segment digits
in_dps_p : in DP_T; -- enable/disable input signals for the decimal point of a digit
out_seg_p : out SEG_T; -- enable output signals for seven led segments(cathode) of currently refreshed digit
out_dp_p : out std_logic; -- enable ouput signal for the decimal point of currently refreshed digit
out_digits_en_p : out DIGITS_EN_T -- enable signals for K=4 digits. only one digit out of K=4 digits is enabled for refresh duration
);
end component ssg_display;
--
-- Declaration of the KCPSM6 component including default values for generics.
--
component kcpsm6
generic( hwbuild : std_logic_vector(7 downto 0) := X"00";
interrupt_vector : std_logic_vector(11 downto 0) := X"3FF";
scratch_pad_memory_size : integer := 64);
port ( address : out std_logic_vector(11 downto 0);
instruction : in std_logic_vector(17 downto 0);
bram_enable : out std_logic;
in_port : in std_logic_vector(7 downto 0);
out_port : out std_logic_vector(7 downto 0);
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
k_write_strobe : out std_logic;
read_strobe : out std_logic;
interrupt : in std_logic;
interrupt_ack : out std_logic;
sleep : in std_logic;
reset : in std_logic;
clk : in std_logic);
end component kcpsm6;
--
-- Program ROM
--
component fir_filter_picoblaze_program is
generic( C_FAMILY : string := "S6";
C_RAM_SIZE_KWORDS : integer := 1;
C_JTAG_LOADER_ENABLE : integer := 0);
Port ( address : in std_logic_vector(11 downto 0);
instruction : out std_logic_vector(17 downto 0);
enable : in std_logic;
rdl : out std_logic;
clk : in std_logic);
end component fir_filter_picoblaze_program;
--
-- Signals for connecting FIR filter and KCPSM6
--
-- signals when pico(source) and fpga(sink)
signal en_h_valid : std_logic := '0'; -- in port 00
signal en_h_data : std_logic := '0'; -- in port 01
signal en_x_valid : std_logic := '0'; -- in port 02
signal en_x_data : std_logic := '0'; -- in port 03
signal en_y_ready : std_logic := '0'; -- in port 04
signal h_data_reg : signed(H_BIT_SIZE-1 downto 0) := (others => '0');
signal x_data_reg : signed(X_BIT_SIZE-1 downto 0) := (others => '0');
signal valid_h_reg : std_logic := '0';
signal valid_x_reg : std_logic := '0';
signal ready_y_reg : std_logic := '0';
-- signals when pico(sink) and fpga(source)
signal h_ready : std_logic := '0';
signal x_ready : std_logic := '0';
signal y_valid : std_logic := '0';
signal y_data : signed(Y_BIT_SIZE-1 downto 0) := (others => '0');
signal hybrid_write_strobe: std_logic := '0'; -- write strobe for hybrid ports
--
-- Signals for connection of ssg_display and KCPSM6.
--
signal bcds_sig : std_logic_vector(15 downto 0);-- Four BCD numbers that can be displayed by the four 7-segment digits
signal segs_sig : SEG_T; -- enable output signals for seven led segments(cathode) of currently refreshed digit
signal dp_sig : std_logic; -- enable ouput signal for the decimal point of currently refreshed digit
signal digits_en_sig : DIGITS_EN_T; -- enable signals for K=4 digits. only one digit out of K=4 digits is enabled for refresh duration
signal dps_sig : DP_T;
--
-- Signals for connection of KCPSM6 and Program Memory.
--
signal address : std_logic_vector(11 downto 0);
signal instruction : std_logic_vector(17 downto 0);
signal bram_enable : std_logic;
signal in_port : std_logic_vector(7 downto 0);
signal out_port : std_logic_vector(7 downto 0);
signal port_id : std_logic_vector(7 downto 0);
signal write_strobe : std_logic;
signal k_write_strobe : std_logic;
signal read_strobe : std_logic;
signal interrupt : std_logic;
signal interrupt_ack : std_logic;
signal kcpsm6_sleep : std_logic;
signal kcpsm6_reset : std_logic;
--
-- Some additional signals are required if your system also needs to reset KCPSM6.
--
signal rdl : std_logic;
--
-- When interrupt is to be used then the recommended circuit included below requires
-- the following signal to represent the request made from your system.
--
signal int_request : std_logic;
begin
--
-- Components Instances
--
fir: fir_generic_transposed_filter
port map( clk => clk,
rst => rst,
ready_x_out => x_ready,
valid_h_in => valid_h_reg,
valid_x_in => valid_x_reg,
ready_h_out => h_ready,
valid_y_out => y_valid,
ready_y_in => ready_y_reg,
x_data_in => x_data_reg,
h_data_in => h_data_reg,
y_data_out => y_data );
processor: kcpsm6
generic map ( hwbuild => X"00",
interrupt_vector => X"3FF",
scratch_pad_memory_size => 64)
port map( address => address,
instruction => instruction,
bram_enable => bram_enable,
port_id => port_id,
write_strobe => write_strobe,
k_write_strobe => k_write_strobe,
out_port => out_port,
read_strobe => read_strobe,
in_port => in_port,
interrupt => interrupt,
interrupt_ack => interrupt_ack,
sleep => kcpsm6_sleep,
reset => kcpsm6_reset,
clk => clk);
program_rom: fir_filter_picoblaze_program --Name to match your PSM file
generic map( C_FAMILY => "S6", --Family 'S6', 'V6' or '7S'
C_RAM_SIZE_KWORDS => 1, --Program size '1', '2' or '4'
C_JTAG_LOADER_ENABLE => 1) --Include JTAG Loader when set to '1'
port map( address => address,
instruction => instruction,
enable => bram_enable,
rdl => rdl,
clk => clk);
dps_sig <= std_logic_vector(y_data(Y_BIT_SIZE-1 downto 16));
ssd: ssg_display
port map ( clk => clk,
rst => rst,
in_bcds_p => bcds_sig,
in_dps_p => dps_sig,
out_seg_p => segs_sig,
out_dp_p => dp_sig,
out_digits_en_p => digits_en_sig
);
--
-- In many designs (especially your first) interrupt and sleep are not used.
-- Tie these inputs Low until you need them. Tying 'interrupt' to 'interrupt_ack'
-- preserves both signals for future use and avoids a warning message.
--
kcpsm6_sleep <= '0';
interrupt <= interrupt_ack;
kcpsm6_reset <= rst or rdl;
-- enable signals for hybrid output ports
hybrid_write_strobe <= write_strobe or k_write_strobe;
en_h_valid <= port_id(0) and hybrid_write_strobe;
en_x_valid <= port_id(2) and hybrid_write_strobe;
en_y_ready <= port_id(4) and hybrid_write_strobe;
-- enable signals for normal output ports
en_x_data <= port_id(3) and write_strobe;
en_h_data <= port_id(1) and write_strobe;
mux_in_ports: process( clk )
begin
if( clk'event and clk='1') then
if( rst = '1' ) then
in_port(3 downto 0) <= (others => '0');
else
case port_id(2 downto 0) is
when "000" => in_port(0) <= h_ready;
in_port(7 downto 1) <= (others => '0');
when "001" => in_port(0) <= x_ready;
in_port(7 downto 1) <= (others => '0');
when "010" => in_port(1) <= y_valid;
in_port(7 downto 2) <= (others => '0');
in_port(0) <= '0';
-- Port 05 IN_Y_PORT_0
when "011" => in_port <= std_logic_vector(y_data(7 downto 0));
-- Port 04 IN_Y_PORT_1
when "100" => in_port <= std_logic_vector(y_data(15 downto 8));
-- Port 05 IN_Y_PORT_2
when "101" => in_port(3 downto 0) <= std_logic_vector(y_data(Y_BIT_SIZE-1 downto 16));
in_port(7 downto 4) <= (others => '0');
when others => in_port <= (others => '-');
end case;
end if;
end if;
end process mux_in_ports;
decode_out_ports: process(clk)
begin
if( clk'event and clk='1') then
if( rst = '1') then
h_data_reg <= (others => '0');
valid_h_reg <= '0';
valid_x_reg <= '0';
ready_y_reg <= '0';
x_data_reg <= (others => '0');
else
case port_id(2 downto 0) is
when "000" => if( en_h_valid ='1' ) then
valid_h_reg <= out_port(1);
end if;
when "001" => if( en_h_data = '1' ) then
h_data_reg <= signed(out_port);
end if;
when "010" => if( en_x_valid = '1' ) then
valid_x_reg <= out_port(1);
end if;
when "011" => if( en_x_data = '1' ) then
x_data_reg <= signed(out_port);
end if;
when "100" => if( en_y_ready = '1' ) then
ready_y_reg <= out_port(0);
end if;
when others => valid_h_reg <= valid_h_reg;
h_data_reg <= h_data_reg;
valid_x_reg <= valid_x_reg;
x_data_reg <= x_data_reg;
ready_y_reg <= ready_y_reg;
end case;
end if;
end if;
end process decode_out_ports;
bcds_sig <= std_logic_vector(y_data(15 downto 0));
out_seg_p <= segs_sig;
out_dp_p <= dp_sig;
out_digits_en_p <= digits_en_sig;
end structural; | mit | 5e26d14c0814189a7318b536e23c9644 | 0.522968 | 3.408725 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/iir/bq/filter_coeff_controller.vhd | 1 | 1,221 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use work.filter_shared_package.all;
entity filter_coeff_controller is
generic
(
MAC_FILTER_CH : natural := MC; -- MAC operations per channel for Main filter operation
RMS_CH_EN : natural := RMS; -- Enable flag for RMS function. 0-disabled 1- enabled.
MEAN_CH_EN : natural := MEAN; -- Enable flag for MEAN function. 0-disabled 1- enabled.
CHANNELS : natural := C
);
port
(
cnt_mac : in std_logic_vector(natural(ceil(log2(real(MAC_FILTER_CH+RMS_CH_EN+MEAN_CH_EN))))-1 downto 0);
cnt_ch : in std_logic_vector(natural(ceil(log2(real(CHANNELS))))-1 downto 0);
valid : in std_logic;
coeff_rdaddr : out COEFF_ADD_T;
coeff_rden : out std_logic
);
end filter_coeff_controller;
architecture behaviour of filter_coeff_controller is
begin
-- read interface
coeff_rdaddr <= std_logic_vector(resize(unsigned(cnt_mac) * to_unsigned(CHANNELS,cnt_ch'length), coeff_rdaddr'length) + unsigned(cnt_ch));
coeff_rden <= valid;
end behaviour;
| mit | 54c1be57dfb26317734a3f7b2765edb5 | 0.611794 | 3.549419 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/iir/bq/filter_mac_datapath.vhdl | 1 | 8,535 | -- Library Clause(s) (optional)
-- Use Clause(s) (optional)
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.filter_shared_package.all;
entity filter_mac_datapath is
generic
(
-- Multiplier and Adder Pipeline Lengths
FPMULT_PIPE_LENGTH : P_T := PM;
FPADD_PIPE_LENGTH : P_T := PA;
PRECISION : natural := PREC
);
port
(
-- Input ports
clk, rst : in std_logic;
X : in DATA_IO_PORT_T;
Y : in DATA_IO_PORT_T;
A : in DATA_IO_PORT_T;
-- Output ports
R : out DATA_IO_PORT_T
);
end filter_mac_datapath;
architecture filter_mac_datapath_arch of filter_mac_datapath is
signal tmp_r_s, mult2add_reg_s : DATA_IO_PORT_T;
begin
MAC_FPMULT_6: if FPMULT_PIPE_LENGTH = 6 generate
FPMULT_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE : entity work.double_fpmult_d6
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
FPMULT_SGL:if PRECISION=SINGLE_EXT generate
SINGLE : entity work.single_fpmult_d6
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
end generate;
MAC_FPMULT_7: if FPMULT_PIPE_LENGTH = 7 generate
FPMULT_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE : entity work.double_fpmult_d7
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
FPMULT_SGL:if PRECISION=SINGLE_EXT generate
SINGLE : entity work.single_fpmult_d7
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
end generate;
MAC_FPMULT_8: if FPMULT_PIPE_LENGTH = 8 generate
FPMULT_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE : entity work.double_fpmult_d8
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
FPMULT_SGL:if PRECISION=SINGLE_EXT generate
SINGLE : entity work.single_fpmult_d8
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
end generate;
MAC_FPMULT_9: if FPMULT_PIPE_LENGTH = 9 generate
FPMULT_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE : entity work.double_fpmult_d9
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
FPMULT_SGL:if PRECISION=SINGLE_EXT generate
SINGLE : entity work.single_fpmult_d9
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
end generate;
MAC_FPMULT_10: if FPMULT_PIPE_LENGTH = 10 generate
FPMULT_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE : entity work.double_fpmult_d10
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
FPMULT_SGL:if PRECISION=SINGLE_EXT generate
SINGLE : entity work.single_fpmult_d10
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
end generate;
MAC_FPMULT_11: if FPMULT_PIPE_LENGTH = 11 generate
FPMULT_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE : entity work.double_fpmult_d11
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
FPMULT_SGL:if PRECISION=SINGLE_EXT generate
SINGLE : entity work.single_fpmult_d11
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
end generate;
-- Register between FP Multiplier and FP Adder
FPMULT2FPADD_MEM : process(clk, rst) is
-- Declaration(s)
begin
if(rst = '0') then
-- Asynchronous Sequential Statement(s)
mult2add_reg_s <= (others => '0');
elsif(rising_edge(clk)) then
-- Synchronous Sequential Statement(s)
mult2add_reg_s <= tmp_r_s;
end if;
end process FPMULT2FPADD_MEM;
MAC_FPADD_6: if FPADD_PIPE_LENGTH = 6 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d6
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d6
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
MAC_FPADD_7: if FPADD_PIPE_LENGTH = 7 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d7
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d7
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
MAC_FPADD_8: if FPADD_PIPE_LENGTH = 8 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d8
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d8
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
MAC_FPADD_9: if FPADD_PIPE_LENGTH = 9 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d9
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d9
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
MAC_FPADD_10: if FPADD_PIPE_LENGTH = 10 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d10
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d10
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
MAC_FPADD_11: if FPADD_PIPE_LENGTH = 11 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d11
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d11
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
MAC_FPADD_12: if FPADD_PIPE_LENGTH = 12 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d12
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d12
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
end filter_mac_datapath_arch; | mit | 4faf517f3001f663943f1abc46299461 | 0.496309 | 3.865489 | false | false | false | false |
Feuerwerk/fpgaNES | common.vhd | 1 | 2,808 | /*
This file is part of fpgaNES.
fpgaNES is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation, either version 3 of the License, or
(at your option) any later version.
fpgaNES is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with fpgaNES. If not, see <http://www.gnu.org/licenses/>.
*/
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
package common is
type flags_t is record
n : std_logic;
v : std_logic;
d : std_logic;
i : std_logic;
z : std_logic;
c : std_logic;
end record;
type mode_t is (imp, imm, acc, zpn, zpx, zpy, abn, abx, aby, inx, iny, ind, rel);
type instruction_t is (unk, nop, lda, ldx, ldy, tax, tay, txa, tya, tsx, txs, clc, cli, clv, cld, secr, sed, sei, adc, sbc, inc, inx, iny, dec, dex, dey, cpx, cpy, bcc, bcs, beq, bne, bmi, bpl, bvc, bvs, jsr, rts, rti, ora, and_i, eor, cmp, sta, stx, sty, pha, php, pla, plp, rol_i, ror_i, lsr, asl, jmp, bit_i, brk);
type pc_op_t is (nop, inc, split, pla, pha, daq, enb);
type in_op_t is (nop, ena, alq, ald, fff);
type out_op_t is (nop, ena, din, pch, pcl, arg, xrg, yrg, flg);
type reg_op_t is (nop, arg, xrg, yrg, srg);
type alu_op_t is (psa, add, adc, sub, sbc, ada, ora, eor, rla, rra, lsr, asl);
type addr_op_t is (nop, zaq, daq, aqd, div, oaq, oad, zvl, adv, vaq);
type ctrl_op_t is (nop, alc, bcc, bcs, beq, bne, bmi, bpl, bvc, bvs, don);
type flags_op_t is (nop, din, nz, nzc, nzv, nvzc, clc, cli, clv, cld, stc, sed, sei);
type alu_inp_t is (din, val, arg, xrg, yrg, srg, one, pcl, pch, aci, alq, auc, brk);
type video_mode_t is (ntsc, pal);
-- Constants
constant N_FLAG : integer := 7;
constant V_FLAG : integer := 6;
constant D_FLAG : integer := 3;
constant I_FLAG : integer := 2;
constant Z_FLAG : integer := 1;
constant C_FLAG : integer := 0;
-- Functions
function to_std_logic_vector(a: flags_t; b: std_logic) return std_logic_vector;
function reverse_vector(a: in std_logic_vector) return std_logic_vector;
end common;
package body common is
function to_std_logic_vector(a: flags_t; b: std_logic) return std_logic_vector is
begin
return a.n & a.v & '1' & b & a.d & a.i & a.z & a.c;
end;
function reverse_vector(a: in std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(a'range);
alias aa: std_logic_vector(a'reverse_range) is a;
begin
for i in aa'range loop
result(i) := aa(i);
end loop;
return result;
end;
end package body;
| gpl-3.0 | 1bfd31ecd43f40b3afe634d188f06e1d | 0.671652 | 2.619403 | false | false | false | false |
MartinCura/SistDig-TP4 | old/testers/cordic_tb.vhd | 1 | 1,145 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.cordic_lib.all;
library floatfixlib;
use floatfixlib.float_pkg.all;
entity cordic_tb is
generic(
N_BITS_COORD : integer := 32 --- REVISAR
);
end;
architecture cordic_tb_arq of cordic_tb is
signal clk_i : std_logic := '1';
signal ang : t_float := CERO;
signal x, y, z : t_coordenada := CERO;
signal vec_i, vec_o : t_vec;
begin
clk_i <= not clk_i after 5 ns;
ang <= PI_PF/4 after 49 ns, PI_PF/2 after 100 ns, 3*PI_PF/4 after 150 ns, PI_PF after 200 ns, 3*PI_PF/2 after 250 ns, -PI_PF/2 after 300 ns, 2*PI_PF after 350 ns, to_float(0.5) after 400 ns;
x <= to_float(1);
y <= to_float(2);
z <= to_float(3);
vec_i(1) <= x;
vec_i(2) <= y;
process(vec_i, ang)
begin
vec_o <= cordic(vec_i, ang);
end process;
process(clk_i, vec_o)
begin
if rising_edge(clk_i) then
report "pos x: " & integer'image(to_integer(to_signed(vec_o(1)*(1000),32)))
& " pos y: " & integer'image(to_integer(to_signed(vec_o(2)*(1000),32)))
severity note;
end if;
end process;
end;
| gpl-3.0 | 436351c07a134031c62993a61aea1cd9 | 0.60524 | 2.483731 | false | false | false | false |
drhodes/jade2hdl | test-data/vhdl/AND23/AND23.vhdl | 1 | 2,467 | library ieee;
use ieee.std_logic_1164.all;
ENTITY AND2 IS
PORT (in1 : IN std_logic;
in2 : IN std_logic;
out1 : OUT std_logic) ;
END ENTITY AND2 ;
ARCHITECTURE Behavioral OF AND2 IS
BEGIN
out1 <= in1 AND in2 ;
END ARCHITECTURE Behavioral ;
------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity AND23 IS
port ( a : in std_logic;
b : in std_logic;
c : in std_logic;
d : in std_logic;
output : out std_logic);
end AND23;
architecture struct of AND23 is
-- each node goes here.
signal w1, w2 : std_logic;
-- each submodule is wired up here.
begin
u1 : entity work.AND2 port map (in1 => a, in2 => b, out1 => w1);
u2 : entity work.AND2 port map (in1 => c, in2 => d, out1 => w2);
u3 : entity work.AND2 port map (in1 => w1, in2 => w2, out1 => output);
end struct;
------------------------------------------------------------------
-- This will probably be a combinational test.
library STD;
use STD.textio.all; -- basic I/O
use STD.env.all;
library IEEE;
use IEEE.std_logic_1164.all; -- basic logic types
use IEEE.std_logic_textio.all; -- I/O for logic types
use ieee.numeric_std.all;
entity AND23_TB is end entity AND23_TB;
architecture behaviour of AND23_TB is
signal a, b, c, d, result : std_logic;
begin
dut : entity work.AND23 port map (a => a, b => b, c => c, d => d, output => result);
process
begin
------------------------------------------------------------------
a <= '0'; b <= '0'; c <= '0'; d <= '0';
wait for 99.0 ns;
if result /= '0' then
report "TestNum 1";
report "expecting: result = 0";
report "got : result = " & to_string(result);
stop(-1);
else
write(OUTPUT, "TEST 1: PASSED" & LF);
end if;
wait for 1 ns;
------------------------------------------------------------------
-- testnum <= testnum + 1;
-- a <= '1'; b <= '1'; c <= '1'; d <= '1';
-- wait for 99 ns;
-- if result = '1' then
-- write(OUTPUT, "TESTNUM : " & integer'image(testnum) & LF);
-- report "expecting: result = 1";
-- report "got : result = " & to_string(result);
-- stop(-1);
-- else
-- write(OUTPUT, "TEST " & integer'image(testnum) & ": PASSED" & LF);
-- end if;
-- wait for 1 ns;
finish(0);
end process;
end behaviour;
| bsd-3-clause | dd47e687defb198d80a7661e887a5a25 | 0.497365 | 3.431154 | false | true | false | false |
MartinCura/SistDig-TP4 | src/tp4.vhd | 1 | 22,667 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--library floatfixlib;
--use floatfixlib.float_pkg.all;
entity tp4 is
port (
clk_i : in std_logic; -- Clock general
data_i : in std_logic; -- Entrada de datos (UART)
-- Controles:
write_rst_i : in std_logic;
read_rst_i : in std_logic;
speed : in std_logic_vector(1 downto 0);
rot_switches_i : in std_logic_vector(5 downto 0);
-- Salidas
hs, vs: out std_logic; -- Output para el display VGA
red_o: out std_logic_vector(2 downto 0);
grn_o: out std_logic_vector(2 downto 0);
blu_o: out std_logic_vector(1 downto 0);
a,b,c,d,e,f,g,dp: out std_logic; -- Segmentos del display de 7 segmentos
-- Para controlar la memoria externa:
clock_out : out STD_LOGIC;
ADDRESS : out STD_LOGIC_VECTOR (22 downto 0);
ADV : out STD_LOGIC;
CRE : out STD_LOGIC;
CE : out STD_LOGIC;
OE : out STD_LOGIC;
WE : out STD_LOGIC;
LB : out STD_LOGIC;
UB : out STD_LOGIC;
DATA : inout STD_LOGIC_VECTOR (15 downto 0)
);
attribute loc: string;
--attribute CLOCK_DEDICATED_ROUTE: string;
--attribute CLOCK_DEDICATED_ROUTE of rst_i: signal is "false";
-- Mapeo de pines para el kit Nexys 2 (spartan 3E)
-- https://reference.digilentinc.com/_media/nexys:nexys2:nexys2_rm.pdf
attribute loc of clk_i: signal is "B8";
attribute loc of data_i: signal is "L15"; -- Pin físico de entrada de datos
-- VGA
attribute loc of hs: signal is "T4";
attribute loc of vs: signal is "U3";
attribute loc of red_o: signal is "R8 T8 R9";
attribute loc of grn_o: signal is "P6 P8 N8";
attribute loc of blu_o: signal is "U4 U5";
-- Switches
-- attribute loc of rot_on: signal is "R17"; -- Prender rotación constante
-- attribute loc of rot_vel: signal is "N17"; -- Velocidad lenta o rápida
-- attribute loc of rot_x_on: signal is "L13"; -- Rotación en x
-- attribute loc of rot_y_on: signal is "K17"; -- Rotación en y
-- attribute loc of rot_z_on: signal is "H18"; -- Rotación en z
attribute loc of speed: signal is "R17 N17";
attribute loc of rot_switches_i: signal is "L13 L14 K17 K18 H18 G18";
---attribute loc of rot_x_ng: signal is "L14"; -- Rotación negativa en x
---attribute loc of rot_y_ng: signal is "K18"; -- Rotación negativa en y
---attribute loc of rot_z_ng: signal is "G18"; -- Rotación negativa en z
-- Botones
--attribute loc of rst_i: signal is "B18"; -- Botón para resetear todo
attribute loc of write_rst_i: signal is "H13";
attribute loc of read_rst_i: signal is "E18";
--attribute loc of inc_alfa: signal is "H13";
--attribute loc of inc_beta: signal is "E18";
--attribute loc of inc_gama: signal is "D18";
-- attribute loc of rst_angs_i: signal is "B18"; -- Reseteo a posición inicial
-- Segmentos del display (por ahora simplemente se apagan)
attribute loc of a: signal is "L18";
attribute loc of b: signal is "F18";
attribute loc of c: signal is "D17";
attribute loc of d: signal is "D16";
attribute loc of e: signal is "G14";
attribute loc of f: signal is "J17";
attribute loc of g: signal is "H14";
attribute loc of dp: signal is "C17";
-- External RAM
attribute loc of clock_out : signal is "H5";
attribute loc of ADDRESS : signal is "K6 D1 K3 D2 C1 C2 E2 M5 E1 F2 G4 G5 G6 G3 F1 H6 H3 J5 H2 H1 H4 J2 J1";
attribute loc of ADV : signal is "J4";
attribute loc of CRE : signal is "P7";
attribute loc of CE : signal is "R6";
attribute loc of OE : signal is "T2";
attribute loc of WE : signal is "N7";
attribute loc of LB : signal is "K5";
attribute loc of UB : signal is "K4";
attribute loc of DATA : signal is "T1 R3 N4 L2 M6 M3 L5 L3 R2 P2 P1 N5 M4 L6 L4 L1";
end;
architecture tp4_arq of tp4 is
constant Nangle : natural := 16;
constant Nxy : natural := 16;
constant Nits : natural := Nxy-2;
constant N_bits_row : natural := 6;
constant N_bits_col : natural := N_bits_row;
constant N_ROWS : natural := 2**N_bits_row;
constant N_COLS : natural := 2**N_bits_col;
constant CENTER_ROW : natural := N_ROWS/2;
constant CENTER_COL : natural := N_COLS/2;
constant TOP_MARGIN : natural := 200;
constant LEFT_MARGIN : natural := 300;
constant memo_size : integer := N_rows * N_cols;
constant N_ROWS_VEC : std_logic_vector(N_bits_row-1 downto 0) := std_logic_vector(to_unsigned(N_ROWS, N_bits_row));
constant N_COLS_VEC : std_logic_vector(N_bits_col-1 downto 0) := std_logic_vector(to_unsigned(N_COLS, N_bits_col));
-- Video RAM
signal A_row : std_logic_vector(N_bits_row-1 downto 0) := (others => '0');
signal B_row : std_logic_vector(N_bits_row-1 downto 0) := (others => '0');
signal A_col : std_logic_vector(N_bits_col-1 downto 0) := (others => '0');
signal B_col : std_logic_vector(N_bits_col-1 downto 0) := (others => '0');
signal A_row_aux : std_logic_vector(N_bits_row-1 downto 0) := (others => '0');
signal A_col_aux : std_logic_vector(N_bits_col-1 downto 0) := (others => '0');
signal data_A : std_logic := '0';
signal data_B : std_logic := '0';
signal video_write_enable : std_logic := '0';
-- Limpieza de video RAM
signal row_counter : std_logic_vector(N_bits_row-1 downto 0) := (others => '0');
signal col_counter : std_logic_vector(N_bits_col-1 downto 0) := (others => '0');
-- VGA
signal pixel_row : std_logic_vector(10-1 downto 0) := (others => '0');
signal pixel_col : std_logic_vector(10-1 downto 0) := (others => '0');
signal enable_vga : std_logic := '0';
signal enable_vga_delay : std_logic := '0';
signal vga_pixel_in : std_logic := '0';
signal vga_start : std_logic := '0';
signal vga_stop : std_logic := '0';
-- RAM externa
signal data_out_ram : std_logic_vector(15 downto 0) := (others => '0');
signal data_in_ram : std_logic_vector(15 downto 0) := (others => '0');
signal address_in_ram : std_logic_vector(22 downto 0) := (others => '0');
signal go_in_ram : std_logic := '0';
signal write_in_ram : std_logic := '0';
signal busy_ram : std_logic := '0';
signal RxRdy_ram : std_logic := '0';
signal extRam_reset : std_logic := '0';
-- global control
signal delta_angle: std_logic_vector(Nangle-1 downto 0) := (others => '0');
signal alfa: std_logic_vector(Nangle-1 downto 0) := (others => '0');
signal beta: std_logic_vector(Nangle-1 downto 0) := (others => '0');
signal gama: std_logic_vector(Nangle-1 downto 0) := (others => '0');
signal clear_enable: std_logic := '0';
signal clear_stop: std_logic := '0';
signal clear_reset: std_logic := '0';
signal read_start: std_logic := '0';
signal read_stop: std_logic := '0';
signal read_stop_delay: std_logic := '0';
signal read_reset_out: std_logic := '0';
signal write_reset_out: std_logic := '0';
-- UART
constant Divisor : std_logic_vector := "000000011011"; -- Para 115200 baudios divido por 27
signal sig_Din : std_logic_vector(7 downto 0) := (others => '0');
signal uart_Dout : std_logic_vector(7 downto 0) := (others => '0');
signal sig_RxErr : std_logic := '0';
signal uart_RxRdy : std_logic := '0';
signal sig_TxBusy : std_logic := '0';
signal sig_StartTx : std_logic := '0';
signal rx, tx : std_logic := '0';
-- ExtRam Loader
signal loader_RxRdy : std_logic := '0';
signal loader_RxRdy_delay : std_logic := '0';
-- pos loader
signal reset_xyz : std_logic := '0';
signal enable_xyz : std_logic := '0';
signal RxRdy_xyz : std_logic := '0';
signal x0 : std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal y0 : std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal z0 : std_logic_vector(Nxy-1 downto 0) := (others => '0');
-- Rotador de posiciones
signal x : std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal y : std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal z : std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal rotator_RxRdy : std_logic := '0';
signal x_screen : std_logic_vector((Nxy+N_bits_col)-1 downto 0) := (others => '0');
signal y_screen : std_logic_vector((Nxy+N_bits_row)-1 downto 0) := (others => '0');
signal x_screen_aux : std_logic_vector((Nxy+N_bits_col)-1 downto 0) := (others => '0');
signal y_screen_aux : std_logic_vector((Nxy+N_bits_row)-1 downto 0) := (others => '0');
-- Interconexiones
signal reset_read_extram_counter : std_logic := '0';
signal write_address_ram_counter : std_logic_vector(22 downto 0) := (others => '0');
signal read_address_ram_counter : std_logic_vector(22 downto 0) := (others => '0');
signal read_ram_ctrl : std_logic := '0';
signal pixel_row_aux : std_logic_vector(N_bits_row-1 downto 0) := (others => '0');
signal pixel_col_aux : std_logic_vector(N_bits_col-1 downto 0) := (others => '0');
signal button_down : std_logic := '0';
begin
---button_down <= ( (rot_switches_i(5) XOR rot_switches_i(4)) OR
--- (rot_switches_i(3) XOR rot_switches_i(2)) OR
--- (rot_switches_i(1) XOR rot_switches_i(0)) OR
--- write_rst_i OR
--- read_rst_i );
--button_down <= ( (not (rot_switches_i = (rot_switches_i'range => '0')))
-- write_rst_i OR
-- read_rst_i );
-- Si estoy apretando algún botón o algún switch está prendido
button_down <= (rot_switches_i(5) or rot_switches_i(4) or rot_switches_i(3) or
rot_switches_i(2) or rot_switches_i(1) or rot_switches_i(0) or
write_rst_i or read_rst_i);
control_global: entity work.global_ctrl
generic map(Nangle)
port map(
clock => clk_i,
write_rst_i => write_rst_i,
read_rst_i => read_rst_i,
sw_x_pos => rot_switches_i(0),
sw_x_neg => rot_switches_i(1),
sw_y_pos => rot_switches_i(2),
sw_y_neg => rot_switches_i(3),
sw_z_pos => rot_switches_i(4),
sw_z_neg => rot_switches_i(5),
delta_angle => delta_angle,
alfa => alfa,
beta => beta,
gama => gama,
clear_reset => clear_reset,
clear_enable => clear_enable,
clear_stop => clear_stop,
read_start => read_start,
read_stop => read_stop,
read_reset_out => read_reset_out,
write_reset_out => write_reset_out,
vga_start => vga_start,
vga_stop => vga_stop
);
-- Decido la velocidad de giro en base a los selectores de velocidad
delta_angle <= std_logic_vector(to_unsigned( 4, Nangle)) when speed = "00" else
std_logic_vector(to_unsigned( 18, Nangle)) when speed = "01" else
std_logic_vector(to_unsigned( 55, Nangle)) when speed = "10" else
std_logic_vector(to_unsigned(128, Nangle)) when speed = "11";
read_stop <= '1' when (unsigned(read_address_ram_counter) = unsigned(write_address_ram_counter))
else '0';
-------------------------------------------------------------------
-- CLEAR VIDEO RAM
-------------------------------------------------------------------
clear_inst: entity work.clear_video_ram
generic map(
N_bits_row => N_bits_row,
N_bits_col => N_bits_col,
N_ROWS => N_ROWS,
N_COLS => N_COLS
) port map(
clock => clk_i,
reset => clear_reset,
enable => clear_enable,
row_counter => row_counter,
col_counter => col_counter,
carry_out => clear_stop
);
-------------------------------------------------------------------
-------------------------------------------------------------------
-- UART 8bits --> 16bits
-------------------------------------------------------------------
data_loader: entity work.extRam_loader
port map(
clock => clk_i,
reset => write_reset_out,
data_in => uart_Dout,
RxRdy_in => uart_RxRdy,
data_out => data_in_ram,
RxRdy_out => loader_RxRdy
);
-- Lo recibido por la UART se almacena en la RAM externa
go_in_ram <= loader_RxRdy OR (read_ram_ctrl and (not read_stop));
write_in_ram <= loader_RxRdy;
address_in_ram <= write_address_ram_counter when loader_RxRdy = '1' else
read_address_ram_counter;
------------------------------------
-- Retardo para RxRdy
------------------------------------
RdRdy_delay: entity work.ffd_serie
generic map(N => 5)
port map(
clock => clk_i,
reset => write_reset_out,
enable => '1',
D => loader_RxRdy,
Q => loader_RxRdy_delay
);
-------------------------------------------------------------------
-- Contador de escritura de la RAM Externa
-------------------------------------------------------------------
write_extram_counter: entity work.counter
generic map(
N_bits => 23,
MAX_COUNT => 2**23-1
)
port map(
clock => clk_i,
reset => write_reset_out,
enable => loader_RxRdy_delay,
counter_output => write_address_ram_counter,
carry_out => open
);
-------------------------------------------------------------------
-- Contador de lectura de la RAM Externa
-------------------------------------------------------------------
reset_read_extram_counter <= loader_RxRdy_delay OR read_reset_out;
read_extram_counter: entity work.counter
generic map(
N_bits => 23,
MAX_COUNT => 2**23
) port map(
clock => clk_i,
reset => reset_read_extram_counter,
-- enable => read_ram_ctrl_delay,
enable => RxRdy_ram,
counter_output => read_address_ram_counter,
carry_out => open
);
-------------------------------------------------------------------
-- Lectura de la RAM externa
-------------------------------------------------------------------
pos_loader_inst: entity work.pos_loader
port map(
clock => clk_i,
reset => read_stop_delay,
enable => enable_xyz,
start => read_start,
data_in => data_out_ram,
go_ram => read_ram_ctrl,
RxRdy_ram => RxRdy_ram,
busy_ram => busy_ram,
RxRdy_out => RxRdy_xyz,
x => x0,
y => y0,
z => z0
);
enable_xyz <= '1';
read_stop_ffd: entity work.ffd
port map(
clk => clk_i,
rst => '0',
ena => '1',
d => read_stop,
q => read_stop_delay
);
-------------------------------------------------------------------
-- Rotador de posiciones
-------------------------------------------------------------------
rotator: entity work.pos_rotator
generic map(
Nxy => Nxy,
Nangle => Nangle,
Nits => Nits
) port map(
clock => clk_i,
reset => read_reset_out,
load => RxRdy_xyz,
RxRdy => rotator_RxRdy,
alfa => alfa,
beta => beta,
gama => gama,
x0 => x0,
y0 => y0,
z0 => z0,
x1 => x,
y1 => y,
z1 => z
);
-------------------------------------------------------------------
-- Escalado de la salida del rotador
-------------------------------------------------------------------
-- Version para N_ROWS = 2**N_bits_row
-- Multiplico por 2**N_bits_row
x_screen_aux <= x & (N_bits_col-1 downto 0 => '0');-- when bypass_cordic = '0' else
--x0 & (N_bits_col-1 downto 0 => '0') ;
y_screen_aux <= y & (N_bits_row-1 downto 0 => '0');-- when bypass_cordic = '0' else
--y0 & (N_bits_col-1 downto 0 => '0') ;
---- test martín
-- Dividir por 2**(Nxy-1) es equivalente a desplazar a derecha en Nxy-1, que equivale a tomar los segundos Nxy-1 bits
-- x_screen <= (Nxy-3 downto 0 => '0') & x_screen_aux((Nxy+N_bits_col)-1 downto Nxy) when bypass_scale = '0' else
-- (N_bits_col-1 downto 0 => '0') & x when bypass_cordic = '0' else
-- (N_bits_col-1 downto 0 => '0') & x0;
-- y_screen <= (Nxy-3 downto 0 => '0') & y_screen_aux((Nxy+N_bits_row)-1 downto Nxy) when bypass_scale = '0' else
-- (N_bits_row-1 downto 0 => '0') & y when bypass_cordic = '0' else
-- (N_bits_row-1 downto 0 => '0') & y0;
-- Dividir por 2**(Nxy-1) es equivalente a desplazar a derecha en Nxy-1, que equivale a tomar los segundos Nxy-1 bits
x_screen <= (Nxy-2 downto 0 => '0') & x_screen_aux((Nxy+N_bits_col)-1 downto Nxy-1); --when bypass_scale = '0' else
--(N_bits_col-1 downto 0 => '0') & x when bypass_cordic = '0' else
--(N_bits_col-1 downto 0 => '0') & x0;
y_screen <= (Nxy-2 downto 0 => '0') & y_screen_aux((Nxy+N_bits_row)-1 downto Nxy-1); --when bypass_scale = '0' else
--(N_bits_row-1 downto 0 => '0') & y when bypass_cordic = '0' else
--(N_bits_row-1 downto 0 => '0') & y0;
-- v que shiftea antes y solo se queda con los N_bits_row
A_row_aux <= std_logic_vector(CENTER_ROW - signed(y_screen((N_bits_row)-1 downto 0)));
A_col_aux <= std_logic_vector(CENTER_COL + signed(x_screen((N_bits_col)-1 downto 0)));
-------------------------------------------------------------------
-- Video RAM
-------------------------------------------------------------------
video_RAM_inst : entity work.video_ram
generic map (
N_bits_row => N_bits_row,
N_bits_col => N_bits_col,
N_rows => N_rows,
N_cols => N_cols
) port map(
clock => clk_i,
write_enable => video_write_enable,
A_row => A_row,
B_row => B_row,
A_col => A_col,
B_col => B_col,
data_A => data_A,
data_B => data_B
);
-- Escritura
-- row/col_counter: del CLEAR
-- A_row/col_aux: de x,y,z, luego de transformarlos y escalarlos
A_row <= row_counter when clear_enable = '1' else A_row_aux;
A_col <= col_counter when clear_enable = '1' else A_col_aux;
data_A <= '0' when clear_enable = '1' else '1';
video_write_enable <= rotator_RxRdy OR clear_enable;-- when bypass_cordic = '0' else
--RxRdy_xyz OR clear_enable;
-- Lectura: con el contador de salida de la VGA, leo la video ram,
-- solo cuando está habilitada la escritura.
B_row <= pixel_row_aux when enable_vga = '1' else (others => '0');
B_col <= pixel_col_aux when enable_vga = '1' else (others => '0');
-------------------------------------------------------------------
-- VGA
-------------------------------------------------------------------
-- Señales para avisar cuando empieza a barrer la pantalla
vga_start<= '1' when (unsigned(B_row) = 0) and (unsigned(B_col) = 0) and (enable_vga = '1')
else '0';
-- Avisa cuando se terminó una barrida completa de la pantalla.
vga_stop <= '1' when (unsigned(B_row) = N_ROWS-1) and (unsigned(B_col) = N_COLS-1)
else '0';
-- Entrada de color de la VGA.
vga_pixel_in <= not (data_B and enable_vga_delay); ---cambio color mnm
-- Retraso enable_vga en 1 ciclo de clock
delay_vga: entity work.ffd
port map(
clk => clk_i,
rst => '0',
ena => '1',
d => enable_vga,
q => enable_vga_delay
);
-- Resto el offset de los contadores de filas y columnas de VGA
pixel_row_aux <= std_logic_vector(unsigned(pixel_row(N_bits_row-1 downto 0)) - TOP_MARGIN);
pixel_col_aux <= std_logic_vector(unsigned(pixel_col(N_bits_col-1 downto 0)) - LEFT_MARGIN);
-- Habilito la escritura en la VGA solo cuando me encuentro
-- entre los márgenes permitidos para imprimir en pantalla.
process(pixel_row, pixel_col)
begin
if (TOP_MARGIN - 1 < unsigned(pixel_row) and -- Superior
unsigned(pixel_row) < TOP_MARGIN + N_rows and -- Inferior
LEFT_MARGIN - 1 < unsigned(pixel_col) and -- Izquierdo
unsigned(pixel_col) < LEFT_MARGIN + N_cols) then -- Derecho
enable_vga <= '1';
else
enable_vga <= '0';
end if;
end process;
-- Control de VGA
vga: entity work.vga_ctrl
port map(
mclk => clk_i,
red_i => vga_pixel_in,
grn_i => vga_pixel_in, ---Testear colores
blu_i => vga_pixel_in,
hs => hs,
vs => vs,
red_o => red_o,
grn_o => grn_o,
blu_o => blu_o,
pixel_row => pixel_row,
pixel_col => pixel_col
);
-------------------------------------------------------------------
-- UART
-------------------------------------------------------------------
rx <= data_i;
UART_receiver : entity work.uart
generic map (
F => 50000,
min_baud => 1200,
num_data_bits => 8
) port map (
clk => clk_i,
Rx => rx,
Tx => tx,
Din => sig_Din,
StartTx => sig_StartTx,
TxBusy => sig_TxBusy,
Dout => uart_Dout,
RxRdy => uart_RxRdy,
RxErr => sig_RxErr,
Divisor => Divisor,
rst => write_reset_out
);
-------------------------------------------------------------------
-- Controlador de la RAM Externa
-------------------------------------------------------------------
ext_RAM: entity work.MemoryController
generic map (
clock_frec => 50
) port map(
clock => clk_i,
reset => '0',
address_in => address_in_ram,
go_in => go_in_ram,
write_in => write_in_ram,
data_in => data_in_ram,
data_out => data_out_ram,
read_ready_out => RxRdy_ram,
busy => busy_ram,
---- Conexiones con la ram externa de la placa:
clock_out => clock_out,
ADDRESS => ADDRESS,
ADV => ADV,
CRE => CRE,
CE => CE,
OE => OE,
WE => WE,
LB => LB,
UB => UB,
DATA => DATA
);
-- Apago todos los segmentos del display de 7 segmentos
a <= '1';
b <= '1';
c <= '1';
d <= '1';
e <= '1';
f <= '1';
g <= '1';
dp <= '1';
end;
| gpl-3.0 | 1dc6d109a40e54f7b7f031530b9e298b | 0.515256 | 3.350644 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd/gcd.srcs/sources_1/bd/gcd_block_design/ip/gcd_block_design_rst_ps7_0_100M_0/sim/gcd_block_design_rst_ps7_0_100M_0.vhd | 2 | 7,328 | -- (c) Copyright 1995-2019 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY proc_sys_reset_v5_0_12;
USE proc_sys_reset_v5_0_12.proc_sys_reset;
ENTITY gcd_block_design_rst_ps7_0_100M_0 IS
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END gcd_block_design_rst_ps7_0_100M_0;
ARCHITECTURE gcd_block_design_rst_ps7_0_100M_0_arch OF gcd_block_design_rst_ps7_0_100M_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF gcd_block_design_rst_ps7_0_100M_0_arch: ARCHITECTURE IS "yes";
COMPONENT proc_sys_reset IS
GENERIC (
C_FAMILY : STRING;
C_EXT_RST_WIDTH : INTEGER;
C_AUX_RST_WIDTH : INTEGER;
C_EXT_RESET_HIGH : STD_LOGIC;
C_AUX_RESET_HIGH : STD_LOGIC;
C_NUM_BUS_RST : INTEGER;
C_NUM_PERP_RST : INTEGER;
C_NUM_INTERCONNECT_ARESETN : INTEGER;
C_NUM_PERP_ARESETN : INTEGER
);
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT proc_sys_reset;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER OF peripheral_aresetn: SIGNAL IS "XIL_INTERFACENAME peripheral_low_rst, POLARITY ACTIVE_LOW, TYPE PERIPHERAL";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF interconnect_aresetn: SIGNAL IS "XIL_INTERFACENAME interconnect_low_rst, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF peripheral_reset: SIGNAL IS "XIL_INTERFACENAME peripheral_high_rst, POLARITY ACTIVE_HIGH, TYPE PERIPHERAL";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF bus_struct_reset: SIGNAL IS "XIL_INTERFACENAME bus_struct_reset, POLARITY ACTIVE_HIGH, TYPE INTERCONNECT";
ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF mb_reset: SIGNAL IS "XIL_INTERFACENAME mb_rst, POLARITY ACTIVE_HIGH, TYPE PROCESSOR";
ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF mb_debug_sys_rst: SIGNAL IS "XIL_INTERFACENAME dbg_reset, POLARITY ACTIVE_HIGH";
ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF aux_reset_in: SIGNAL IS "XIL_INTERFACENAME aux_reset, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF ext_reset_in: SIGNAL IS "XIL_INTERFACENAME ext_reset, BOARD.ASSOCIATED_PARAM RESET_BOARD_INTERFACE, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF slowest_sync_clk: SIGNAL IS "XIL_INTERFACENAME clock, ASSOCIATED_RESET mb_reset:bus_struct_reset:interconnect_aresetn:peripheral_aresetn:peripheral_reset, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0";
ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
BEGIN
U0 : proc_sys_reset
GENERIC MAP (
C_FAMILY => "zynq",
C_EXT_RST_WIDTH => 4,
C_AUX_RST_WIDTH => 4,
C_EXT_RESET_HIGH => '0',
C_AUX_RESET_HIGH => '0',
C_NUM_BUS_RST => 1,
C_NUM_PERP_RST => 1,
C_NUM_INTERCONNECT_ARESETN => 1,
C_NUM_PERP_ARESETN => 1
)
PORT MAP (
slowest_sync_clk => slowest_sync_clk,
ext_reset_in => ext_reset_in,
aux_reset_in => aux_reset_in,
mb_debug_sys_rst => mb_debug_sys_rst,
dcm_locked => dcm_locked,
mb_reset => mb_reset,
bus_struct_reset => bus_struct_reset,
peripheral_reset => peripheral_reset,
interconnect_aresetn => interconnect_aresetn,
peripheral_aresetn => peripheral_aresetn
);
END gcd_block_design_rst_ps7_0_100M_0_arch;
| mit | 15e422745f64ebd7b56333db14709923 | 0.726255 | 3.636725 | false | false | false | false |
MartinCura/SistDig-TP4 | src/pos_rotator.vhd | 1 | 7,375 | -- Rota posiciones (x,y,z) usando el algoritmo CORDIC iterativamente
library ieee;
use ieee.std_logic_1164.all;
entity pos_rotator is
generic(
Nxy : natural := 16;
Nangle : natural := 16;
Nits : natural := 16
);
port (
clock : in std_logic;
reset : in std_logic;
load : in std_logic;
RxRdy : out std_logic;
alfa, beta, gama : in std_logic_vector(Nangle-1 downto 0);
x0, y0, z0 : in std_logic_vector(Nxy-1 downto 0);
x1, y1, z1 : out std_logic_vector(Nxy-1 downto 0)
);
end;
architecture pos_rotator_arq of pos_rotator is
constant n_reg_in : natural := 1+3*Nxy+3*Nangle-1; -- tamaño de registro de entrada
-- Señales entre etapas
signal xrot_load : std_logic := '0';
signal xrot_RxRdy : std_logic := '0';
signal xrot_angle: std_logic_vector(Nangle-1 downto 0) := (others => '0');
signal xrot_x0: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal xrot_y0: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal xrot_x1: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal xrot_x1_delay: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal xrot_y1: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal yrot_load : std_logic := '0';
signal yrot_RxRdy : std_logic := '0';
signal yrot_angle: std_logic_vector(Nangle-1 downto 0) := (others => '0');
signal yrot_x0: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal yrot_y0: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal yrot_x1: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal yrot_x1_delay: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal yrot_y1: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal zrot_load : std_logic := '0';
signal zrot_RxRdy : std_logic := '0';
signal zrot_angle: std_logic_vector(Nangle-1 downto 0) := (others => '0');
signal zrot_x0: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal zrot_y0: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal zrot_x1: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal zrot_y1: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal out_reg_in : std_logic_vector(1+3*Nxy-1 downto 0) := (others => '0');
signal out_reg_out : std_logic_vector(1+3*Nxy-1 downto 0) := (others => '0');
signal in_reg_in : std_logic_vector(n_reg_in downto 0) := (others => '0');
signal in_reg_out : std_logic_vector(n_reg_in downto 0) := (others => '0');
signal load_delay : std_logic := '0';
signal x0_delay: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal x0_delay_delay: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal y0_delay: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal z0_delay: std_logic_vector(Nxy-1 downto 0) := (others => '0');
signal alfa_delay : std_logic_vector(Nangle-1 downto 0) := (others => '0');
signal beta_delay : std_logic_vector(Nangle-1 downto 0) := (others => '0');
signal gama_delay : std_logic_vector(Nangle-1 downto 0) := (others => '0');
begin
-- Registro de entrada
in_reg_in <= load & x0 & y0 & z0 & alfa & beta & gama;
pipe_reg_in: entity work.registroNb
generic map(
N => 1 + 3*Nxy + 3*Nangle
) port map(
clk => clock,
rst => reset,
ena => '1',
d => in_reg_in,
q => in_reg_out
);
load_delay <= in_reg_out(n_reg_in);
x0_delay <= in_reg_out( n_reg_in -1 downto n_reg_in -Nxy);
y0_delay <= in_reg_out( n_reg_in -Nxy-1 downto n_reg_in -2*Nxy);
z0_delay <= in_reg_out( n_reg_in -2*Nxy-1 downto n_reg_in -3*Nxy);
alfa_delay <= in_reg_out(n_reg_in -3*Nxy -1 downto n_reg_in -3*Nxy -Nangle);
beta_delay <= in_reg_out(n_reg_in -3*Nxy -Nangle-1 downto n_reg_in -3*Nxy -2*Nangle);
gama_delay <= in_reg_out(n_reg_in -3*Nxy -2*Nangle-1 downto n_reg_in -3*Nxy -3*Nangle);
-------------------------------------
-- Rotador según eje X
-------------------------------------
xrot_load <= load_delay;
xrot_x0 <= y0_delay;
xrot_y0 <= z0_delay;
xrot_angle <= alfa_delay;
X_rot: entity work.cordic
generic map(
---Nxy => Nxy,
---Nangle => Nangle,
P => Nits
) port map(
clk => clock,
rst => reset,
load => xrot_load,
x_in => xrot_x0,
y_in => xrot_y0,
angle => xrot_angle,
x_rot => xrot_x1,
y_rot => xrot_y1,
rotRdy => xrot_RxRdy
);
-------------------------------------
-- Delay para x
-------------------------------------
X_del: entity work.delay_reg
generic map(
N => Nxy,
DELAY => Nits +1
)
port map(
clock => clock,
reset => reset,
enable => '1',
A => x0_delay,
B => x0_delay_delay
);
-------------------------------------
-- Rotador según eje Y
-------------------------------------
yrot_load <= xrot_RxRdy;
yrot_x0 <= xrot_y1;
yrot_y0 <= x0_delay_delay;
yrot_angle <= beta_delay;
Y_rot: entity work.cordic
generic map(
---Nxy => Nxy,
---Nangle => Nangle,
P => Nits
) port map(
clk => clock,
rst => reset,
load => yrot_load,
x_in => yrot_x0,
y_in => yrot_y0,
angle => yrot_angle,
x_rot => yrot_x1,
y_rot => yrot_y1,
rotRdy => yrot_RxRdy
);
-------------------------------------
-- Delay para y
-------------------------------------
Y_del: entity work.delay_reg
generic map(
N => Nxy,
DELAY => Nits+1
)
port map(
clock => clock,
reset => reset,
enable => '1',
A => xrot_x1,
B => xrot_x1_delay
);
-------------------------------------
-- Rotador según eje Z (plano XY)
-------------------------------------
zrot_load <= yrot_RxRdy;
zrot_x0 <= yrot_y1;
zrot_y0 <= xrot_x1_delay;
zrot_angle <= gama_delay;
Z_rot: entity work.cordic
generic map(
---Nxy => Nxy,
---Nangle => Nangle,
P => Nits
) port map(
clk => clock,
rst => reset,
load => zrot_load,
x_in => zrot_x0,
y_in => zrot_y0,
angle => zrot_angle,
x_rot => zrot_x1,
y_rot => zrot_y1,
rotRdy => zrot_RxRdy
);
-------------------------------------
-- Delay para Z
-------------------------------------
Z_del: entity work.delay_reg
generic map(
N => Nxy,
DELAY => Nits +1
)
port map(
clock => clock,
reset => reset,
enable => '1',
A => yrot_x1,
B => yrot_x1_delay
);
-- Registro de salida
out_reg_in <= zrot_RxRdy & zrot_x1 & zrot_y1 & yrot_x1_delay;
pipe_reg_out: entity work.registroNb
generic map(
N => 1 + 3*Nxy
)
port map(
clk => clock,
rst => reset,
ena => '1',
d => out_reg_in,
q => out_reg_out
);
RxRdy <= out_reg_out(1+3*Nxy-1);
x1 <= out_reg_out(1+3*Nxy-1-1 downto 1+3*Nxy-1-Nxy);
y1 <= out_reg_out(1+3*Nxy-1-Nxy-1 downto 1+3*Nxy-1-2*Nxy);
z1 <= out_reg_out(1+3*Nxy-1-2*Nxy-1 downto 0);
end;
| gpl-3.0 | 7c04c637c0894df6696e555a41406c95 | 0.498779 | 3.019254 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.cache/ip/2018.2/653f640df9678210/gcd_zynq_snick_processing_system7_0_0_sim_netlist.vhdl | 1 | 207,406 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Tue Sep 17 19:44:45 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ gcd_zynq_snick_processing_system7_0_0_sim_netlist.vhdl
-- Design : gcd_zynq_snick_processing_system7_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg400-3
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is
port (
CAN0_PHY_TX : out STD_LOGIC;
CAN0_PHY_RX : in STD_LOGIC;
CAN1_PHY_TX : out STD_LOGIC;
CAN1_PHY_RX : in STD_LOGIC;
ENET0_GMII_TX_EN : out STD_LOGIC;
ENET0_GMII_TX_ER : out STD_LOGIC;
ENET0_MDIO_MDC : out STD_LOGIC;
ENET0_MDIO_O : out STD_LOGIC;
ENET0_MDIO_T : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET0_SOF_RX : out STD_LOGIC;
ENET0_SOF_TX : out STD_LOGIC;
ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET0_GMII_COL : in STD_LOGIC;
ENET0_GMII_CRS : in STD_LOGIC;
ENET0_GMII_RX_CLK : in STD_LOGIC;
ENET0_GMII_RX_DV : in STD_LOGIC;
ENET0_GMII_RX_ER : in STD_LOGIC;
ENET0_GMII_TX_CLK : in STD_LOGIC;
ENET0_MDIO_I : in STD_LOGIC;
ENET0_EXT_INTIN : in STD_LOGIC;
ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_TX_EN : out STD_LOGIC;
ENET1_GMII_TX_ER : out STD_LOGIC;
ENET1_MDIO_MDC : out STD_LOGIC;
ENET1_MDIO_O : out STD_LOGIC;
ENET1_MDIO_T : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET1_SOF_RX : out STD_LOGIC;
ENET1_SOF_TX : out STD_LOGIC;
ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_COL : in STD_LOGIC;
ENET1_GMII_CRS : in STD_LOGIC;
ENET1_GMII_RX_CLK : in STD_LOGIC;
ENET1_GMII_RX_DV : in STD_LOGIC;
ENET1_GMII_RX_ER : in STD_LOGIC;
ENET1_GMII_TX_CLK : in STD_LOGIC;
ENET1_MDIO_I : in STD_LOGIC;
ENET1_EXT_INTIN : in STD_LOGIC;
ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
I2C0_SDA_I : in STD_LOGIC;
I2C0_SDA_O : out STD_LOGIC;
I2C0_SDA_T : out STD_LOGIC;
I2C0_SCL_I : in STD_LOGIC;
I2C0_SCL_O : out STD_LOGIC;
I2C0_SCL_T : out STD_LOGIC;
I2C1_SDA_I : in STD_LOGIC;
I2C1_SDA_O : out STD_LOGIC;
I2C1_SDA_T : out STD_LOGIC;
I2C1_SCL_I : in STD_LOGIC;
I2C1_SCL_O : out STD_LOGIC;
I2C1_SCL_T : out STD_LOGIC;
PJTAG_TCK : in STD_LOGIC;
PJTAG_TMS : in STD_LOGIC;
PJTAG_TDI : in STD_LOGIC;
PJTAG_TDO : out STD_LOGIC;
SDIO0_CLK : out STD_LOGIC;
SDIO0_CLK_FB : in STD_LOGIC;
SDIO0_CMD_O : out STD_LOGIC;
SDIO0_CMD_I : in STD_LOGIC;
SDIO0_CMD_T : out STD_LOGIC;
SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_LED : out STD_LOGIC;
SDIO0_CDN : in STD_LOGIC;
SDIO0_WP : in STD_LOGIC;
SDIO0_BUSPOW : out STD_LOGIC;
SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SDIO1_CLK : out STD_LOGIC;
SDIO1_CLK_FB : in STD_LOGIC;
SDIO1_CMD_O : out STD_LOGIC;
SDIO1_CMD_I : in STD_LOGIC;
SDIO1_CMD_T : out STD_LOGIC;
SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_LED : out STD_LOGIC;
SDIO1_CDN : in STD_LOGIC;
SDIO1_WP : in STD_LOGIC;
SDIO1_BUSPOW : out STD_LOGIC;
SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SPI0_SCLK_I : in STD_LOGIC;
SPI0_SCLK_O : out STD_LOGIC;
SPI0_SCLK_T : out STD_LOGIC;
SPI0_MOSI_I : in STD_LOGIC;
SPI0_MOSI_O : out STD_LOGIC;
SPI0_MOSI_T : out STD_LOGIC;
SPI0_MISO_I : in STD_LOGIC;
SPI0_MISO_O : out STD_LOGIC;
SPI0_MISO_T : out STD_LOGIC;
SPI0_SS_I : in STD_LOGIC;
SPI0_SS_O : out STD_LOGIC;
SPI0_SS1_O : out STD_LOGIC;
SPI0_SS2_O : out STD_LOGIC;
SPI0_SS_T : out STD_LOGIC;
SPI1_SCLK_I : in STD_LOGIC;
SPI1_SCLK_O : out STD_LOGIC;
SPI1_SCLK_T : out STD_LOGIC;
SPI1_MOSI_I : in STD_LOGIC;
SPI1_MOSI_O : out STD_LOGIC;
SPI1_MOSI_T : out STD_LOGIC;
SPI1_MISO_I : in STD_LOGIC;
SPI1_MISO_O : out STD_LOGIC;
SPI1_MISO_T : out STD_LOGIC;
SPI1_SS_I : in STD_LOGIC;
SPI1_SS_O : out STD_LOGIC;
SPI1_SS1_O : out STD_LOGIC;
SPI1_SS2_O : out STD_LOGIC;
SPI1_SS_T : out STD_LOGIC;
UART0_DTRN : out STD_LOGIC;
UART0_RTSN : out STD_LOGIC;
UART0_TX : out STD_LOGIC;
UART0_CTSN : in STD_LOGIC;
UART0_DCDN : in STD_LOGIC;
UART0_DSRN : in STD_LOGIC;
UART0_RIN : in STD_LOGIC;
UART0_RX : in STD_LOGIC;
UART1_DTRN : out STD_LOGIC;
UART1_RTSN : out STD_LOGIC;
UART1_TX : out STD_LOGIC;
UART1_CTSN : in STD_LOGIC;
UART1_DCDN : in STD_LOGIC;
UART1_DSRN : in STD_LOGIC;
UART1_RIN : in STD_LOGIC;
UART1_RX : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
TTC0_CLK0_IN : in STD_LOGIC;
TTC0_CLK1_IN : in STD_LOGIC;
TTC0_CLK2_IN : in STD_LOGIC;
TTC1_WAVE0_OUT : out STD_LOGIC;
TTC1_WAVE1_OUT : out STD_LOGIC;
TTC1_WAVE2_OUT : out STD_LOGIC;
TTC1_CLK0_IN : in STD_LOGIC;
TTC1_CLK1_IN : in STD_LOGIC;
TTC1_CLK2_IN : in STD_LOGIC;
WDT_CLK_IN : in STD_LOGIC;
WDT_RST_OUT : out STD_LOGIC;
TRACE_CLK : in STD_LOGIC;
TRACE_CTL : out STD_LOGIC;
TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 );
TRACE_CLK_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB1_VBUS_PWRSELECT : out STD_LOGIC;
USB1_VBUS_PWRFAULT : in STD_LOGIC;
SRAM_INTIN : in STD_LOGIC;
M_AXI_GP0_ARESETN : out STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARESETN : out STD_LOGIC;
M_AXI_GP1_ARVALID : out STD_LOGIC;
M_AXI_GP1_AWVALID : out STD_LOGIC;
M_AXI_GP1_BREADY : out STD_LOGIC;
M_AXI_GP1_RREADY : out STD_LOGIC;
M_AXI_GP1_WLAST : out STD_LOGIC;
M_AXI_GP1_WVALID : out STD_LOGIC;
M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ACLK : in STD_LOGIC;
M_AXI_GP1_ARREADY : in STD_LOGIC;
M_AXI_GP1_AWREADY : in STD_LOGIC;
M_AXI_GP1_BVALID : in STD_LOGIC;
M_AXI_GP1_RLAST : in STD_LOGIC;
M_AXI_GP1_RVALID : in STD_LOGIC;
M_AXI_GP1_WREADY : in STD_LOGIC;
M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARESETN : out STD_LOGIC;
S_AXI_GP0_ARREADY : out STD_LOGIC;
S_AXI_GP0_AWREADY : out STD_LOGIC;
S_AXI_GP0_BVALID : out STD_LOGIC;
S_AXI_GP0_RLAST : out STD_LOGIC;
S_AXI_GP0_RVALID : out STD_LOGIC;
S_AXI_GP0_WREADY : out STD_LOGIC;
S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_ACLK : in STD_LOGIC;
S_AXI_GP0_ARVALID : in STD_LOGIC;
S_AXI_GP0_AWVALID : in STD_LOGIC;
S_AXI_GP0_BREADY : in STD_LOGIC;
S_AXI_GP0_RREADY : in STD_LOGIC;
S_AXI_GP0_WLAST : in STD_LOGIC;
S_AXI_GP0_WVALID : in STD_LOGIC;
S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ARESETN : out STD_LOGIC;
S_AXI_GP1_ARREADY : out STD_LOGIC;
S_AXI_GP1_AWREADY : out STD_LOGIC;
S_AXI_GP1_BVALID : out STD_LOGIC;
S_AXI_GP1_RLAST : out STD_LOGIC;
S_AXI_GP1_RVALID : out STD_LOGIC;
S_AXI_GP1_WREADY : out STD_LOGIC;
S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ACLK : in STD_LOGIC;
S_AXI_GP1_ARVALID : in STD_LOGIC;
S_AXI_GP1_AWVALID : in STD_LOGIC;
S_AXI_GP1_BREADY : in STD_LOGIC;
S_AXI_GP1_RREADY : in STD_LOGIC;
S_AXI_GP1_WLAST : in STD_LOGIC;
S_AXI_GP1_WVALID : in STD_LOGIC;
S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_ACP_ARESETN : out STD_LOGIC;
S_AXI_ACP_ARREADY : out STD_LOGIC;
S_AXI_ACP_AWREADY : out STD_LOGIC;
S_AXI_ACP_BVALID : out STD_LOGIC;
S_AXI_ACP_RLAST : out STD_LOGIC;
S_AXI_ACP_RVALID : out STD_LOGIC;
S_AXI_ACP_WREADY : out STD_LOGIC;
S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_ACLK : in STD_LOGIC;
S_AXI_ACP_ARVALID : in STD_LOGIC;
S_AXI_ACP_AWVALID : in STD_LOGIC;
S_AXI_ACP_BREADY : in STD_LOGIC;
S_AXI_ACP_RREADY : in STD_LOGIC;
S_AXI_ACP_WLAST : in STD_LOGIC;
S_AXI_ACP_WVALID : in STD_LOGIC;
S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_ARESETN : out STD_LOGIC;
S_AXI_HP0_ARREADY : out STD_LOGIC;
S_AXI_HP0_AWREADY : out STD_LOGIC;
S_AXI_HP0_BVALID : out STD_LOGIC;
S_AXI_HP0_RLAST : out STD_LOGIC;
S_AXI_HP0_RVALID : out STD_LOGIC;
S_AXI_HP0_WREADY : out STD_LOGIC;
S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_ACLK : in STD_LOGIC;
S_AXI_HP0_ARVALID : in STD_LOGIC;
S_AXI_HP0_AWVALID : in STD_LOGIC;
S_AXI_HP0_BREADY : in STD_LOGIC;
S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_RREADY : in STD_LOGIC;
S_AXI_HP0_WLAST : in STD_LOGIC;
S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_WVALID : in STD_LOGIC;
S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_ARESETN : out STD_LOGIC;
S_AXI_HP1_ARREADY : out STD_LOGIC;
S_AXI_HP1_AWREADY : out STD_LOGIC;
S_AXI_HP1_BVALID : out STD_LOGIC;
S_AXI_HP1_RLAST : out STD_LOGIC;
S_AXI_HP1_RVALID : out STD_LOGIC;
S_AXI_HP1_WREADY : out STD_LOGIC;
S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_ACLK : in STD_LOGIC;
S_AXI_HP1_ARVALID : in STD_LOGIC;
S_AXI_HP1_AWVALID : in STD_LOGIC;
S_AXI_HP1_BREADY : in STD_LOGIC;
S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_RREADY : in STD_LOGIC;
S_AXI_HP1_WLAST : in STD_LOGIC;
S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_WVALID : in STD_LOGIC;
S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_ARESETN : out STD_LOGIC;
S_AXI_HP2_ARREADY : out STD_LOGIC;
S_AXI_HP2_AWREADY : out STD_LOGIC;
S_AXI_HP2_BVALID : out STD_LOGIC;
S_AXI_HP2_RLAST : out STD_LOGIC;
S_AXI_HP2_RVALID : out STD_LOGIC;
S_AXI_HP2_WREADY : out STD_LOGIC;
S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_ACLK : in STD_LOGIC;
S_AXI_HP2_ARVALID : in STD_LOGIC;
S_AXI_HP2_AWVALID : in STD_LOGIC;
S_AXI_HP2_BREADY : in STD_LOGIC;
S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_RREADY : in STD_LOGIC;
S_AXI_HP2_WLAST : in STD_LOGIC;
S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_WVALID : in STD_LOGIC;
S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_ARESETN : out STD_LOGIC;
S_AXI_HP3_ARREADY : out STD_LOGIC;
S_AXI_HP3_AWREADY : out STD_LOGIC;
S_AXI_HP3_BVALID : out STD_LOGIC;
S_AXI_HP3_RLAST : out STD_LOGIC;
S_AXI_HP3_RVALID : out STD_LOGIC;
S_AXI_HP3_WREADY : out STD_LOGIC;
S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_ACLK : in STD_LOGIC;
S_AXI_HP3_ARVALID : in STD_LOGIC;
S_AXI_HP3_AWVALID : in STD_LOGIC;
S_AXI_HP3_BREADY : in STD_LOGIC;
S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_RREADY : in STD_LOGIC;
S_AXI_HP3_WLAST : in STD_LOGIC;
S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_WVALID : in STD_LOGIC;
S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
IRQ_P2F_DMAC_ABORT : out STD_LOGIC;
IRQ_P2F_DMAC0 : out STD_LOGIC;
IRQ_P2F_DMAC1 : out STD_LOGIC;
IRQ_P2F_DMAC2 : out STD_LOGIC;
IRQ_P2F_DMAC3 : out STD_LOGIC;
IRQ_P2F_DMAC4 : out STD_LOGIC;
IRQ_P2F_DMAC5 : out STD_LOGIC;
IRQ_P2F_DMAC6 : out STD_LOGIC;
IRQ_P2F_DMAC7 : out STD_LOGIC;
IRQ_P2F_SMC : out STD_LOGIC;
IRQ_P2F_QSPI : out STD_LOGIC;
IRQ_P2F_CTI : out STD_LOGIC;
IRQ_P2F_GPIO : out STD_LOGIC;
IRQ_P2F_USB0 : out STD_LOGIC;
IRQ_P2F_ENET0 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE0 : out STD_LOGIC;
IRQ_P2F_SDIO0 : out STD_LOGIC;
IRQ_P2F_I2C0 : out STD_LOGIC;
IRQ_P2F_SPI0 : out STD_LOGIC;
IRQ_P2F_UART0 : out STD_LOGIC;
IRQ_P2F_CAN0 : out STD_LOGIC;
IRQ_P2F_USB1 : out STD_LOGIC;
IRQ_P2F_ENET1 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE1 : out STD_LOGIC;
IRQ_P2F_SDIO1 : out STD_LOGIC;
IRQ_P2F_I2C1 : out STD_LOGIC;
IRQ_P2F_SPI1 : out STD_LOGIC;
IRQ_P2F_UART1 : out STD_LOGIC;
IRQ_P2F_CAN1 : out STD_LOGIC;
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
Core0_nFIQ : in STD_LOGIC;
Core0_nIRQ : in STD_LOGIC;
Core1_nFIQ : in STD_LOGIC;
Core1_nIRQ : in STD_LOGIC;
DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA0_DAVALID : out STD_LOGIC;
DMA0_DRREADY : out STD_LOGIC;
DMA0_RSTN : out STD_LOGIC;
DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DAVALID : out STD_LOGIC;
DMA1_DRREADY : out STD_LOGIC;
DMA1_RSTN : out STD_LOGIC;
DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DAVALID : out STD_LOGIC;
DMA2_DRREADY : out STD_LOGIC;
DMA2_RSTN : out STD_LOGIC;
DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DAVALID : out STD_LOGIC;
DMA3_DRREADY : out STD_LOGIC;
DMA3_RSTN : out STD_LOGIC;
DMA0_ACLK : in STD_LOGIC;
DMA0_DAREADY : in STD_LOGIC;
DMA0_DRLAST : in STD_LOGIC;
DMA0_DRVALID : in STD_LOGIC;
DMA1_ACLK : in STD_LOGIC;
DMA1_DAREADY : in STD_LOGIC;
DMA1_DRLAST : in STD_LOGIC;
DMA1_DRVALID : in STD_LOGIC;
DMA2_ACLK : in STD_LOGIC;
DMA2_DAREADY : in STD_LOGIC;
DMA2_DRLAST : in STD_LOGIC;
DMA2_DRVALID : in STD_LOGIC;
DMA3_ACLK : in STD_LOGIC;
DMA3_DAREADY : in STD_LOGIC;
DMA3_DRLAST : in STD_LOGIC;
DMA3_DRVALID : in STD_LOGIC;
DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
FCLK_CLK3 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLKTRIG3_N : in STD_LOGIC;
FCLK_CLKTRIG2_N : in STD_LOGIC;
FCLK_CLKTRIG1_N : in STD_LOGIC;
FCLK_CLKTRIG0_N : in STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMD_TRACEIN_VALID : in STD_LOGIC;
FTMD_TRACEIN_CLK : in STD_LOGIC;
FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 );
FTMT_F2P_TRIG_0 : in STD_LOGIC;
FTMT_F2P_TRIGACK_0 : out STD_LOGIC;
FTMT_F2P_TRIG_1 : in STD_LOGIC;
FTMT_F2P_TRIGACK_1 : out STD_LOGIC;
FTMT_F2P_TRIG_2 : in STD_LOGIC;
FTMT_F2P_TRIGACK_2 : out STD_LOGIC;
FTMT_F2P_TRIG_3 : in STD_LOGIC;
FTMT_F2P_TRIGACK_3 : out STD_LOGIC;
FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMT_P2F_TRIGACK_0 : in STD_LOGIC;
FTMT_P2F_TRIG_0 : out STD_LOGIC;
FTMT_P2F_TRIGACK_1 : in STD_LOGIC;
FTMT_P2F_TRIG_1 : out STD_LOGIC;
FTMT_P2F_TRIGACK_2 : in STD_LOGIC;
FTMT_P2F_TRIG_2 : out STD_LOGIC;
FTMT_P2F_TRIGACK_3 : in STD_LOGIC;
FTMT_P2F_TRIG_3 : out STD_LOGIC;
FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 );
FPGA_IDLE_N : in STD_LOGIC;
EVENT_EVENTO : out STD_LOGIC;
EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_EVENTI : in STD_LOGIC;
DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 );
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "gcd_zynq_snick_processing_system7_0_0.hwdef";
attribute POWER : string;
attribute POWER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={867} load={0.5} /><MEMORY name={code} memType={LPDDR2} dataWidth={32} clockFreq={400} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={26} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS18} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={SPI} ioStandard={LVCMOS18} bidis={5} ioBank={Vcco_p1} clockFreq={166.666489} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={49.999947} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p0} clockFreq={99.999893} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p1} clockFreq={99.999893} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1733.332} /><PLL domain={Memory} vco={1599.998} /><PLL domain={IO} vco={1999.998} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={49} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0;
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal ENET0_MDIO_T_n : STD_LOGIC;
signal ENET1_MDIO_T_n : STD_LOGIC;
signal FCLK_CLK_unbuffered : STD_LOGIC_VECTOR ( 0 to 0 );
signal I2C0_SCL_T_n : STD_LOGIC;
signal I2C0_SDA_T_n : STD_LOGIC;
signal I2C1_SCL_T_n : STD_LOGIC;
signal I2C1_SDA_T_n : STD_LOGIC;
signal \^m_axi_gp0_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp0_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal SDIO0_CMD_T_n : STD_LOGIC;
signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SDIO1_CMD_T_n : STD_LOGIC;
signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SPI0_MISO_T_n : STD_LOGIC;
signal SPI0_MOSI_T_n : STD_LOGIC;
signal SPI0_SCLK_T_n : STD_LOGIC;
signal SPI0_SS_T_n : STD_LOGIC;
signal SPI1_MISO_T_n : STD_LOGIC;
signal SPI1_MOSI_T_n : STD_LOGIC;
signal SPI1_SCLK_T_n : STD_LOGIC;
signal SPI1_SS_T_n : STD_LOGIC;
signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true";
signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true";
signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true";
signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true";
signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true";
signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true";
signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true";
signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true";
signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true";
signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true";
signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true";
signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true";
signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true";
signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true";
signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true";
signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true";
signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 );
signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 );
signal buffered_DDR_CAS_n : STD_LOGIC;
signal buffered_DDR_CKE : STD_LOGIC;
signal buffered_DDR_CS_n : STD_LOGIC;
signal buffered_DDR_Clk : STD_LOGIC;
signal buffered_DDR_Clk_n : STD_LOGIC;
signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DRSTB : STD_LOGIC;
signal buffered_DDR_ODT : STD_LOGIC;
signal buffered_DDR_RAS_n : STD_LOGIC;
signal buffered_DDR_VRN : STD_LOGIC;
signal buffered_DDR_VRP : STD_LOGIC;
signal buffered_DDR_WEB : STD_LOGIC;
signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 );
signal buffered_PS_CLK : STD_LOGIC;
signal buffered_PS_PORB : STD_LOGIC;
signal buffered_PS_SRSTB : STD_LOGIC;
signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS7_i : label is "PRIMITIVE";
attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
begin
ENET0_GMII_TXD(7) <= \<const0>\;
ENET0_GMII_TXD(6) <= \<const0>\;
ENET0_GMII_TXD(5) <= \<const0>\;
ENET0_GMII_TXD(4) <= \<const0>\;
ENET0_GMII_TXD(3) <= \<const0>\;
ENET0_GMII_TXD(2) <= \<const0>\;
ENET0_GMII_TXD(1) <= \<const0>\;
ENET0_GMII_TXD(0) <= \<const0>\;
ENET0_GMII_TX_EN <= \<const0>\;
ENET0_GMII_TX_ER <= \<const0>\;
ENET1_GMII_TXD(7) <= \<const0>\;
ENET1_GMII_TXD(6) <= \<const0>\;
ENET1_GMII_TXD(5) <= \<const0>\;
ENET1_GMII_TXD(4) <= \<const0>\;
ENET1_GMII_TXD(3) <= \<const0>\;
ENET1_GMII_TXD(2) <= \<const0>\;
ENET1_GMII_TXD(1) <= \<const0>\;
ENET1_GMII_TXD(0) <= \<const0>\;
ENET1_GMII_TX_EN <= \<const0>\;
ENET1_GMII_TX_ER <= \<const0>\;
M_AXI_GP0_ARCACHE(3 downto 2) <= \^m_axi_gp0_arcache\(3 downto 2);
M_AXI_GP0_ARCACHE(1) <= \<const1>\;
M_AXI_GP0_ARCACHE(0) <= \^m_axi_gp0_arcache\(0);
M_AXI_GP0_ARSIZE(2) <= \<const0>\;
M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0);
M_AXI_GP0_AWCACHE(3 downto 2) <= \^m_axi_gp0_awcache\(3 downto 2);
M_AXI_GP0_AWCACHE(1) <= \<const1>\;
M_AXI_GP0_AWCACHE(0) <= \^m_axi_gp0_awcache\(0);
M_AXI_GP0_AWSIZE(2) <= \<const0>\;
M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0);
M_AXI_GP1_ARCACHE(3 downto 2) <= \^m_axi_gp1_arcache\(3 downto 2);
M_AXI_GP1_ARCACHE(1) <= \<const1>\;
M_AXI_GP1_ARCACHE(0) <= \^m_axi_gp1_arcache\(0);
M_AXI_GP1_ARSIZE(2) <= \<const0>\;
M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0);
M_AXI_GP1_AWCACHE(3 downto 2) <= \^m_axi_gp1_awcache\(3 downto 2);
M_AXI_GP1_AWCACHE(1) <= \<const1>\;
M_AXI_GP1_AWCACHE(0) <= \^m_axi_gp1_awcache\(0);
M_AXI_GP1_AWSIZE(2) <= \<const0>\;
M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0);
PJTAG_TDO <= \<const0>\;
TRACE_CLK_OUT <= \<const0>\;
TRACE_CTL <= \TRACE_CTL_PIPE[0]\;
TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0);
DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CAS_n,
PAD => DDR_CAS_n
);
DDR_CKE_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CKE,
PAD => DDR_CKE
);
DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CS_n,
PAD => DDR_CS_n
);
DDR_Clk_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk,
PAD => DDR_Clk
);
DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk_n,
PAD => DDR_Clk_n
);
DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DRSTB,
PAD => DDR_DRSTB
);
DDR_ODT_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_ODT,
PAD => DDR_ODT
);
DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_RAS_n,
PAD => DDR_RAS_n
);
DDR_VRN_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRN,
PAD => DDR_VRN
);
DDR_VRP_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRP,
PAD => DDR_VRP
);
DDR_WEB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_WEB,
PAD => DDR_WEB
);
ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET0_MDIO_T_n,
O => ENET0_MDIO_T
);
ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET1_MDIO_T_n,
O => ENET1_MDIO_T
);
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(0),
O => GPIO_T(0)
);
\GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(10),
O => GPIO_T(10)
);
\GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(11),
O => GPIO_T(11)
);
\GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(12),
O => GPIO_T(12)
);
\GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(13),
O => GPIO_T(13)
);
\GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(14),
O => GPIO_T(14)
);
\GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(15),
O => GPIO_T(15)
);
\GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(16),
O => GPIO_T(16)
);
\GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(17),
O => GPIO_T(17)
);
\GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(18),
O => GPIO_T(18)
);
\GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(19),
O => GPIO_T(19)
);
\GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(1),
O => GPIO_T(1)
);
\GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(20),
O => GPIO_T(20)
);
\GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(21),
O => GPIO_T(21)
);
\GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(22),
O => GPIO_T(22)
);
\GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(23),
O => GPIO_T(23)
);
\GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(24),
O => GPIO_T(24)
);
\GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(25),
O => GPIO_T(25)
);
\GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(26),
O => GPIO_T(26)
);
\GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(27),
O => GPIO_T(27)
);
\GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(28),
O => GPIO_T(28)
);
\GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(29),
O => GPIO_T(29)
);
\GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(2),
O => GPIO_T(2)
);
\GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(30),
O => GPIO_T(30)
);
\GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(31),
O => GPIO_T(31)
);
\GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(32),
O => GPIO_T(32)
);
\GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(33),
O => GPIO_T(33)
);
\GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(34),
O => GPIO_T(34)
);
\GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(35),
O => GPIO_T(35)
);
\GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(36),
O => GPIO_T(36)
);
\GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(37),
O => GPIO_T(37)
);
\GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(38),
O => GPIO_T(38)
);
\GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(39),
O => GPIO_T(39)
);
\GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(3),
O => GPIO_T(3)
);
\GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(40),
O => GPIO_T(40)
);
\GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(41),
O => GPIO_T(41)
);
\GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(42),
O => GPIO_T(42)
);
\GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(43),
O => GPIO_T(43)
);
\GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(44),
O => GPIO_T(44)
);
\GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(45),
O => GPIO_T(45)
);
\GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(46),
O => GPIO_T(46)
);
\GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(47),
O => GPIO_T(47)
);
\GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(48),
O => GPIO_T(48)
);
\GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(49),
O => GPIO_T(49)
);
\GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(4),
O => GPIO_T(4)
);
\GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(50),
O => GPIO_T(50)
);
\GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(51),
O => GPIO_T(51)
);
\GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(52),
O => GPIO_T(52)
);
\GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(53),
O => GPIO_T(53)
);
\GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(54),
O => GPIO_T(54)
);
\GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(55),
O => GPIO_T(55)
);
\GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(56),
O => GPIO_T(56)
);
\GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(57),
O => GPIO_T(57)
);
\GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(58),
O => GPIO_T(58)
);
\GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(59),
O => GPIO_T(59)
);
\GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(5),
O => GPIO_T(5)
);
\GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(60),
O => GPIO_T(60)
);
\GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(61),
O => GPIO_T(61)
);
\GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(62),
O => GPIO_T(62)
);
\GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(63),
O => GPIO_T(63)
);
\GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(6),
O => GPIO_T(6)
);
\GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(7),
O => GPIO_T(7)
);
\GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(8),
O => GPIO_T(8)
);
\GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(9),
O => GPIO_T(9)
);
I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SCL_T_n,
O => I2C0_SCL_T
);
I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SDA_T_n,
O => I2C0_SDA_T
);
I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SCL_T_n,
O => I2C1_SCL_T
);
I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SDA_T_n,
O => I2C1_SDA_T
);
PS7_i: unisim.vcomponents.PS7
port map (
DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0),
DDRARB(3 downto 0) => DDR_ARB(3 downto 0),
DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0),
DDRCASB => buffered_DDR_CAS_n,
DDRCKE => buffered_DDR_CKE,
DDRCKN => buffered_DDR_Clk_n,
DDRCKP => buffered_DDR_Clk,
DDRCSB => buffered_DDR_CS_n,
DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0),
DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0),
DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0),
DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0),
DDRDRSTB => buffered_DDR_DRSTB,
DDRODT => buffered_DDR_ODT,
DDRRASB => buffered_DDR_RAS_n,
DDRVRN => buffered_DDR_VRN,
DDRVRP => buffered_DDR_VRP,
DDRWEB => buffered_DDR_WEB,
DMA0ACLK => DMA0_ACLK,
DMA0DAREADY => DMA0_DAREADY,
DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0),
DMA0DAVALID => DMA0_DAVALID,
DMA0DRLAST => DMA0_DRLAST,
DMA0DRREADY => DMA0_DRREADY,
DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0),
DMA0DRVALID => DMA0_DRVALID,
DMA0RSTN => DMA0_RSTN,
DMA1ACLK => DMA1_ACLK,
DMA1DAREADY => DMA1_DAREADY,
DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0),
DMA1DAVALID => DMA1_DAVALID,
DMA1DRLAST => DMA1_DRLAST,
DMA1DRREADY => DMA1_DRREADY,
DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0),
DMA1DRVALID => DMA1_DRVALID,
DMA1RSTN => DMA1_RSTN,
DMA2ACLK => DMA2_ACLK,
DMA2DAREADY => DMA2_DAREADY,
DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0),
DMA2DAVALID => DMA2_DAVALID,
DMA2DRLAST => DMA2_DRLAST,
DMA2DRREADY => DMA2_DRREADY,
DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0),
DMA2DRVALID => DMA2_DRVALID,
DMA2RSTN => DMA2_RSTN,
DMA3ACLK => DMA3_ACLK,
DMA3DAREADY => DMA3_DAREADY,
DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0),
DMA3DAVALID => DMA3_DAVALID,
DMA3DRLAST => DMA3_DRLAST,
DMA3DRREADY => DMA3_DRREADY,
DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0),
DMA3DRVALID => DMA3_DRVALID,
DMA3RSTN => DMA3_RSTN,
EMIOCAN0PHYRX => CAN0_PHY_RX,
EMIOCAN0PHYTX => CAN0_PHY_TX,
EMIOCAN1PHYRX => CAN1_PHY_RX,
EMIOCAN1PHYTX => CAN1_PHY_TX,
EMIOENET0EXTINTIN => ENET0_EXT_INTIN,
EMIOENET0GMIICOL => '0',
EMIOENET0GMIICRS => '0',
EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK,
EMIOENET0GMIIRXD(7 downto 0) => B"00000000",
EMIOENET0GMIIRXDV => '0',
EMIOENET0GMIIRXER => '0',
EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK,
EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED,
EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED,
EMIOENET0MDIOI => ENET0_MDIO_I,
EMIOENET0MDIOMDC => ENET0_MDIO_MDC,
EMIOENET0MDIOO => ENET0_MDIO_O,
EMIOENET0MDIOTN => ENET0_MDIO_T_n,
EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX,
EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX,
EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX,
EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX,
EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX,
EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX,
EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX,
EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX,
EMIOENET0SOFRX => ENET0_SOF_RX,
EMIOENET0SOFTX => ENET0_SOF_TX,
EMIOENET1EXTINTIN => ENET1_EXT_INTIN,
EMIOENET1GMIICOL => '0',
EMIOENET1GMIICRS => '0',
EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK,
EMIOENET1GMIIRXD(7 downto 0) => B"00000000",
EMIOENET1GMIIRXDV => '0',
EMIOENET1GMIIRXER => '0',
EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK,
EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED,
EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED,
EMIOENET1MDIOI => ENET1_MDIO_I,
EMIOENET1MDIOMDC => ENET1_MDIO_MDC,
EMIOENET1MDIOO => ENET1_MDIO_O,
EMIOENET1MDIOTN => ENET1_MDIO_T_n,
EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX,
EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX,
EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX,
EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX,
EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX,
EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX,
EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX,
EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX,
EMIOENET1SOFRX => ENET1_SOF_RX,
EMIOENET1SOFTX => ENET1_SOF_TX,
EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0),
EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0),
EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0),
EMIOI2C0SCLI => I2C0_SCL_I,
EMIOI2C0SCLO => I2C0_SCL_O,
EMIOI2C0SCLTN => I2C0_SCL_T_n,
EMIOI2C0SDAI => I2C0_SDA_I,
EMIOI2C0SDAO => I2C0_SDA_O,
EMIOI2C0SDATN => I2C0_SDA_T_n,
EMIOI2C1SCLI => I2C1_SCL_I,
EMIOI2C1SCLO => I2C1_SCL_O,
EMIOI2C1SCLTN => I2C1_SCL_T_n,
EMIOI2C1SDAI => I2C1_SDA_I,
EMIOI2C1SDAO => I2C1_SDA_O,
EMIOI2C1SDATN => I2C1_SDA_T_n,
EMIOPJTAGTCK => PJTAG_TCK,
EMIOPJTAGTDI => PJTAG_TDI,
EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED,
EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED,
EMIOPJTAGTMS => PJTAG_TMS,
EMIOSDIO0BUSPOW => SDIO0_BUSPOW,
EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0),
EMIOSDIO0CDN => SDIO0_CDN,
EMIOSDIO0CLK => SDIO0_CLK,
EMIOSDIO0CLKFB => SDIO0_CLK_FB,
EMIOSDIO0CMDI => SDIO0_CMD_I,
EMIOSDIO0CMDO => SDIO0_CMD_O,
EMIOSDIO0CMDTN => SDIO0_CMD_T_n,
EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0),
EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0),
EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0),
EMIOSDIO0LED => SDIO0_LED,
EMIOSDIO0WP => SDIO0_WP,
EMIOSDIO1BUSPOW => SDIO1_BUSPOW,
EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0),
EMIOSDIO1CDN => SDIO1_CDN,
EMIOSDIO1CLK => SDIO1_CLK,
EMIOSDIO1CLKFB => SDIO1_CLK_FB,
EMIOSDIO1CMDI => SDIO1_CMD_I,
EMIOSDIO1CMDO => SDIO1_CMD_O,
EMIOSDIO1CMDTN => SDIO1_CMD_T_n,
EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0),
EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0),
EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0),
EMIOSDIO1LED => SDIO1_LED,
EMIOSDIO1WP => SDIO1_WP,
EMIOSPI0MI => SPI0_MISO_I,
EMIOSPI0MO => SPI0_MOSI_O,
EMIOSPI0MOTN => SPI0_MOSI_T_n,
EMIOSPI0SCLKI => SPI0_SCLK_I,
EMIOSPI0SCLKO => SPI0_SCLK_O,
EMIOSPI0SCLKTN => SPI0_SCLK_T_n,
EMIOSPI0SI => SPI0_MOSI_I,
EMIOSPI0SO => SPI0_MISO_O,
EMIOSPI0SSIN => SPI0_SS_I,
EMIOSPI0SSNTN => SPI0_SS_T_n,
EMIOSPI0SSON(2) => SPI0_SS2_O,
EMIOSPI0SSON(1) => SPI0_SS1_O,
EMIOSPI0SSON(0) => SPI0_SS_O,
EMIOSPI0STN => SPI0_MISO_T_n,
EMIOSPI1MI => SPI1_MISO_I,
EMIOSPI1MO => SPI1_MOSI_O,
EMIOSPI1MOTN => SPI1_MOSI_T_n,
EMIOSPI1SCLKI => SPI1_SCLK_I,
EMIOSPI1SCLKO => SPI1_SCLK_O,
EMIOSPI1SCLKTN => SPI1_SCLK_T_n,
EMIOSPI1SI => SPI1_MOSI_I,
EMIOSPI1SO => SPI1_MISO_O,
EMIOSPI1SSIN => SPI1_SS_I,
EMIOSPI1SSNTN => SPI1_SS_T_n,
EMIOSPI1SSON(2) => SPI1_SS2_O,
EMIOSPI1SSON(1) => SPI1_SS1_O,
EMIOSPI1SSON(0) => SPI1_SS_O,
EMIOSPI1STN => SPI1_MISO_T_n,
EMIOSRAMINTIN => SRAM_INTIN,
EMIOTRACECLK => TRACE_CLK,
EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED,
EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0),
EMIOTTC0CLKI(2) => TTC0_CLK2_IN,
EMIOTTC0CLKI(1) => TTC0_CLK1_IN,
EMIOTTC0CLKI(0) => TTC0_CLK0_IN,
EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT,
EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT,
EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT,
EMIOTTC1CLKI(2) => TTC1_CLK2_IN,
EMIOTTC1CLKI(1) => TTC1_CLK1_IN,
EMIOTTC1CLKI(0) => TTC1_CLK0_IN,
EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT,
EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT,
EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT,
EMIOUART0CTSN => UART0_CTSN,
EMIOUART0DCDN => UART0_DCDN,
EMIOUART0DSRN => UART0_DSRN,
EMIOUART0DTRN => UART0_DTRN,
EMIOUART0RIN => UART0_RIN,
EMIOUART0RTSN => UART0_RTSN,
EMIOUART0RX => UART0_RX,
EMIOUART0TX => UART0_TX,
EMIOUART1CTSN => UART1_CTSN,
EMIOUART1DCDN => UART1_DCDN,
EMIOUART1DSRN => UART1_DSRN,
EMIOUART1DTRN => UART1_DTRN,
EMIOUART1RIN => UART1_RIN,
EMIOUART1RTSN => UART1_RTSN,
EMIOUART1RX => UART1_RX,
EMIOUART1TX => UART1_TX,
EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0),
EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT,
EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT,
EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0),
EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT,
EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT,
EMIOWDTCLKI => WDT_CLK_IN,
EMIOWDTRSTO => WDT_RST_OUT,
EVENTEVENTI => EVENT_EVENTI,
EVENTEVENTO => EVENT_EVENTO,
EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0),
EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0),
FCLKCLK(3) => FCLK_CLK3,
FCLKCLK(2) => FCLK_CLK2,
FCLKCLK(1) => FCLK_CLK1,
FCLKCLK(0) => FCLK_CLK_unbuffered(0),
FCLKCLKTRIGN(3 downto 0) => B"0000",
FCLKRESETN(3) => FCLK_RESET3_N,
FCLKRESETN(2) => FCLK_RESET2_N,
FCLKRESETN(1) => FCLK_RESET1_N,
FCLKRESETN(0) => FCLK_RESET0_N,
FPGAIDLEN => FPGA_IDLE_N,
FTMDTRACEINATID(3 downto 0) => B"0000",
FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK,
FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000",
FTMDTRACEINVALID => '0',
FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0),
FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3,
FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2,
FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1,
FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0,
FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3,
FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2,
FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1,
FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0,
FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0),
FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3,
FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2,
FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1,
FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0,
FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3,
FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2,
FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1,
FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0,
IRQF2P(19) => Core1_nFIQ,
IRQF2P(18) => Core0_nFIQ,
IRQF2P(17) => Core1_nIRQ,
IRQF2P(16) => Core0_nIRQ,
IRQF2P(15 downto 1) => B"000000000000000",
IRQF2P(0) => IRQ_F2P(0),
IRQP2F(28) => IRQ_P2F_DMAC_ABORT,
IRQP2F(27) => IRQ_P2F_DMAC7,
IRQP2F(26) => IRQ_P2F_DMAC6,
IRQP2F(25) => IRQ_P2F_DMAC5,
IRQP2F(24) => IRQ_P2F_DMAC4,
IRQP2F(23) => IRQ_P2F_DMAC3,
IRQP2F(22) => IRQ_P2F_DMAC2,
IRQP2F(21) => IRQ_P2F_DMAC1,
IRQP2F(20) => IRQ_P2F_DMAC0,
IRQP2F(19) => IRQ_P2F_SMC,
IRQP2F(18) => IRQ_P2F_QSPI,
IRQP2F(17) => IRQ_P2F_CTI,
IRQP2F(16) => IRQ_P2F_GPIO,
IRQP2F(15) => IRQ_P2F_USB0,
IRQP2F(14) => IRQ_P2F_ENET0,
IRQP2F(13) => IRQ_P2F_ENET_WAKE0,
IRQP2F(12) => IRQ_P2F_SDIO0,
IRQP2F(11) => IRQ_P2F_I2C0,
IRQP2F(10) => IRQ_P2F_SPI0,
IRQP2F(9) => IRQ_P2F_UART0,
IRQP2F(8) => IRQ_P2F_CAN0,
IRQP2F(7) => IRQ_P2F_USB1,
IRQP2F(6) => IRQ_P2F_ENET1,
IRQP2F(5) => IRQ_P2F_ENET_WAKE1,
IRQP2F(4) => IRQ_P2F_SDIO1,
IRQP2F(3) => IRQ_P2F_I2C1,
IRQP2F(2) => IRQ_P2F_SPI1,
IRQP2F(1) => IRQ_P2F_UART1,
IRQP2F(0) => IRQ_P2F_CAN1,
MAXIGP0ACLK => M_AXI_GP0_ACLK,
MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
MAXIGP0ARCACHE(3 downto 2) => \^m_axi_gp0_arcache\(3 downto 2),
MAXIGP0ARCACHE(1) => NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED(1),
MAXIGP0ARCACHE(0) => \^m_axi_gp0_arcache\(0),
MAXIGP0ARESETN => M_AXI_GP0_ARESETN,
MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
MAXIGP0ARREADY => M_AXI_GP0_ARREADY,
MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0),
MAXIGP0ARVALID => M_AXI_GP0_ARVALID,
MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
MAXIGP0AWCACHE(3 downto 2) => \^m_axi_gp0_awcache\(3 downto 2),
MAXIGP0AWCACHE(1) => NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED(1),
MAXIGP0AWCACHE(0) => \^m_axi_gp0_awcache\(0),
MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
MAXIGP0AWREADY => M_AXI_GP0_AWREADY,
MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0),
MAXIGP0AWVALID => M_AXI_GP0_AWVALID,
MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
MAXIGP0BREADY => M_AXI_GP0_BREADY,
MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
MAXIGP0BVALID => M_AXI_GP0_BVALID,
MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
MAXIGP0RLAST => M_AXI_GP0_RLAST,
MAXIGP0RREADY => M_AXI_GP0_RREADY,
MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
MAXIGP0RVALID => M_AXI_GP0_RVALID,
MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
MAXIGP0WLAST => M_AXI_GP0_WLAST,
MAXIGP0WREADY => M_AXI_GP0_WREADY,
MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
MAXIGP0WVALID => M_AXI_GP0_WVALID,
MAXIGP1ACLK => M_AXI_GP1_ACLK,
MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0),
MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0),
MAXIGP1ARCACHE(3 downto 2) => \^m_axi_gp1_arcache\(3 downto 2),
MAXIGP1ARCACHE(1) => NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED(1),
MAXIGP1ARCACHE(0) => \^m_axi_gp1_arcache\(0),
MAXIGP1ARESETN => M_AXI_GP1_ARESETN,
MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0),
MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0),
MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0),
MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0),
MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0),
MAXIGP1ARREADY => M_AXI_GP1_ARREADY,
MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0),
MAXIGP1ARVALID => M_AXI_GP1_ARVALID,
MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0),
MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0),
MAXIGP1AWCACHE(3 downto 2) => \^m_axi_gp1_awcache\(3 downto 2),
MAXIGP1AWCACHE(1) => NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED(1),
MAXIGP1AWCACHE(0) => \^m_axi_gp1_awcache\(0),
MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0),
MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0),
MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0),
MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0),
MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0),
MAXIGP1AWREADY => M_AXI_GP1_AWREADY,
MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0),
MAXIGP1AWVALID => M_AXI_GP1_AWVALID,
MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0),
MAXIGP1BREADY => M_AXI_GP1_BREADY,
MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0),
MAXIGP1BVALID => M_AXI_GP1_BVALID,
MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0),
MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0),
MAXIGP1RLAST => M_AXI_GP1_RLAST,
MAXIGP1RREADY => M_AXI_GP1_RREADY,
MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0),
MAXIGP1RVALID => M_AXI_GP1_RVALID,
MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0),
MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0),
MAXIGP1WLAST => M_AXI_GP1_WLAST,
MAXIGP1WREADY => M_AXI_GP1_WREADY,
MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0),
MAXIGP1WVALID => M_AXI_GP1_WVALID,
MIO(53 downto 0) => buffered_MIO(53 downto 0),
PSCLK => buffered_PS_CLK,
PSPORB => buffered_PS_PORB,
PSSRSTB => buffered_PS_SRSTB,
SAXIACPACLK => S_AXI_ACP_ACLK,
SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0),
SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0),
SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0),
SAXIACPARESETN => S_AXI_ACP_ARESETN,
SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0),
SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0),
SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0),
SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0),
SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0),
SAXIACPARREADY => S_AXI_ACP_ARREADY,
SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0),
SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0),
SAXIACPARVALID => S_AXI_ACP_ARVALID,
SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0),
SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0),
SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0),
SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0),
SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0),
SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0),
SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0),
SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0),
SAXIACPAWREADY => S_AXI_ACP_AWREADY,
SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0),
SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0),
SAXIACPAWVALID => S_AXI_ACP_AWVALID,
SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0),
SAXIACPBREADY => S_AXI_ACP_BREADY,
SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0),
SAXIACPBVALID => S_AXI_ACP_BVALID,
SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0),
SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0),
SAXIACPRLAST => S_AXI_ACP_RLAST,
SAXIACPRREADY => S_AXI_ACP_RREADY,
SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0),
SAXIACPRVALID => S_AXI_ACP_RVALID,
SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0),
SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0),
SAXIACPWLAST => S_AXI_ACP_WLAST,
SAXIACPWREADY => S_AXI_ACP_WREADY,
SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0),
SAXIACPWVALID => S_AXI_ACP_WVALID,
SAXIGP0ACLK => S_AXI_GP0_ACLK,
SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0),
SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0),
SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0),
SAXIGP0ARESETN => S_AXI_GP0_ARESETN,
SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0),
SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0),
SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0),
SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0),
SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0),
SAXIGP0ARREADY => S_AXI_GP0_ARREADY,
SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0),
SAXIGP0ARVALID => S_AXI_GP0_ARVALID,
SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0),
SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0),
SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0),
SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0),
SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0),
SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0),
SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0),
SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0),
SAXIGP0AWREADY => S_AXI_GP0_AWREADY,
SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0),
SAXIGP0AWVALID => S_AXI_GP0_AWVALID,
SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0),
SAXIGP0BREADY => S_AXI_GP0_BREADY,
SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0),
SAXIGP0BVALID => S_AXI_GP0_BVALID,
SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0),
SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0),
SAXIGP0RLAST => S_AXI_GP0_RLAST,
SAXIGP0RREADY => S_AXI_GP0_RREADY,
SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0),
SAXIGP0RVALID => S_AXI_GP0_RVALID,
SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0),
SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0),
SAXIGP0WLAST => S_AXI_GP0_WLAST,
SAXIGP0WREADY => S_AXI_GP0_WREADY,
SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0),
SAXIGP0WVALID => S_AXI_GP0_WVALID,
SAXIGP1ACLK => S_AXI_GP1_ACLK,
SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0),
SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0),
SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0),
SAXIGP1ARESETN => S_AXI_GP1_ARESETN,
SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0),
SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0),
SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0),
SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0),
SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0),
SAXIGP1ARREADY => S_AXI_GP1_ARREADY,
SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0),
SAXIGP1ARVALID => S_AXI_GP1_ARVALID,
SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0),
SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0),
SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0),
SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0),
SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0),
SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0),
SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0),
SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0),
SAXIGP1AWREADY => S_AXI_GP1_AWREADY,
SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0),
SAXIGP1AWVALID => S_AXI_GP1_AWVALID,
SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0),
SAXIGP1BREADY => S_AXI_GP1_BREADY,
SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0),
SAXIGP1BVALID => S_AXI_GP1_BVALID,
SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0),
SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0),
SAXIGP1RLAST => S_AXI_GP1_RLAST,
SAXIGP1RREADY => S_AXI_GP1_RREADY,
SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0),
SAXIGP1RVALID => S_AXI_GP1_RVALID,
SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0),
SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0),
SAXIGP1WLAST => S_AXI_GP1_WLAST,
SAXIGP1WREADY => S_AXI_GP1_WREADY,
SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0),
SAXIGP1WVALID => S_AXI_GP1_WVALID,
SAXIHP0ACLK => S_AXI_HP0_ACLK,
SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0),
SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0),
SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0),
SAXIHP0ARESETN => S_AXI_HP0_ARESETN,
SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0),
SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0),
SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0),
SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0),
SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0),
SAXIHP0ARREADY => S_AXI_HP0_ARREADY,
SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0),
SAXIHP0ARVALID => S_AXI_HP0_ARVALID,
SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0),
SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0),
SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0),
SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0),
SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0),
SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0),
SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0),
SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0),
SAXIHP0AWREADY => S_AXI_HP0_AWREADY,
SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0),
SAXIHP0AWVALID => S_AXI_HP0_AWVALID,
SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0),
SAXIHP0BREADY => S_AXI_HP0_BREADY,
SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0),
SAXIHP0BVALID => S_AXI_HP0_BVALID,
SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0),
SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0),
SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0),
SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN,
SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0),
SAXIHP0RLAST => S_AXI_HP0_RLAST,
SAXIHP0RREADY => S_AXI_HP0_RREADY,
SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0),
SAXIHP0RVALID => S_AXI_HP0_RVALID,
SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0),
SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0),
SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0),
SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0),
SAXIHP0WLAST => S_AXI_HP0_WLAST,
SAXIHP0WREADY => S_AXI_HP0_WREADY,
SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN,
SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0),
SAXIHP0WVALID => S_AXI_HP0_WVALID,
SAXIHP1ACLK => S_AXI_HP1_ACLK,
SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0),
SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0),
SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0),
SAXIHP1ARESETN => S_AXI_HP1_ARESETN,
SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0),
SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0),
SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0),
SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0),
SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0),
SAXIHP1ARREADY => S_AXI_HP1_ARREADY,
SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0),
SAXIHP1ARVALID => S_AXI_HP1_ARVALID,
SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0),
SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0),
SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0),
SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0),
SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0),
SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0),
SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0),
SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0),
SAXIHP1AWREADY => S_AXI_HP1_AWREADY,
SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0),
SAXIHP1AWVALID => S_AXI_HP1_AWVALID,
SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0),
SAXIHP1BREADY => S_AXI_HP1_BREADY,
SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0),
SAXIHP1BVALID => S_AXI_HP1_BVALID,
SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0),
SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0),
SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0),
SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN,
SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0),
SAXIHP1RLAST => S_AXI_HP1_RLAST,
SAXIHP1RREADY => S_AXI_HP1_RREADY,
SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0),
SAXIHP1RVALID => S_AXI_HP1_RVALID,
SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0),
SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0),
SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0),
SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0),
SAXIHP1WLAST => S_AXI_HP1_WLAST,
SAXIHP1WREADY => S_AXI_HP1_WREADY,
SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN,
SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0),
SAXIHP1WVALID => S_AXI_HP1_WVALID,
SAXIHP2ACLK => S_AXI_HP2_ACLK,
SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0),
SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0),
SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0),
SAXIHP2ARESETN => S_AXI_HP2_ARESETN,
SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0),
SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0),
SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0),
SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0),
SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0),
SAXIHP2ARREADY => S_AXI_HP2_ARREADY,
SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0),
SAXIHP2ARVALID => S_AXI_HP2_ARVALID,
SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0),
SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0),
SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0),
SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0),
SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0),
SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0),
SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0),
SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0),
SAXIHP2AWREADY => S_AXI_HP2_AWREADY,
SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0),
SAXIHP2AWVALID => S_AXI_HP2_AWVALID,
SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0),
SAXIHP2BREADY => S_AXI_HP2_BREADY,
SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0),
SAXIHP2BVALID => S_AXI_HP2_BVALID,
SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0),
SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0),
SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0),
SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN,
SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0),
SAXIHP2RLAST => S_AXI_HP2_RLAST,
SAXIHP2RREADY => S_AXI_HP2_RREADY,
SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0),
SAXIHP2RVALID => S_AXI_HP2_RVALID,
SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0),
SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0),
SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0),
SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0),
SAXIHP2WLAST => S_AXI_HP2_WLAST,
SAXIHP2WREADY => S_AXI_HP2_WREADY,
SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN,
SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0),
SAXIHP2WVALID => S_AXI_HP2_WVALID,
SAXIHP3ACLK => S_AXI_HP3_ACLK,
SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0),
SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0),
SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0),
SAXIHP3ARESETN => S_AXI_HP3_ARESETN,
SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0),
SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0),
SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0),
SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0),
SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0),
SAXIHP3ARREADY => S_AXI_HP3_ARREADY,
SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0),
SAXIHP3ARVALID => S_AXI_HP3_ARVALID,
SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0),
SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0),
SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0),
SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0),
SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0),
SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0),
SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0),
SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0),
SAXIHP3AWREADY => S_AXI_HP3_AWREADY,
SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0),
SAXIHP3AWVALID => S_AXI_HP3_AWVALID,
SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0),
SAXIHP3BREADY => S_AXI_HP3_BREADY,
SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0),
SAXIHP3BVALID => S_AXI_HP3_BVALID,
SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0),
SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0),
SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0),
SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN,
SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0),
SAXIHP3RLAST => S_AXI_HP3_RLAST,
SAXIHP3RREADY => S_AXI_HP3_RREADY,
SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0),
SAXIHP3RVALID => S_AXI_HP3_RVALID,
SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0),
SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0),
SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0),
SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0),
SAXIHP3WLAST => S_AXI_HP3_WLAST,
SAXIHP3WREADY => S_AXI_HP3_WREADY,
SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN,
SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0),
SAXIHP3WVALID => S_AXI_HP3_WVALID
);
PS_CLK_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_CLK,
PAD => PS_CLK
);
PS_PORB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_PORB,
PAD => PS_PORB
);
PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_SRSTB,
PAD => PS_SRSTB
);
SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_CMD_T_n,
O => SDIO0_CMD_T
);
\SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(0),
O => SDIO0_DATA_T(0)
);
\SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(1),
O => SDIO0_DATA_T(1)
);
\SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(2),
O => SDIO0_DATA_T(2)
);
\SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(3),
O => SDIO0_DATA_T(3)
);
SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_CMD_T_n,
O => SDIO1_CMD_T
);
\SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(0),
O => SDIO1_DATA_T(0)
);
\SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(1),
O => SDIO1_DATA_T(1)
);
\SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(2),
O => SDIO1_DATA_T(2)
);
\SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(3),
O => SDIO1_DATA_T(3)
);
SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MISO_T_n,
O => SPI0_MISO_T
);
SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MOSI_T_n,
O => SPI0_MOSI_T
);
SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SCLK_T_n,
O => SPI0_SCLK_T
);
SPI0_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SS_T_n,
O => SPI0_SS_T
);
SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MISO_T_n,
O => SPI1_MISO_T
);
SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MOSI_T_n,
O => SPI1_MOSI_T
);
SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SCLK_T_n,
O => SPI1_SCLK_T
);
SPI1_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SS_T_n,
O => SPI1_SS_T
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\buffer_fclk_clk_0.FCLK_CLK_0_BUFG\: unisim.vcomponents.BUFG
port map (
I => FCLK_CLK_unbuffered(0),
O => FCLK_CLK0
);
\genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(0),
PAD => MIO(0)
);
\genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(10),
PAD => MIO(10)
);
\genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(11),
PAD => MIO(11)
);
\genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(12),
PAD => MIO(12)
);
\genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(13),
PAD => MIO(13)
);
\genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(14),
PAD => MIO(14)
);
\genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(15),
PAD => MIO(15)
);
\genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(16),
PAD => MIO(16)
);
\genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(17),
PAD => MIO(17)
);
\genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(18),
PAD => MIO(18)
);
\genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(19),
PAD => MIO(19)
);
\genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(1),
PAD => MIO(1)
);
\genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(20),
PAD => MIO(20)
);
\genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(21),
PAD => MIO(21)
);
\genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(22),
PAD => MIO(22)
);
\genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(23),
PAD => MIO(23)
);
\genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(24),
PAD => MIO(24)
);
\genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(25),
PAD => MIO(25)
);
\genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(26),
PAD => MIO(26)
);
\genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(27),
PAD => MIO(27)
);
\genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(28),
PAD => MIO(28)
);
\genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(29),
PAD => MIO(29)
);
\genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(2),
PAD => MIO(2)
);
\genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(30),
PAD => MIO(30)
);
\genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(31),
PAD => MIO(31)
);
\genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(32),
PAD => MIO(32)
);
\genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(33),
PAD => MIO(33)
);
\genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(34),
PAD => MIO(34)
);
\genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(35),
PAD => MIO(35)
);
\genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(36),
PAD => MIO(36)
);
\genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(37),
PAD => MIO(37)
);
\genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(38),
PAD => MIO(38)
);
\genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(39),
PAD => MIO(39)
);
\genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(3),
PAD => MIO(3)
);
\genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(40),
PAD => MIO(40)
);
\genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(41),
PAD => MIO(41)
);
\genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(42),
PAD => MIO(42)
);
\genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(43),
PAD => MIO(43)
);
\genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(44),
PAD => MIO(44)
);
\genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(45),
PAD => MIO(45)
);
\genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(46),
PAD => MIO(46)
);
\genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(47),
PAD => MIO(47)
);
\genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(48),
PAD => MIO(48)
);
\genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(49),
PAD => MIO(49)
);
\genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(4),
PAD => MIO(4)
);
\genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(50),
PAD => MIO(50)
);
\genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(51),
PAD => MIO(51)
);
\genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(52),
PAD => MIO(52)
);
\genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(53),
PAD => MIO(53)
);
\genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(5),
PAD => MIO(5)
);
\genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(6),
PAD => MIO(6)
);
\genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(7),
PAD => MIO(7)
);
\genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(8),
PAD => MIO(8)
);
\genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(9),
PAD => MIO(9)
);
\genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(0),
PAD => DDR_BankAddr(0)
);
\genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(1),
PAD => DDR_BankAddr(1)
);
\genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(2),
PAD => DDR_BankAddr(2)
);
\genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(0),
PAD => DDR_Addr(0)
);
\genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(10),
PAD => DDR_Addr(10)
);
\genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(11),
PAD => DDR_Addr(11)
);
\genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(12),
PAD => DDR_Addr(12)
);
\genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(13),
PAD => DDR_Addr(13)
);
\genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(14),
PAD => DDR_Addr(14)
);
\genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(1),
PAD => DDR_Addr(1)
);
\genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(2),
PAD => DDR_Addr(2)
);
\genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(3),
PAD => DDR_Addr(3)
);
\genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(4),
PAD => DDR_Addr(4)
);
\genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(5),
PAD => DDR_Addr(5)
);
\genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(6),
PAD => DDR_Addr(6)
);
\genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(7),
PAD => DDR_Addr(7)
);
\genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(8),
PAD => DDR_Addr(8)
);
\genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(9),
PAD => DDR_Addr(9)
);
\genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(0),
PAD => DDR_DM(0)
);
\genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(1),
PAD => DDR_DM(1)
);
\genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(2),
PAD => DDR_DM(2)
);
\genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(3),
PAD => DDR_DM(3)
);
\genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(0),
PAD => DDR_DQ(0)
);
\genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(10),
PAD => DDR_DQ(10)
);
\genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(11),
PAD => DDR_DQ(11)
);
\genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(12),
PAD => DDR_DQ(12)
);
\genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(13),
PAD => DDR_DQ(13)
);
\genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(14),
PAD => DDR_DQ(14)
);
\genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(15),
PAD => DDR_DQ(15)
);
\genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(16),
PAD => DDR_DQ(16)
);
\genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(17),
PAD => DDR_DQ(17)
);
\genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(18),
PAD => DDR_DQ(18)
);
\genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(19),
PAD => DDR_DQ(19)
);
\genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(1),
PAD => DDR_DQ(1)
);
\genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(20),
PAD => DDR_DQ(20)
);
\genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(21),
PAD => DDR_DQ(21)
);
\genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(22),
PAD => DDR_DQ(22)
);
\genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(23),
PAD => DDR_DQ(23)
);
\genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(24),
PAD => DDR_DQ(24)
);
\genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(25),
PAD => DDR_DQ(25)
);
\genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(26),
PAD => DDR_DQ(26)
);
\genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(27),
PAD => DDR_DQ(27)
);
\genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(28),
PAD => DDR_DQ(28)
);
\genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(29),
PAD => DDR_DQ(29)
);
\genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(2),
PAD => DDR_DQ(2)
);
\genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(30),
PAD => DDR_DQ(30)
);
\genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(31),
PAD => DDR_DQ(31)
);
\genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(3),
PAD => DDR_DQ(3)
);
\genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(4),
PAD => DDR_DQ(4)
);
\genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(5),
PAD => DDR_DQ(5)
);
\genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(6),
PAD => DDR_DQ(6)
);
\genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(7),
PAD => DDR_DQ(7)
);
\genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(8),
PAD => DDR_DQ(8)
);
\genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(9),
PAD => DDR_DQ(9)
);
\genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(0),
PAD => DDR_DQS_n(0)
);
\genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(1),
PAD => DDR_DQS_n(1)
);
\genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(2),
PAD => DDR_DQS_n(2)
);
\genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(3),
PAD => DDR_DQS_n(3)
);
\genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(0),
PAD => DDR_DQS(0)
);
\genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(1),
PAD => DDR_DQS(1)
);
\genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(2),
PAD => DDR_DQS(2)
);
\genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(3),
PAD => DDR_DQS(3)
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[0]\
);
i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(1)
);
i_10: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(1)
);
i_11: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(0)
);
i_12: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(1)
);
i_13: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(0)
);
i_14: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(1)
);
i_15: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(0)
);
i_16: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(1)
);
i_17: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(0)
);
i_18: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(1)
);
i_19: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(0)
);
i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(0)
);
i_20: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(1)
);
i_21: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(0)
);
i_22: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(1)
);
i_23: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(0)
);
i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[7]\
);
i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[6]\
);
i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[5]\
);
i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[4]\
);
i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[3]\
);
i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[2]\
);
i_9: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[1]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK3 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "gcd_zynq_snick_processing_system7_0_0,processing_system7_v5_5_processing_system7,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "processing_system7_v5_5_processing_system7,Vivado 2018.2";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB0_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB0_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of inst : label is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of inst : label is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of inst : label is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of inst : label is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of inst : label is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of inst : label is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of inst : label is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of inst : label is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of inst : label is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of inst : label is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 1;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 1;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of inst : label is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of inst : label is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of inst : label is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of inst : label is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of inst : label is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of inst : label is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of inst : label is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of inst : label is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of inst : label is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of inst : label is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of inst : label is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of inst : label is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of inst : label is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of inst : label is "gcd_zynq_snick_processing_system7_0_0.hwdef";
attribute POWER : string;
attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={867} load={0.5} /><MEMORY name={code} memType={LPDDR2} dataWidth={32} clockFreq={400} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={26} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS18} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={SPI} ioStandard={LVCMOS18} bidis={5} ioBank={Vcco_p1} clockFreq={166.666489} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={49.999947} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p0} clockFreq={99.999893} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p1} clockFreq={99.999893} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1733.332} /><PLL domain={Memory} vco={1599.998} /><PLL domain={IO} vco={1999.998} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={49} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0;
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of DDR_CAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CAS_N";
attribute X_INTERFACE_INFO of DDR_CKE : signal is "xilinx.com:interface:ddrx:1.0 DDR CKE";
attribute X_INTERFACE_INFO of DDR_CS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CS_N";
attribute X_INTERFACE_INFO of DDR_Clk : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_P";
attribute X_INTERFACE_INFO of DDR_Clk_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_N";
attribute X_INTERFACE_INFO of DDR_DRSTB : signal is "xilinx.com:interface:ddrx:1.0 DDR RESET_N";
attribute X_INTERFACE_INFO of DDR_ODT : signal is "xilinx.com:interface:ddrx:1.0 DDR ODT";
attribute X_INTERFACE_INFO of DDR_RAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR RAS_N";
attribute X_INTERFACE_INFO of DDR_VRN : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRN";
attribute X_INTERFACE_INFO of DDR_VRP : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRP";
attribute X_INTERFACE_INFO of DDR_WEB : signal is "xilinx.com:interface:ddrx:1.0 DDR WE_N";
attribute X_INTERFACE_INFO of FCLK_CLK0 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK0 CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of FCLK_CLK0 : signal is "XIL_INTERFACENAME FCLK_CLK0, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of FCLK_CLK1 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK1 CLK";
attribute X_INTERFACE_PARAMETER of FCLK_CLK1 : signal is "XIL_INTERFACENAME FCLK_CLK1, FREQ_HZ 99999893, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK1";
attribute X_INTERFACE_INFO of FCLK_CLK2 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK2 CLK";
attribute X_INTERFACE_PARAMETER of FCLK_CLK2 : signal is "XIL_INTERFACENAME FCLK_CLK2, FREQ_HZ 153845993, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK2";
attribute X_INTERFACE_INFO of FCLK_CLK3 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK3 CLK";
attribute X_INTERFACE_PARAMETER of FCLK_CLK3 : signal is "XIL_INTERFACENAME FCLK_CLK3, FREQ_HZ 199999786, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK3";
attribute X_INTERFACE_INFO of FCLK_RESET0_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET0_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET0_N : signal is "XIL_INTERFACENAME FCLK_RESET0_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of FCLK_RESET1_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET1_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET1_N : signal is "XIL_INTERFACENAME FCLK_RESET1_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of FCLK_RESET2_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET2_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET2_N : signal is "XIL_INTERFACENAME FCLK_RESET2_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of FCLK_RESET3_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET3_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET3_N : signal is "XIL_INTERFACENAME FCLK_RESET3_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of M_AXI_GP0_ACLK : signal is "xilinx.com:signal:clock:1.0 M_AXI_GP0_ACLK CLK";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_ACLK : signal is "XIL_INTERFACENAME M_AXI_GP0_ACLK, ASSOCIATED_BUSIF M_AXI_GP0, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_BVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_RREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_RVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_WREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_WVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WVALID";
attribute X_INTERFACE_INFO of PS_CLK : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_CLK";
attribute X_INTERFACE_INFO of PS_PORB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_PORB";
attribute X_INTERFACE_PARAMETER of PS_PORB : signal is "XIL_INTERFACENAME FIXED_IO, CAN_DEBUG false";
attribute X_INTERFACE_INFO of PS_SRSTB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_SRSTB";
attribute X_INTERFACE_INFO of DDR_Addr : signal is "xilinx.com:interface:ddrx:1.0 DDR ADDR";
attribute X_INTERFACE_INFO of DDR_BankAddr : signal is "xilinx.com:interface:ddrx:1.0 DDR BA";
attribute X_INTERFACE_INFO of DDR_DM : signal is "xilinx.com:interface:ddrx:1.0 DDR DM";
attribute X_INTERFACE_INFO of DDR_DQ : signal is "xilinx.com:interface:ddrx:1.0 DDR DQ";
attribute X_INTERFACE_INFO of DDR_DQS : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_P";
attribute X_INTERFACE_PARAMETER of DDR_DQS : signal is "XIL_INTERFACENAME DDR, CAN_DEBUG false, TIMEPERIOD_PS 1250, MEMORY_TYPE COMPONENTS, DATA_WIDTH 8, CS_ENABLED true, DATA_MASK_ENABLED true, SLOT Single, MEM_ADDR_MAP ROW_COLUMN_BANK, BURST_LENGTH 8, AXI_ARBITRATION_SCHEME TDM, CAS_LATENCY 11, CAS_WRITE_LATENCY 11";
attribute X_INTERFACE_INFO of DDR_DQS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_N";
attribute X_INTERFACE_INFO of GPIO_I : signal is "xilinx.com:interface:gpio:1.0 GPIO_0 TRI_I";
attribute X_INTERFACE_INFO of GPIO_O : signal is "xilinx.com:interface:gpio:1.0 GPIO_0 TRI_O";
attribute X_INTERFACE_INFO of GPIO_T : signal is "xilinx.com:interface:gpio:1.0 GPIO_0 TRI_T";
attribute X_INTERFACE_INFO of IRQ_F2P : signal is "xilinx.com:signal:interrupt:1.0 IRQ_F2P INTERRUPT";
attribute X_INTERFACE_PARAMETER of IRQ_F2P : signal is "XIL_INTERFACENAME IRQ_F2P, SENSITIVITY LEVEL_HIGH, PortWidth 1";
attribute X_INTERFACE_INFO of MIO : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO MIO";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARID";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_BID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_RDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RDATA";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_RDATA : signal is "XIL_INTERFACENAME M_AXI_GP0, SUPPORTS_NARROW_BURST 0, NUM_WRITE_OUTSTANDING 8, NUM_READ_OUTSTANDING 8, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 49999947, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of M_AXI_GP0_RID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_WDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WDATA";
attribute X_INTERFACE_INFO of M_AXI_GP0_WID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WSTRB : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WSTRB";
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7
port map (
CAN0_PHY_RX => '0',
CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED,
CAN1_PHY_RX => '0',
CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED,
Core0_nFIQ => '0',
Core0_nIRQ => '0',
Core1_nFIQ => '0',
Core1_nIRQ => '0',
DDR_ARB(3 downto 0) => B"0000",
DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0),
DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0),
DDR_CAS_n => DDR_CAS_n,
DDR_CKE => DDR_CKE,
DDR_CS_n => DDR_CS_n,
DDR_Clk => DDR_Clk,
DDR_Clk_n => DDR_Clk_n,
DDR_DM(3 downto 0) => DDR_DM(3 downto 0),
DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0),
DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0),
DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0),
DDR_DRSTB => DDR_DRSTB,
DDR_ODT => DDR_ODT,
DDR_RAS_n => DDR_RAS_n,
DDR_VRN => DDR_VRN,
DDR_VRP => DDR_VRP,
DDR_WEB => DDR_WEB,
DMA0_ACLK => '0',
DMA0_DAREADY => '0',
DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0),
DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED,
DMA0_DRLAST => '0',
DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED,
DMA0_DRTYPE(1 downto 0) => B"00",
DMA0_DRVALID => '0',
DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED,
DMA1_ACLK => '0',
DMA1_DAREADY => '0',
DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0),
DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED,
DMA1_DRLAST => '0',
DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED,
DMA1_DRTYPE(1 downto 0) => B"00",
DMA1_DRVALID => '0',
DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED,
DMA2_ACLK => '0',
DMA2_DAREADY => '0',
DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0),
DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED,
DMA2_DRLAST => '0',
DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED,
DMA2_DRTYPE(1 downto 0) => B"00",
DMA2_DRVALID => '0',
DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED,
DMA3_ACLK => '0',
DMA3_DAREADY => '0',
DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0),
DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED,
DMA3_DRLAST => '0',
DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED,
DMA3_DRTYPE(1 downto 0) => B"00",
DMA3_DRVALID => '0',
DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED,
ENET0_EXT_INTIN => '0',
ENET0_GMII_COL => '0',
ENET0_GMII_CRS => '0',
ENET0_GMII_RXD(7 downto 0) => B"00000000",
ENET0_GMII_RX_CLK => '0',
ENET0_GMII_RX_DV => '0',
ENET0_GMII_RX_ER => '0',
ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0),
ENET0_GMII_TX_CLK => '0',
ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED,
ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED,
ENET0_MDIO_I => '0',
ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED,
ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED,
ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED,
ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED,
ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED,
ENET1_EXT_INTIN => '0',
ENET1_GMII_COL => '0',
ENET1_GMII_CRS => '0',
ENET1_GMII_RXD(7 downto 0) => B"00000000",
ENET1_GMII_RX_CLK => '0',
ENET1_GMII_RX_DV => '0',
ENET1_GMII_RX_ER => '0',
ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0),
ENET1_GMII_TX_CLK => '0',
ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED,
ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED,
ENET1_MDIO_I => '0',
ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED,
ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED,
ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED,
ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED,
ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED,
EVENT_EVENTI => '0',
EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED,
EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0),
EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0),
FCLK_CLK0 => FCLK_CLK0,
FCLK_CLK1 => FCLK_CLK1,
FCLK_CLK2 => FCLK_CLK2,
FCLK_CLK3 => FCLK_CLK3,
FCLK_CLKTRIG0_N => '0',
FCLK_CLKTRIG1_N => '0',
FCLK_CLKTRIG2_N => '0',
FCLK_CLKTRIG3_N => '0',
FCLK_RESET0_N => FCLK_RESET0_N,
FCLK_RESET1_N => FCLK_RESET1_N,
FCLK_RESET2_N => FCLK_RESET2_N,
FCLK_RESET3_N => FCLK_RESET3_N,
FPGA_IDLE_N => '0',
FTMD_TRACEIN_ATID(3 downto 0) => B"0000",
FTMD_TRACEIN_CLK => '0',
FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000",
FTMD_TRACEIN_VALID => '0',
FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000",
FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED,
FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED,
FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED,
FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED,
FTMT_F2P_TRIG_0 => '0',
FTMT_F2P_TRIG_1 => '0',
FTMT_F2P_TRIG_2 => '0',
FTMT_F2P_TRIG_3 => '0',
FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0),
FTMT_P2F_TRIGACK_0 => '0',
FTMT_P2F_TRIGACK_1 => '0',
FTMT_P2F_TRIGACK_2 => '0',
FTMT_P2F_TRIGACK_3 => '0',
FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED,
FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED,
FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED,
FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED,
GPIO_I(63 downto 0) => GPIO_I(63 downto 0),
GPIO_O(63 downto 0) => GPIO_O(63 downto 0),
GPIO_T(63 downto 0) => GPIO_T(63 downto 0),
I2C0_SCL_I => '0',
I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED,
I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED,
I2C0_SDA_I => '0',
I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED,
I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED,
I2C1_SCL_I => '0',
I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED,
I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED,
I2C1_SDA_I => '0',
I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED,
I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED,
IRQ_F2P(0) => IRQ_F2P(0),
IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED,
IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED,
IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED,
IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED,
IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED,
IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED,
IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED,
IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED,
IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED,
IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED,
IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED,
IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED,
IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED,
IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED,
IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED,
IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED,
IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED,
IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED,
IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED,
IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED,
IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED,
IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED,
IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED,
IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED,
IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED,
IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED,
IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED,
IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED,
IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED,
MIO(53 downto 0) => MIO(53 downto 0),
M_AXI_GP0_ACLK => M_AXI_GP0_ACLK,
M_AXI_GP0_ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
M_AXI_GP0_ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
M_AXI_GP0_ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0),
M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED,
M_AXI_GP0_ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
M_AXI_GP0_ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
M_AXI_GP0_ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
M_AXI_GP0_ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
M_AXI_GP0_ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
M_AXI_GP0_ARREADY => M_AXI_GP0_ARREADY,
M_AXI_GP0_ARSIZE(2 downto 0) => M_AXI_GP0_ARSIZE(2 downto 0),
M_AXI_GP0_ARVALID => M_AXI_GP0_ARVALID,
M_AXI_GP0_AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
M_AXI_GP0_AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
M_AXI_GP0_AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0),
M_AXI_GP0_AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
M_AXI_GP0_AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
M_AXI_GP0_AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
M_AXI_GP0_AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
M_AXI_GP0_AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
M_AXI_GP0_AWREADY => M_AXI_GP0_AWREADY,
M_AXI_GP0_AWSIZE(2 downto 0) => M_AXI_GP0_AWSIZE(2 downto 0),
M_AXI_GP0_AWVALID => M_AXI_GP0_AWVALID,
M_AXI_GP0_BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
M_AXI_GP0_BREADY => M_AXI_GP0_BREADY,
M_AXI_GP0_BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
M_AXI_GP0_BVALID => M_AXI_GP0_BVALID,
M_AXI_GP0_RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
M_AXI_GP0_RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
M_AXI_GP0_RLAST => M_AXI_GP0_RLAST,
M_AXI_GP0_RREADY => M_AXI_GP0_RREADY,
M_AXI_GP0_RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
M_AXI_GP0_RVALID => M_AXI_GP0_RVALID,
M_AXI_GP0_WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
M_AXI_GP0_WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
M_AXI_GP0_WLAST => M_AXI_GP0_WLAST,
M_AXI_GP0_WREADY => M_AXI_GP0_WREADY,
M_AXI_GP0_WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
M_AXI_GP0_WVALID => M_AXI_GP0_WVALID,
M_AXI_GP1_ACLK => '0',
M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED,
M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0),
M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARREADY => '0',
M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED,
M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0),
M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWREADY => '0',
M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED,
M_AXI_GP1_BID(11 downto 0) => B"000000000000",
M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED,
M_AXI_GP1_BRESP(1 downto 0) => B"00",
M_AXI_GP1_BVALID => '0',
M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000",
M_AXI_GP1_RID(11 downto 0) => B"000000000000",
M_AXI_GP1_RLAST => '0',
M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED,
M_AXI_GP1_RRESP(1 downto 0) => B"00",
M_AXI_GP1_RVALID => '0',
M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0),
M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0),
M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED,
M_AXI_GP1_WREADY => '0',
M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0),
M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED,
PJTAG_TCK => '0',
PJTAG_TDI => '0',
PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED,
PJTAG_TMS => '0',
PS_CLK => PS_CLK,
PS_PORB => PS_PORB,
PS_SRSTB => PS_SRSTB,
SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED,
SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO0_CDN => '0',
SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED,
SDIO0_CLK_FB => '0',
SDIO0_CMD_I => '0',
SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED,
SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED,
SDIO0_DATA_I(3 downto 0) => B"0000",
SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0),
SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0),
SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED,
SDIO0_WP => '0',
SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED,
SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO1_CDN => '0',
SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED,
SDIO1_CLK_FB => '0',
SDIO1_CMD_I => '0',
SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED,
SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED,
SDIO1_DATA_I(3 downto 0) => B"0000",
SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0),
SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0),
SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED,
SDIO1_WP => '0',
SPI0_MISO_I => '0',
SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED,
SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED,
SPI0_MOSI_I => '0',
SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED,
SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED,
SPI0_SCLK_I => '0',
SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED,
SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED,
SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED,
SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED,
SPI0_SS_I => '0',
SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED,
SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED,
SPI1_MISO_I => '0',
SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED,
SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED,
SPI1_MOSI_I => '0',
SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED,
SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED,
SPI1_SCLK_I => '0',
SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED,
SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED,
SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED,
SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED,
SPI1_SS_I => '0',
SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED,
SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED,
SRAM_INTIN => '0',
S_AXI_ACP_ACLK => '0',
S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_ARBURST(1 downto 0) => B"00",
S_AXI_ACP_ARCACHE(3 downto 0) => B"0000",
S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED,
S_AXI_ACP_ARID(2 downto 0) => B"000",
S_AXI_ACP_ARLEN(3 downto 0) => B"0000",
S_AXI_ACP_ARLOCK(1 downto 0) => B"00",
S_AXI_ACP_ARPROT(2 downto 0) => B"000",
S_AXI_ACP_ARQOS(3 downto 0) => B"0000",
S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED,
S_AXI_ACP_ARSIZE(2 downto 0) => B"000",
S_AXI_ACP_ARUSER(4 downto 0) => B"00000",
S_AXI_ACP_ARVALID => '0',
S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_AWBURST(1 downto 0) => B"00",
S_AXI_ACP_AWCACHE(3 downto 0) => B"0000",
S_AXI_ACP_AWID(2 downto 0) => B"000",
S_AXI_ACP_AWLEN(3 downto 0) => B"0000",
S_AXI_ACP_AWLOCK(1 downto 0) => B"00",
S_AXI_ACP_AWPROT(2 downto 0) => B"000",
S_AXI_ACP_AWQOS(3 downto 0) => B"0000",
S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED,
S_AXI_ACP_AWSIZE(2 downto 0) => B"000",
S_AXI_ACP_AWUSER(4 downto 0) => B"00000",
S_AXI_ACP_AWVALID => '0',
S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0),
S_AXI_ACP_BREADY => '0',
S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED,
S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0),
S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0),
S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED,
S_AXI_ACP_RREADY => '0',
S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED,
S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_ACP_WID(2 downto 0) => B"000",
S_AXI_ACP_WLAST => '0',
S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED,
S_AXI_ACP_WSTRB(7 downto 0) => B"00000000",
S_AXI_ACP_WVALID => '0',
S_AXI_GP0_ACLK => '0',
S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_ARBURST(1 downto 0) => B"00",
S_AXI_GP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED,
S_AXI_GP0_ARID(5 downto 0) => B"000000",
S_AXI_GP0_ARLEN(3 downto 0) => B"0000",
S_AXI_GP0_ARLOCK(1 downto 0) => B"00",
S_AXI_GP0_ARPROT(2 downto 0) => B"000",
S_AXI_GP0_ARQOS(3 downto 0) => B"0000",
S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED,
S_AXI_GP0_ARSIZE(2 downto 0) => B"000",
S_AXI_GP0_ARVALID => '0',
S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_AWBURST(1 downto 0) => B"00",
S_AXI_GP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP0_AWID(5 downto 0) => B"000000",
S_AXI_GP0_AWLEN(3 downto 0) => B"0000",
S_AXI_GP0_AWLOCK(1 downto 0) => B"00",
S_AXI_GP0_AWPROT(2 downto 0) => B"000",
S_AXI_GP0_AWQOS(3 downto 0) => B"0000",
S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED,
S_AXI_GP0_AWSIZE(2 downto 0) => B"000",
S_AXI_GP0_AWVALID => '0',
S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0),
S_AXI_GP0_BREADY => '0',
S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED,
S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0),
S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED,
S_AXI_GP0_RREADY => '0',
S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED,
S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_WID(5 downto 0) => B"000000",
S_AXI_GP0_WLAST => '0',
S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED,
S_AXI_GP0_WSTRB(3 downto 0) => B"0000",
S_AXI_GP0_WVALID => '0',
S_AXI_GP1_ACLK => '0',
S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_ARBURST(1 downto 0) => B"00",
S_AXI_GP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED,
S_AXI_GP1_ARID(5 downto 0) => B"000000",
S_AXI_GP1_ARLEN(3 downto 0) => B"0000",
S_AXI_GP1_ARLOCK(1 downto 0) => B"00",
S_AXI_GP1_ARPROT(2 downto 0) => B"000",
S_AXI_GP1_ARQOS(3 downto 0) => B"0000",
S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED,
S_AXI_GP1_ARSIZE(2 downto 0) => B"000",
S_AXI_GP1_ARVALID => '0',
S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_AWBURST(1 downto 0) => B"00",
S_AXI_GP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP1_AWID(5 downto 0) => B"000000",
S_AXI_GP1_AWLEN(3 downto 0) => B"0000",
S_AXI_GP1_AWLOCK(1 downto 0) => B"00",
S_AXI_GP1_AWPROT(2 downto 0) => B"000",
S_AXI_GP1_AWQOS(3 downto 0) => B"0000",
S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED,
S_AXI_GP1_AWSIZE(2 downto 0) => B"000",
S_AXI_GP1_AWVALID => '0',
S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0),
S_AXI_GP1_BREADY => '0',
S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED,
S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0),
S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED,
S_AXI_GP1_RREADY => '0',
S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED,
S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_WID(5 downto 0) => B"000000",
S_AXI_GP1_WLAST => '0',
S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED,
S_AXI_GP1_WSTRB(3 downto 0) => B"0000",
S_AXI_GP1_WVALID => '0',
S_AXI_HP0_ACLK => '0',
S_AXI_HP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_ARBURST(1 downto 0) => B"00",
S_AXI_HP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED,
S_AXI_HP0_ARID(5 downto 0) => B"000000",
S_AXI_HP0_ARLEN(3 downto 0) => B"0000",
S_AXI_HP0_ARLOCK(1 downto 0) => B"00",
S_AXI_HP0_ARPROT(2 downto 0) => B"000",
S_AXI_HP0_ARQOS(3 downto 0) => B"0000",
S_AXI_HP0_ARREADY => NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED,
S_AXI_HP0_ARSIZE(2 downto 0) => B"000",
S_AXI_HP0_ARVALID => '0',
S_AXI_HP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_AWBURST(1 downto 0) => B"00",
S_AXI_HP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP0_AWID(5 downto 0) => B"000000",
S_AXI_HP0_AWLEN(3 downto 0) => B"0000",
S_AXI_HP0_AWLOCK(1 downto 0) => B"00",
S_AXI_HP0_AWPROT(2 downto 0) => B"000",
S_AXI_HP0_AWQOS(3 downto 0) => B"0000",
S_AXI_HP0_AWREADY => NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED,
S_AXI_HP0_AWSIZE(2 downto 0) => B"000",
S_AXI_HP0_AWVALID => '0',
S_AXI_HP0_BID(5 downto 0) => NLW_inst_S_AXI_HP0_BID_UNCONNECTED(5 downto 0),
S_AXI_HP0_BREADY => '0',
S_AXI_HP0_BRESP(1 downto 0) => NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_BVALID => NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED,
S_AXI_HP0_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP0_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_RDATA(63 downto 0) => NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP0_RDISSUECAP1_EN => '0',
S_AXI_HP0_RID(5 downto 0) => NLW_inst_S_AXI_HP0_RID_UNCONNECTED(5 downto 0),
S_AXI_HP0_RLAST => NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED,
S_AXI_HP0_RREADY => '0',
S_AXI_HP0_RRESP(1 downto 0) => NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_RVALID => NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED,
S_AXI_HP0_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP0_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP0_WID(5 downto 0) => B"000000",
S_AXI_HP0_WLAST => '0',
S_AXI_HP0_WREADY => NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED,
S_AXI_HP0_WRISSUECAP1_EN => '0',
S_AXI_HP0_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP0_WVALID => '0',
S_AXI_HP1_ACLK => '0',
S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_ARBURST(1 downto 0) => B"00",
S_AXI_HP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED,
S_AXI_HP1_ARID(5 downto 0) => B"000000",
S_AXI_HP1_ARLEN(3 downto 0) => B"0000",
S_AXI_HP1_ARLOCK(1 downto 0) => B"00",
S_AXI_HP1_ARPROT(2 downto 0) => B"000",
S_AXI_HP1_ARQOS(3 downto 0) => B"0000",
S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED,
S_AXI_HP1_ARSIZE(2 downto 0) => B"000",
S_AXI_HP1_ARVALID => '0',
S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_AWBURST(1 downto 0) => B"00",
S_AXI_HP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP1_AWID(5 downto 0) => B"000000",
S_AXI_HP1_AWLEN(3 downto 0) => B"0000",
S_AXI_HP1_AWLOCK(1 downto 0) => B"00",
S_AXI_HP1_AWPROT(2 downto 0) => B"000",
S_AXI_HP1_AWQOS(3 downto 0) => B"0000",
S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED,
S_AXI_HP1_AWSIZE(2 downto 0) => B"000",
S_AXI_HP1_AWVALID => '0',
S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0),
S_AXI_HP1_BREADY => '0',
S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED,
S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP1_RDISSUECAP1_EN => '0',
S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0),
S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED,
S_AXI_HP1_RREADY => '0',
S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED,
S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP1_WID(5 downto 0) => B"000000",
S_AXI_HP1_WLAST => '0',
S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED,
S_AXI_HP1_WRISSUECAP1_EN => '0',
S_AXI_HP1_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP1_WVALID => '0',
S_AXI_HP2_ACLK => '0',
S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_ARBURST(1 downto 0) => B"00",
S_AXI_HP2_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED,
S_AXI_HP2_ARID(5 downto 0) => B"000000",
S_AXI_HP2_ARLEN(3 downto 0) => B"0000",
S_AXI_HP2_ARLOCK(1 downto 0) => B"00",
S_AXI_HP2_ARPROT(2 downto 0) => B"000",
S_AXI_HP2_ARQOS(3 downto 0) => B"0000",
S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED,
S_AXI_HP2_ARSIZE(2 downto 0) => B"000",
S_AXI_HP2_ARVALID => '0',
S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_AWBURST(1 downto 0) => B"00",
S_AXI_HP2_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP2_AWID(5 downto 0) => B"000000",
S_AXI_HP2_AWLEN(3 downto 0) => B"0000",
S_AXI_HP2_AWLOCK(1 downto 0) => B"00",
S_AXI_HP2_AWPROT(2 downto 0) => B"000",
S_AXI_HP2_AWQOS(3 downto 0) => B"0000",
S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED,
S_AXI_HP2_AWSIZE(2 downto 0) => B"000",
S_AXI_HP2_AWVALID => '0',
S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0),
S_AXI_HP2_BREADY => '0',
S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED,
S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP2_RDISSUECAP1_EN => '0',
S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0),
S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED,
S_AXI_HP2_RREADY => '0',
S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED,
S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP2_WID(5 downto 0) => B"000000",
S_AXI_HP2_WLAST => '0',
S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED,
S_AXI_HP2_WRISSUECAP1_EN => '0',
S_AXI_HP2_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP2_WVALID => '0',
S_AXI_HP3_ACLK => '0',
S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_ARBURST(1 downto 0) => B"00",
S_AXI_HP3_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED,
S_AXI_HP3_ARID(5 downto 0) => B"000000",
S_AXI_HP3_ARLEN(3 downto 0) => B"0000",
S_AXI_HP3_ARLOCK(1 downto 0) => B"00",
S_AXI_HP3_ARPROT(2 downto 0) => B"000",
S_AXI_HP3_ARQOS(3 downto 0) => B"0000",
S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED,
S_AXI_HP3_ARSIZE(2 downto 0) => B"000",
S_AXI_HP3_ARVALID => '0',
S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_AWBURST(1 downto 0) => B"00",
S_AXI_HP3_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP3_AWID(5 downto 0) => B"000000",
S_AXI_HP3_AWLEN(3 downto 0) => B"0000",
S_AXI_HP3_AWLOCK(1 downto 0) => B"00",
S_AXI_HP3_AWPROT(2 downto 0) => B"000",
S_AXI_HP3_AWQOS(3 downto 0) => B"0000",
S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED,
S_AXI_HP3_AWSIZE(2 downto 0) => B"000",
S_AXI_HP3_AWVALID => '0',
S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0),
S_AXI_HP3_BREADY => '0',
S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED,
S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP3_RDISSUECAP1_EN => '0',
S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0),
S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED,
S_AXI_HP3_RREADY => '0',
S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED,
S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP3_WID(5 downto 0) => B"000000",
S_AXI_HP3_WLAST => '0',
S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED,
S_AXI_HP3_WRISSUECAP1_EN => '0',
S_AXI_HP3_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP3_WVALID => '0',
TRACE_CLK => '0',
TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED,
TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED,
TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0),
TTC0_CLK0_IN => '0',
TTC0_CLK1_IN => '0',
TTC0_CLK2_IN => '0',
TTC0_WAVE0_OUT => NLW_inst_TTC0_WAVE0_OUT_UNCONNECTED,
TTC0_WAVE1_OUT => NLW_inst_TTC0_WAVE1_OUT_UNCONNECTED,
TTC0_WAVE2_OUT => NLW_inst_TTC0_WAVE2_OUT_UNCONNECTED,
TTC1_CLK0_IN => '0',
TTC1_CLK1_IN => '0',
TTC1_CLK2_IN => '0',
TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED,
TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED,
TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED,
UART0_CTSN => '0',
UART0_DCDN => '0',
UART0_DSRN => '0',
UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED,
UART0_RIN => '0',
UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED,
UART0_RX => '1',
UART0_TX => NLW_inst_UART0_TX_UNCONNECTED,
UART1_CTSN => '0',
UART1_DCDN => '0',
UART1_DSRN => '0',
UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED,
UART1_RIN => '0',
UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED,
UART1_RX => '1',
UART1_TX => NLW_inst_UART1_TX_UNCONNECTED,
USB0_PORT_INDCTL(1 downto 0) => NLW_inst_USB0_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB0_VBUS_PWRFAULT => '0',
USB0_VBUS_PWRSELECT => NLW_inst_USB0_VBUS_PWRSELECT_UNCONNECTED,
USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB1_VBUS_PWRFAULT => '0',
USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED,
WDT_CLK_IN => '0',
WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED
);
end STRUCTURE;
| mit | d71eac741f53fe8b361432b18d0e5223 | 0.640025 | 2.766114 | false | false | false | false |
Feuerwerk/fpgaNES | progmem.vhd | 1 | 6,872 | -- megafunction wizard: %RAM: 1-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: progmem.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 18.0.0 Build 614 04/24/2018 SJ Lite Edition
-- ************************************************************
--Copyright (C) 2018 Intel Corporation. All rights reserved.
--Your use of Intel Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Intel Program License
--Subscription Agreement, the Intel Quartus Prime License Agreement,
--the Intel FPGA IP License Agreement, or other applicable license
--agreement, including, without limitation, that your use is for
--the sole purpose of programming logic devices manufactured by
--Intel and sold by Intel or its authorized distributors. Please
--refer to the applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
ENTITY progmem IS
PORT
(
address : IN STD_LOGIC_VECTOR (14 DOWNTO 0);
clken : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '1';
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
wren : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END progmem;
ARCHITECTURE SYN OF progmem IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);
BEGIN
q <= sub_wire0(7 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
clock_enable_input_a => "NORMAL",
clock_enable_output_a => "BYPASS",
init_file => "test_progmem.hex",
intended_device_family => "Cyclone V",
lpm_hint => "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=PROG",
lpm_type => "altsyncram",
numwords_a => 32768,
operation_mode => "SINGLE_PORT",
outdata_aclr_a => "NONE",
outdata_reg_a => "UNREGISTERED",
power_up_uninitialized => "FALSE",
read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ",
widthad_a => 15,
width_a => 8,
width_byteena_a => 1
)
PORT MAP (
address_a => address,
clock0 => clock,
clocken0 => clken,
data_a => data,
wren_a => wren,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrData NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "1"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clken NUMERIC "1"
-- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "1"
-- Retrieval info: PRIVATE: JTAG_ID STRING "PROG"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING "test_progmem.hex"
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "32768"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegData NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "0"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
-- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "15"
-- Retrieval info: PRIVATE: WidthData NUMERIC "8"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "NORMAL"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INIT_FILE STRING "test_progmem.hex"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=PROG"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32768"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "15"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 15 0 INPUT NODEFVAL "address[14..0]"
-- Retrieval info: USED_PORT: clken 0 0 0 0 INPUT VCC "clken"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]"
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren"
-- Retrieval info: CONNECT: @address_a 0 0 15 0 address 0 0 15 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @clocken0 0 0 0 0 clken 0 0 0 0
-- Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL progmem.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL progmem.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL progmem.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL progmem.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL progmem_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
| gpl-3.0 | 3ddfcde77e3cfe0c1379ee661f477cba | 0.662689 | 3.533162 | false | false | false | false |
MartinCura/SistDig-TP4 | src/comps/barrel_shifterNb.vhd | 1 | 868 | library IEEE;
use IEEE.std_logic_1164.all;
-- Barrel shifter de N bits (default = 4)
entity barrel_shifterNb is
-- Cantidad de bits
generic (N: NATURAL := 4);
port (
to_left : in std_logic;
M : in natural;
a : in std_logic_vector(N-1 downto 0);
o : out std_logic_vector(N-1 downto 0)
);
end;
architecture barrel_shifterNb_arq of barrel_shifterNb is
-- Señal con cada corrido posible simultáneamente
type t_shifts is array(natural range<>) of std_logic_vector(N-1 downto 0);
signal shs : t_shifts(0 to N);
begin
shs(0) <= a;
barrsh: for i in 1 to N generate
shs(i) <= shs(i-1)(N-2 downto 0) & '0' when to_left = '1' else -- Lleno
shs(i-1)(N-1) & shs(i-1)(N-1 downto 1); -- Extiendo
end generate;
-- Agarro el que quiero
o <= shs(M);
end barrel_shifterNb_arq;
| gpl-3.0 | 25abdcf81cd93ba3adcc12046d3fb42e | 0.601617 | 2.877076 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/iir/bq/filter_counters.vhdl | 1 | 5,308 | library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use work.all;
use work.filter_shared_package.all;
entity counter is
generic
(
FPMULT_PIPE_LENGTH : P_T := PM;
FPADD_PIPE_LENGTH : P_T := PA;
MAC_FILTER_CH : natural := MC; -- MAC operations per channel for Main filter operation
RMS_CH_EN : natural := RMS; -- Enable flag for RMS function. 0-disabled 1- enabled.
MEAN_CH_EN : natural := MEAN; -- Enable flag for MEAN function. 0-disabled 1- enabled.
CHANNELS : natural := C
);
port
(
-- Input ports
clk : in std_logic;
rstn : in std_logic;
input_ok : in std_logic;
-- Output ports
input_ack : out std_logic;
valid : out std_logic;
valid_delay : out std_logic; -- valid_s [PIPDELAY]
valid_acc : out std_logic; -- valid_s [ACCDELAY]
cnt_mac : out std_logic_vector(natural(ceil(log2(real(MAC_FILTER_CH+RMS_CH_EN+MEAN_CH_EN))))-1 downto 0);
cnt_ch : out std_logic_vector(natural(ceil(log2(real(CHANNELS))))-1 downto 0);
cnt_delay_mac : out std_logic_vector(natural(ceil(log2(real(MAC_FILTER_CH+RMS_CH_EN+MEAN_CH_EN))))-1 downto 0); -- SR [PIPDELAY]
cnt_delay_ch : out std_logic_vector(natural(ceil(log2(real(CHANNELS))))-1 downto 0); -- SR [PIPDELAY]
cnt_acc_mac : out std_logic_vector(natural(ceil(log2(real(MAC_FILTER_CH+RMS_CH_EN+MEAN_CH_EN))))-1 downto 0); -- SR [ACCDELAY]
cnt_acc_ch : out std_logic_vector(natural(ceil(log2(real(CHANNELS))))-1 downto 0) -- SR [ACCDELAY]
);
end counter;
architecture counter_behaviour of counter is
-- Constants
constant PIPELINE_DELAY : integer := FPMULT_PIPE_LENGTH + FPADD_PIPE_LENGTH + 1; -- 1 additional register between * and +. TODO: make it configurable
constant ACC_DELAY : integer := FPMULT_PIPE_LENGTH - 1;
-- Subtypes
type SHIFT_REG is array(PIPELINE_DELAY downto 0) of std_logic_vector(cnt_mac'length + cnt_ch'length - 1 downto 0);
-- Signals and Registers
signal run_s : std_logic;
signal cnt_mac_s : unsigned(cnt_mac'LEFT downto 0);
signal cnt_ch_s : unsigned(cnt_ch'LEFT downto 0);
signal valid_s : std_logic_vector(PIPELINE_DELAY downto 0);
signal shift_reg_s : SHIFT_REG;
begin
-- shift register for valid flags and counter
process (clk, rstn) is
begin
if (rstn = '0') then
shift_reg_s <= (others => (others => '0'));
valid_s <= (others => '0');
elsif (clk = '1' and clk'event) then
-- Load shift registers
shift_reg_s(0) <= std_logic_vector(cnt_mac_s) & std_logic_vector(cnt_ch_s);
valid_s(0) <= run_s;
for n in 1 to PIPELINE_DELAY loop
shift_reg_s(n) <= shift_reg_s(n-1);
valid_s(n) <= valid_s(n-1);
end loop;
end if;
end process;
-- counter process
process (clk, rstn) is
begin
if (rstn = '0') then
cnt_mac_s <= to_unsigned(MAC_FILTER_CH + RMS_CH_EN + MEAN_CH_EN - 1, cnt_mac_s'length);
cnt_ch_s <= to_unsigned(CHANNELS-1, cnt_ch_s'length);
run_s <= '0';
input_ack <= '0';
elsif (clk = '1' and clk'event) then
input_ack <= '0';
if (cnt_ch_s = CHANNELS-1) then
-- MAC_FILTER_CH MAC operations, 1x RMS, 1x MEAN
if (cnt_mac_s = MAC_FILTER_CH + RMS_CH_EN + MEAN_CH_EN - 1) then
-- biquads computed; stop until new input has arrived
run_s <= '0';
-- run when input is valid
if (input_ok = '1') then
-- set running
run_s <= '1';
-- acknowledge new input
input_ack <= '1';
-- rstn counter
cnt_ch_s <= (others => '0');
cnt_mac_s <= (others => '0');
end if;
else
cnt_ch_s <= (others => '0');
cnt_mac_s <= cnt_mac_s + 1;
end if;
else
cnt_ch_s <= cnt_ch_s + 1;
end if;
end if;
end process;
-- Remaining Outputs
valid_delay <= valid_s(PIPELINE_DELAY);
valid_acc <= valid_s(ACC_DELAY);
cnt_mac <= std_logic_vector(cnt_mac_s);
cnt_ch <= std_logic_vector(cnt_ch_s);
cnt_delay_mac <= shift_reg_s(PIPELINE_DELAY)(shift_reg_s(PIPELINE_DELAY)'LEFT downto cnt_ch'length);
cnt_delay_ch <= shift_reg_s(PIPELINE_DELAY)(cnt_delay_ch'range);
cnt_acc_mac <= shift_reg_s(ACC_DELAY)(shift_reg_s(ACC_DELAY)'LEFT downto cnt_ch'length);
cnt_acc_ch <= shift_reg_s(ACC_DELAY)(cnt_acc_ch'range);
valid <= run_s;
end counter_behaviour;
| mit | a69a334230bf0724a5df0c1570fe7692 | 0.503391 | 3.576819 | false | false | false | false |
kuba-moo/VHDL-lib | test/tb_uart_tx.vhd | 1 | 2,908 | -- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
-- Copyright (C) 2014 Jakub Kicinski <[email protected]>
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity tb_uart_tx is
end tb_uart_tx;
architecture behavior of tb_uart_tx is
-- Component Declaration for the Unit Under Test (UUT)
component uart_tx
port(
Clk : in std_logic;
Rst : in std_logic;
FreqEn : in std_logic;
Byte : in std_logic_vector(7 downto 0);
Kick : in std_logic;
RsTx : out std_logic;
Busy : out std_logic
);
end component;
--Inputs
signal Clk : std_logic := '0';
signal Rst : std_logic := '1';
signal FreqEn : std_logic := '0';
signal Byte : std_logic_vector(7 downto 0) := X"AA";
signal Kick : std_logic := '0';
--Outputs
signal RsTx : std_logic;
signal Busy : std_logic;
-- Clock period definitions
constant Clk_period : time := 10 ns;
begin
-- Instantiate the Unit Under Test (UUT)
uut : uart_tx port map (
Clk => Clk,
Rst => Rst,
FreqEn => FreqEn,
Byte => Byte,
Kick => Kick,
RsTx => RsTx,
Busy => Busy
);
-- Clock process definitions
Clk_process : process
begin
Clk <= '0';
wait for Clk_period/2;
Clk <= '1';
wait for Clk_period/2;
end process;
-- Frequenct enable process
Freq_process : process
begin
FreqEn <= '0';
wait for Clk_period * 2;
FreqEn <= '1';
wait for Clk_period;
end process;
-- Kick process
Kick_process : process
begin
Kick <= '0';
Byte <= X"00";
wait for Clk_period * 25;
Kick <= '1';
Byte <= X"55";
wait for Clk_period;
Byte <= X"00";
wait for Clk_period;
end process;
-- Stimulus process
stim_proc : process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
Rst <= '0';
wait for Clk_period*10;
-- insert stimulus here
wait;
end process;
end;
| gpl-3.0 | 9e3b10daf30819457084fe77f11a5e55 | 0.556052 | 3.983562 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd/gcd.cache/ip/2018.2/4f6a1e871ce3ebaf/gcd_block_design_gcd_0_1_sim_netlist.vhdl | 1 | 128,681 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Sun Sep 22 03:32:36 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ gcd_block_design_gcd_0_1_sim_netlist.vhdl
-- Design : gcd_block_design_gcd_0_1
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd_gcd_bus_s_axi is
port (
\out\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_gcd_bus_RVALID : out STD_LOGIC_VECTOR ( 1 downto 0 );
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
interrupt : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
CO : out STD_LOGIC_VECTOR ( 0 to 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\b_read_reg_102_reg[15]\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
\a_read_reg_107_reg[15]\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_gcd_bus_RDATA : out STD_LOGIC_VECTOR ( 15 downto 0 );
ap_clk : in STD_LOGIC;
s_axi_gcd_bus_ARVALID : in STD_LOGIC;
s_axi_gcd_bus_RREADY : in STD_LOGIC;
s_axi_gcd_bus_AWVALID : in STD_LOGIC;
s_axi_gcd_bus_WVALID : in STD_LOGIC;
s_axi_gcd_bus_WDATA : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_gcd_bus_WSTRB : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_BREADY : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 3 downto 0 );
\result_reg_56_reg[15]\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
\p_s_reg_45_reg[15]\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_gcd_bus_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
ap_rst_n : in STD_LOGIC;
s_axi_gcd_bus_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd_gcd_bus_s_axi;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd_gcd_bus_s_axi is
signal \^co\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \FSM_onehot_rstate[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_rstate[2]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_rstate_reg_n_0_[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \FSM_onehot_rstate_reg_n_0_[0]\ : signal is "yes";
signal \FSM_onehot_wstate[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate[2]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate[3]_i_2_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate_reg_n_0_[0]\ : STD_LOGIC;
attribute RTL_KEEP of \FSM_onehot_wstate_reg_n_0_[0]\ : signal is "yes";
signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^a_read_reg_107_reg[15]\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ar_hs : STD_LOGIC;
signal \^b_read_reg_102_reg[15]\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal int_a0 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \int_a[15]_i_1_n_0\ : STD_LOGIC;
signal \int_a[15]_i_3_n_0\ : STD_LOGIC;
signal int_ap_done : STD_LOGIC;
signal int_ap_done1 : STD_LOGIC;
signal int_ap_done_i_1_n_0 : STD_LOGIC;
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_start3_out : STD_LOGIC;
signal int_ap_start_i_10_n_0 : STD_LOGIC;
signal int_ap_start_i_1_n_0 : STD_LOGIC;
signal int_ap_start_i_5_n_0 : STD_LOGIC;
signal int_ap_start_i_6_n_0 : STD_LOGIC;
signal int_ap_start_i_7_n_0 : STD_LOGIC;
signal int_ap_start_i_8_n_0 : STD_LOGIC;
signal int_ap_start_i_9_n_0 : STD_LOGIC;
signal int_ap_start_reg_i_2_n_3 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_0 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_1 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_2 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_3 : STD_LOGIC;
signal int_auto_restart : STD_LOGIC;
signal int_auto_restart_i_1_n_0 : STD_LOGIC;
signal int_b0 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \int_b[15]_i_1_n_0\ : STD_LOGIC;
signal int_gie_i_1_n_0 : STD_LOGIC;
signal int_gie_reg_n_0 : STD_LOGIC;
signal \int_ier[0]_i_1_n_0\ : STD_LOGIC;
signal \int_ier[1]_i_1_n_0\ : STD_LOGIC;
signal \int_ier[1]_i_2_n_0\ : STD_LOGIC;
signal \int_ier_reg_n_0_[0]\ : STD_LOGIC;
signal \int_ier_reg_n_0_[1]\ : STD_LOGIC;
signal int_isr6_out : STD_LOGIC;
signal \int_isr[0]_i_1_n_0\ : STD_LOGIC;
signal \int_isr[1]_i_1_n_0\ : STD_LOGIC;
signal \int_isr_reg_n_0_[0]\ : STD_LOGIC;
signal int_pResult : STD_LOGIC_VECTOR ( 15 downto 0 );
signal int_pResult_ap_vld : STD_LOGIC;
signal int_pResult_ap_vld1 : STD_LOGIC;
signal int_pResult_ap_vld_i_1_n_0 : STD_LOGIC;
signal \^out\ : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute RTL_KEEP of \^out\ : signal is "yes";
signal p_1_in : STD_LOGIC;
signal \rdata[0]_i_1_n_0\ : STD_LOGIC;
signal \rdata[0]_i_2_n_0\ : STD_LOGIC;
signal \rdata[0]_i_3_n_0\ : STD_LOGIC;
signal \rdata[0]_i_4_n_0\ : STD_LOGIC;
signal \rdata[10]_i_1_n_0\ : STD_LOGIC;
signal \rdata[11]_i_1_n_0\ : STD_LOGIC;
signal \rdata[12]_i_1_n_0\ : STD_LOGIC;
signal \rdata[13]_i_1_n_0\ : STD_LOGIC;
signal \rdata[14]_i_1_n_0\ : STD_LOGIC;
signal \rdata[15]_i_1_n_0\ : STD_LOGIC;
signal \rdata[15]_i_3_n_0\ : STD_LOGIC;
signal \rdata[1]_i_1_n_0\ : STD_LOGIC;
signal \rdata[1]_i_2_n_0\ : STD_LOGIC;
signal \rdata[1]_i_3_n_0\ : STD_LOGIC;
signal \rdata[1]_i_4_n_0\ : STD_LOGIC;
signal \rdata[1]_i_5_n_0\ : STD_LOGIC;
signal \rdata[2]_i_1_n_0\ : STD_LOGIC;
signal \rdata[2]_i_2_n_0\ : STD_LOGIC;
signal \rdata[3]_i_1_n_0\ : STD_LOGIC;
signal \rdata[3]_i_2_n_0\ : STD_LOGIC;
signal \rdata[4]_i_1_n_0\ : STD_LOGIC;
signal \rdata[5]_i_1_n_0\ : STD_LOGIC;
signal \rdata[6]_i_1_n_0\ : STD_LOGIC;
signal \rdata[7]_i_1_n_0\ : STD_LOGIC;
signal \rdata[7]_i_2_n_0\ : STD_LOGIC;
signal \rdata[8]_i_1_n_0\ : STD_LOGIC;
signal \rdata[9]_i_1_n_0\ : STD_LOGIC;
signal \^s_axi_gcd_bus_rdata\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \^s_axi_gcd_bus_rvalid\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \^s_axi_gcd_bus_rvalid\ : signal is "yes";
signal waddr : STD_LOGIC;
signal \waddr_reg_n_0_[0]\ : STD_LOGIC;
signal \waddr_reg_n_0_[1]\ : STD_LOGIC;
signal \waddr_reg_n_0_[2]\ : STD_LOGIC;
signal \waddr_reg_n_0_[3]\ : STD_LOGIC;
signal \waddr_reg_n_0_[4]\ : STD_LOGIC;
signal \waddr_reg_n_0_[5]\ : STD_LOGIC;
signal NLW_int_ap_start_reg_i_2_CO_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 2 );
signal NLW_int_ap_start_reg_i_2_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_int_ap_start_reg_i_4_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[0]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP : string;
attribute KEEP of \FSM_onehot_rstate_reg[0]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[1]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP of \FSM_onehot_rstate_reg[1]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[2]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP of \FSM_onehot_rstate_reg[2]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[0]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[0]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[1]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[1]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[2]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[2]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[3]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[3]\ : label is "yes";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \ap_CS_fsm[1]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \int_a[0]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \int_a[10]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \int_a[11]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \int_a[12]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \int_a[13]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \int_a[14]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \int_a[15]_i_2\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \int_a[1]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \int_a[2]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \int_a[3]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \int_a[4]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \int_a[5]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \int_a[6]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \int_a[7]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \int_a[8]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \int_a[9]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of int_ap_idle_i_1 : label is "soft_lutpair1";
attribute SOFT_HLUTNM of int_ap_start_i_3 : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \int_b[0]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \int_b[10]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \int_b[11]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \int_b[12]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \int_b[13]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \int_b[14]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \int_b[15]_i_2\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \int_b[1]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \int_b[2]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \int_b[3]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \int_b[4]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \int_b[5]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \int_b[6]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \int_b[7]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \int_b[8]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \int_b[9]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \int_isr[0]_i_2\ : label is "soft_lutpair0";
begin
CO(0) <= \^co\(0);
SR(0) <= \^sr\(0);
\a_read_reg_107_reg[15]\(15 downto 0) <= \^a_read_reg_107_reg[15]\(15 downto 0);
\b_read_reg_102_reg[15]\(15 downto 0) <= \^b_read_reg_102_reg[15]\(15 downto 0);
\out\(2 downto 0) <= \^out\(2 downto 0);
s_axi_gcd_bus_RDATA(15 downto 0) <= \^s_axi_gcd_bus_rdata\(15 downto 0);
s_axi_gcd_bus_RVALID(1 downto 0) <= \^s_axi_gcd_bus_rvalid\(1 downto 0);
\FSM_onehot_rstate[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F747"
)
port map (
I0 => s_axi_gcd_bus_ARVALID,
I1 => \^s_axi_gcd_bus_rvalid\(0),
I2 => \^s_axi_gcd_bus_rvalid\(1),
I3 => s_axi_gcd_bus_RREADY,
O => \FSM_onehot_rstate[1]_i_1_n_0\
);
\FSM_onehot_rstate[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"88F8"
)
port map (
I0 => s_axi_gcd_bus_ARVALID,
I1 => \^s_axi_gcd_bus_rvalid\(0),
I2 => \^s_axi_gcd_bus_rvalid\(1),
I3 => s_axi_gcd_bus_RREADY,
O => \FSM_onehot_rstate[2]_i_1_n_0\
);
\FSM_onehot_rstate_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => '0',
Q => \FSM_onehot_rstate_reg_n_0_[0]\,
S => \^sr\(0)
);
\FSM_onehot_rstate_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_rstate[1]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rvalid\(0),
R => \^sr\(0)
);
\FSM_onehot_rstate_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_rstate[2]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rvalid\(1),
R => \^sr\(0)
);
\FSM_onehot_wstate[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"888BFF8B"
)
port map (
I0 => s_axi_gcd_bus_BREADY,
I1 => \^out\(2),
I2 => \^out\(1),
I3 => \^out\(0),
I4 => s_axi_gcd_bus_AWVALID,
O => \FSM_onehot_wstate[1]_i_1_n_0\
);
\FSM_onehot_wstate[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_gcd_bus_AWVALID,
I1 => \^out\(0),
I2 => s_axi_gcd_bus_WVALID,
I3 => \^out\(1),
O => \FSM_onehot_wstate[2]_i_1_n_0\
);
\FSM_onehot_wstate[3]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ap_rst_n,
O => \^sr\(0)
);
\FSM_onehot_wstate[3]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_gcd_bus_WVALID,
I1 => \^out\(1),
I2 => s_axi_gcd_bus_BREADY,
I3 => \^out\(2),
O => \FSM_onehot_wstate[3]_i_2_n_0\
);
\FSM_onehot_wstate_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => '0',
Q => \FSM_onehot_wstate_reg_n_0_[0]\,
S => \^sr\(0)
);
\FSM_onehot_wstate_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[1]_i_1_n_0\,
Q => \^out\(0),
R => \^sr\(0)
);
\FSM_onehot_wstate_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[2]_i_1_n_0\,
Q => \^out\(1),
R => \^sr\(0)
);
\FSM_onehot_wstate_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[3]_i_2_n_0\,
Q => \^out\(2),
R => \^sr\(0)
);
\ap_CS_fsm[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FA30"
)
port map (
I0 => \^co\(0),
I1 => ap_start,
I2 => Q(0),
I3 => Q(2),
O => D(0)
);
\ap_CS_fsm[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00001000"
)
port map (
I0 => Q(1),
I1 => Q(3),
I2 => Q(0),
I3 => ap_start,
I4 => Q(2),
O => D(1)
);
\b_read_reg_102[15]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => Q(0),
I1 => ap_start,
O => E(0)
);
\int_a[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(0),
O => int_a0(0)
);
\int_a[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(10),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(10),
O => int_a0(10)
);
\int_a[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(11),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(11),
O => int_a0(11)
);
\int_a[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(12),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(12),
O => int_a0(12)
);
\int_a[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(13),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(13),
O => int_a0(13)
);
\int_a[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(14),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(14),
O => int_a0(14)
);
\int_a[15]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0008"
)
port map (
I0 => \waddr_reg_n_0_[4]\,
I1 => \int_a[15]_i_3_n_0\,
I2 => \waddr_reg_n_0_[2]\,
I3 => \waddr_reg_n_0_[3]\,
O => \int_a[15]_i_1_n_0\
);
\int_a[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(15),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(15),
O => int_a0(15)
);
\int_a[15]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00001000"
)
port map (
I0 => \waddr_reg_n_0_[0]\,
I1 => \waddr_reg_n_0_[5]\,
I2 => \^out\(1),
I3 => s_axi_gcd_bus_WVALID,
I4 => \waddr_reg_n_0_[1]\,
O => \int_a[15]_i_3_n_0\
);
\int_a[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(1),
O => int_a0(1)
);
\int_a[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(2),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(2),
O => int_a0(2)
);
\int_a[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(3),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(3),
O => int_a0(3)
);
\int_a[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(4),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(4),
O => int_a0(4)
);
\int_a[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(5),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(5),
O => int_a0(5)
);
\int_a[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(6),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(6),
O => int_a0(6)
);
\int_a[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(7),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(7),
O => int_a0(7)
);
\int_a[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(8),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(8),
O => int_a0(8)
);
\int_a[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(9),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(9),
O => int_a0(9)
);
\int_a_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(0),
Q => \^a_read_reg_107_reg[15]\(0),
R => \^sr\(0)
);
\int_a_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(10),
Q => \^a_read_reg_107_reg[15]\(10),
R => \^sr\(0)
);
\int_a_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(11),
Q => \^a_read_reg_107_reg[15]\(11),
R => \^sr\(0)
);
\int_a_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(12),
Q => \^a_read_reg_107_reg[15]\(12),
R => \^sr\(0)
);
\int_a_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(13),
Q => \^a_read_reg_107_reg[15]\(13),
R => \^sr\(0)
);
\int_a_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(14),
Q => \^a_read_reg_107_reg[15]\(14),
R => \^sr\(0)
);
\int_a_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(15),
Q => \^a_read_reg_107_reg[15]\(15),
R => \^sr\(0)
);
\int_a_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(1),
Q => \^a_read_reg_107_reg[15]\(1),
R => \^sr\(0)
);
\int_a_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(2),
Q => \^a_read_reg_107_reg[15]\(2),
R => \^sr\(0)
);
\int_a_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(3),
Q => \^a_read_reg_107_reg[15]\(3),
R => \^sr\(0)
);
\int_a_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(4),
Q => \^a_read_reg_107_reg[15]\(4),
R => \^sr\(0)
);
\int_a_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(5),
Q => \^a_read_reg_107_reg[15]\(5),
R => \^sr\(0)
);
\int_a_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(6),
Q => \^a_read_reg_107_reg[15]\(6),
R => \^sr\(0)
);
\int_a_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(7),
Q => \^a_read_reg_107_reg[15]\(7),
R => \^sr\(0)
);
\int_a_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(8),
Q => \^a_read_reg_107_reg[15]\(8),
R => \^sr\(0)
);
\int_a_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(9),
Q => \^a_read_reg_107_reg[15]\(9),
R => \^sr\(0)
);
int_ap_done_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"8FFFFFFF88888888"
)
port map (
I0 => Q(2),
I1 => \^co\(0),
I2 => \^s_axi_gcd_bus_rvalid\(0),
I3 => s_axi_gcd_bus_ARVALID,
I4 => int_ap_done1,
I5 => int_ap_done,
O => int_ap_done_i_1_n_0
);
int_ap_done_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => s_axi_gcd_bus_ARADDR(1),
I3 => s_axi_gcd_bus_ARADDR(0),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => s_axi_gcd_bus_ARADDR(2),
O => int_ap_done1
);
int_ap_done_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_ap_done_i_1_n_0,
Q => int_ap_done,
R => \^sr\(0)
);
int_ap_idle_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => Q(0),
I1 => ap_start,
O => ap_idle
);
int_ap_idle_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => ap_idle,
Q => int_ap_idle,
R => \^sr\(0)
);
int_ap_ready_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^co\(0),
I1 => Q(2),
O => ap_done
);
int_ap_ready_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => ap_done,
Q => int_ap_ready,
R => \^sr\(0)
);
int_ap_start_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFBFFF80"
)
port map (
I0 => int_auto_restart,
I1 => Q(2),
I2 => \^co\(0),
I3 => int_ap_start3_out,
I4 => ap_start,
O => int_ap_start_i_1_n_0
);
int_ap_start_i_10: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(0),
I1 => \p_s_reg_45_reg[15]\(0),
I2 => \p_s_reg_45_reg[15]\(2),
I3 => \result_reg_56_reg[15]\(2),
I4 => \p_s_reg_45_reg[15]\(1),
I5 => \result_reg_56_reg[15]\(1),
O => int_ap_start_i_10_n_0
);
int_ap_start_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"00000800"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \waddr_reg_n_0_[2]\,
I3 => \int_ier[1]_i_2_n_0\,
I4 => \waddr_reg_n_0_[3]\,
O => int_ap_start3_out
);
int_ap_start_i_5: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \p_s_reg_45_reg[15]\(15),
I1 => \result_reg_56_reg[15]\(15),
O => int_ap_start_i_5_n_0
);
int_ap_start_i_6: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(12),
I1 => \p_s_reg_45_reg[15]\(12),
I2 => \p_s_reg_45_reg[15]\(14),
I3 => \result_reg_56_reg[15]\(14),
I4 => \p_s_reg_45_reg[15]\(13),
I5 => \result_reg_56_reg[15]\(13),
O => int_ap_start_i_6_n_0
);
int_ap_start_i_7: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(9),
I1 => \p_s_reg_45_reg[15]\(9),
I2 => \p_s_reg_45_reg[15]\(11),
I3 => \result_reg_56_reg[15]\(11),
I4 => \p_s_reg_45_reg[15]\(10),
I5 => \result_reg_56_reg[15]\(10),
O => int_ap_start_i_7_n_0
);
int_ap_start_i_8: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(6),
I1 => \p_s_reg_45_reg[15]\(6),
I2 => \p_s_reg_45_reg[15]\(8),
I3 => \result_reg_56_reg[15]\(8),
I4 => \p_s_reg_45_reg[15]\(7),
I5 => \result_reg_56_reg[15]\(7),
O => int_ap_start_i_8_n_0
);
int_ap_start_i_9: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(3),
I1 => \p_s_reg_45_reg[15]\(3),
I2 => \p_s_reg_45_reg[15]\(5),
I3 => \result_reg_56_reg[15]\(5),
I4 => \p_s_reg_45_reg[15]\(4),
I5 => \result_reg_56_reg[15]\(4),
O => int_ap_start_i_9_n_0
);
int_ap_start_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_ap_start_i_1_n_0,
Q => ap_start,
R => \^sr\(0)
);
int_ap_start_reg_i_2: unisim.vcomponents.CARRY4
port map (
CI => int_ap_start_reg_i_4_n_0,
CO(3 downto 2) => NLW_int_ap_start_reg_i_2_CO_UNCONNECTED(3 downto 2),
CO(1) => \^co\(0),
CO(0) => int_ap_start_reg_i_2_n_3,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => NLW_int_ap_start_reg_i_2_O_UNCONNECTED(3 downto 0),
S(3 downto 2) => B"00",
S(1) => int_ap_start_i_5_n_0,
S(0) => int_ap_start_i_6_n_0
);
int_ap_start_reg_i_4: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => int_ap_start_reg_i_4_n_0,
CO(2) => int_ap_start_reg_i_4_n_1,
CO(1) => int_ap_start_reg_i_4_n_2,
CO(0) => int_ap_start_reg_i_4_n_3,
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => NLW_int_ap_start_reg_i_4_O_UNCONNECTED(3 downto 0),
S(3) => int_ap_start_i_7_n_0,
S(2) => int_ap_start_i_8_n_0,
S(1) => int_ap_start_i_9_n_0,
S(0) => int_ap_start_i_10_n_0
);
int_auto_restart_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(7),
I1 => \waddr_reg_n_0_[3]\,
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[2]\,
I4 => s_axi_gcd_bus_WSTRB(0),
I5 => int_auto_restart,
O => int_auto_restart_i_1_n_0
);
int_auto_restart_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_auto_restart_i_1_n_0,
Q => int_auto_restart,
R => \^sr\(0)
);
\int_b[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(0),
O => int_b0(0)
);
\int_b[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(10),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(10),
O => int_b0(10)
);
\int_b[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(11),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(11),
O => int_b0(11)
);
\int_b[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(12),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(12),
O => int_b0(12)
);
\int_b[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(13),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(13),
O => int_b0(13)
);
\int_b[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(14),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(14),
O => int_b0(14)
);
\int_b[15]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0080"
)
port map (
I0 => \waddr_reg_n_0_[3]\,
I1 => \waddr_reg_n_0_[4]\,
I2 => \int_a[15]_i_3_n_0\,
I3 => \waddr_reg_n_0_[2]\,
O => \int_b[15]_i_1_n_0\
);
\int_b[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(15),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(15),
O => int_b0(15)
);
\int_b[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(1),
O => int_b0(1)
);
\int_b[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(2),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(2),
O => int_b0(2)
);
\int_b[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(3),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(3),
O => int_b0(3)
);
\int_b[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(4),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(4),
O => int_b0(4)
);
\int_b[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(5),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(5),
O => int_b0(5)
);
\int_b[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(6),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(6),
O => int_b0(6)
);
\int_b[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(7),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(7),
O => int_b0(7)
);
\int_b[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(8),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(8),
O => int_b0(8)
);
\int_b[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(9),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(9),
O => int_b0(9)
);
\int_b_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(0),
Q => \^b_read_reg_102_reg[15]\(0),
R => \^sr\(0)
);
\int_b_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(10),
Q => \^b_read_reg_102_reg[15]\(10),
R => \^sr\(0)
);
\int_b_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(11),
Q => \^b_read_reg_102_reg[15]\(11),
R => \^sr\(0)
);
\int_b_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(12),
Q => \^b_read_reg_102_reg[15]\(12),
R => \^sr\(0)
);
\int_b_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(13),
Q => \^b_read_reg_102_reg[15]\(13),
R => \^sr\(0)
);
\int_b_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(14),
Q => \^b_read_reg_102_reg[15]\(14),
R => \^sr\(0)
);
\int_b_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(15),
Q => \^b_read_reg_102_reg[15]\(15),
R => \^sr\(0)
);
\int_b_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(1),
Q => \^b_read_reg_102_reg[15]\(1),
R => \^sr\(0)
);
\int_b_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(2),
Q => \^b_read_reg_102_reg[15]\(2),
R => \^sr\(0)
);
\int_b_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(3),
Q => \^b_read_reg_102_reg[15]\(3),
R => \^sr\(0)
);
\int_b_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(4),
Q => \^b_read_reg_102_reg[15]\(4),
R => \^sr\(0)
);
\int_b_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(5),
Q => \^b_read_reg_102_reg[15]\(5),
R => \^sr\(0)
);
\int_b_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(6),
Q => \^b_read_reg_102_reg[15]\(6),
R => \^sr\(0)
);
\int_b_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(7),
Q => \^b_read_reg_102_reg[15]\(7),
R => \^sr\(0)
);
\int_b_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(8),
Q => \^b_read_reg_102_reg[15]\(8),
R => \^sr\(0)
);
\int_b_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(9),
Q => \^b_read_reg_102_reg[15]\(9),
R => \^sr\(0)
);
int_gie_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFFFFFF08000000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \waddr_reg_n_0_[3]\,
I3 => \waddr_reg_n_0_[2]\,
I4 => \int_ier[1]_i_2_n_0\,
I5 => int_gie_reg_n_0,
O => int_gie_i_1_n_0
);
int_gie_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_gie_i_1_n_0,
Q => int_gie_reg_n_0,
R => \^sr\(0)
);
\int_ier[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFFFFF00800000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[2]\,
I4 => \waddr_reg_n_0_[3]\,
I5 => \int_ier_reg_n_0_[0]\,
O => \int_ier[0]_i_1_n_0\
);
\int_ier[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFFFFF00800000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[2]\,
I4 => \waddr_reg_n_0_[3]\,
I5 => \int_ier_reg_n_0_[1]\,
O => \int_ier[1]_i_1_n_0\
);
\int_ier[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000040"
)
port map (
I0 => \waddr_reg_n_0_[1]\,
I1 => s_axi_gcd_bus_WVALID,
I2 => \^out\(1),
I3 => \waddr_reg_n_0_[5]\,
I4 => \waddr_reg_n_0_[0]\,
I5 => \waddr_reg_n_0_[4]\,
O => \int_ier[1]_i_2_n_0\
);
\int_ier_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_ier[0]_i_1_n_0\,
Q => \int_ier_reg_n_0_[0]\,
R => \^sr\(0)
);
\int_ier_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_ier[1]_i_1_n_0\,
Q => \int_ier_reg_n_0_[1]\,
R => \^sr\(0)
);
\int_isr[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F7777777F8888888"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => int_isr6_out,
I2 => \int_ier_reg_n_0_[0]\,
I3 => \^co\(0),
I4 => Q(2),
I5 => \int_isr_reg_n_0_[0]\,
O => \int_isr[0]_i_1_n_0\
);
\int_isr[0]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"8000"
)
port map (
I0 => s_axi_gcd_bus_WSTRB(0),
I1 => \waddr_reg_n_0_[2]\,
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[3]\,
O => int_isr6_out
);
\int_isr[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F7777777F8888888"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => int_isr6_out,
I2 => \int_ier_reg_n_0_[1]\,
I3 => \^co\(0),
I4 => Q(2),
I5 => p_1_in,
O => \int_isr[1]_i_1_n_0\
);
\int_isr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_isr[0]_i_1_n_0\,
Q => \int_isr_reg_n_0_[0]\,
R => \^sr\(0)
);
\int_isr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_isr[1]_i_1_n_0\,
Q => p_1_in,
R => \^sr\(0)
);
int_pResult_ap_vld_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"8FFFFFFF88888888"
)
port map (
I0 => Q(2),
I1 => \^co\(0),
I2 => \^s_axi_gcd_bus_rvalid\(0),
I3 => s_axi_gcd_bus_ARVALID,
I4 => int_pResult_ap_vld1,
I5 => int_pResult_ap_vld,
O => int_pResult_ap_vld_i_1_n_0
);
int_pResult_ap_vld_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000001000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(1),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => s_axi_gcd_bus_ARADDR(5),
I3 => s_axi_gcd_bus_ARADDR(2),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => s_axi_gcd_bus_ARADDR(0),
O => int_pResult_ap_vld1
);
int_pResult_ap_vld_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => int_pResult_ap_vld_i_1_n_0,
Q => int_pResult_ap_vld,
R => \^sr\(0)
);
\int_pResult_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(0),
Q => int_pResult(0),
R => \^sr\(0)
);
\int_pResult_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(10),
Q => int_pResult(10),
R => \^sr\(0)
);
\int_pResult_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(11),
Q => int_pResult(11),
R => \^sr\(0)
);
\int_pResult_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(12),
Q => int_pResult(12),
R => \^sr\(0)
);
\int_pResult_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(13),
Q => int_pResult(13),
R => \^sr\(0)
);
\int_pResult_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(14),
Q => int_pResult(14),
R => \^sr\(0)
);
\int_pResult_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(15),
Q => int_pResult(15),
R => \^sr\(0)
);
\int_pResult_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(1),
Q => int_pResult(1),
R => \^sr\(0)
);
\int_pResult_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(2),
Q => int_pResult(2),
R => \^sr\(0)
);
\int_pResult_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(3),
Q => int_pResult(3),
R => \^sr\(0)
);
\int_pResult_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(4),
Q => int_pResult(4),
R => \^sr\(0)
);
\int_pResult_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(5),
Q => int_pResult(5),
R => \^sr\(0)
);
\int_pResult_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(6),
Q => int_pResult(6),
R => \^sr\(0)
);
\int_pResult_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(7),
Q => int_pResult(7),
R => \^sr\(0)
);
\int_pResult_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(8),
Q => int_pResult(8),
R => \^sr\(0)
);
\int_pResult_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(9),
Q => int_pResult(9),
R => \^sr\(0)
);
interrupt_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"E0"
)
port map (
I0 => p_1_in,
I1 => \int_isr_reg_n_0_[0]\,
I2 => int_gie_reg_n_0,
O => interrupt
);
\rdata[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \rdata[0]_i_2_n_0\,
I1 => s_axi_gcd_bus_ARADDR(2),
I2 => \rdata[0]_i_3_n_0\,
I3 => \rdata[1]_i_4_n_0\,
I4 => ar_hs,
I5 => \^s_axi_gcd_bus_rdata\(0),
O => \rdata[0]_i_1_n_0\
);
\rdata[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \int_ier_reg_n_0_[0]\,
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(0),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => \rdata[0]_i_4_n_0\,
O => \rdata[0]_i_2_n_0\
);
\rdata[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033223000002230"
)
port map (
I0 => int_pResult_ap_vld,
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_gie_reg_n_0,
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => \int_isr_reg_n_0_[0]\,
O => \rdata[0]_i_3_n_0\
);
\rdata[0]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(0),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(0),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => ap_start,
O => \rdata[0]_i_4_n_0\
);
\rdata[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(10),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(10),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(10),
O => \rdata[10]_i_1_n_0\
);
\rdata[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(11),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(11),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(11),
O => \rdata[11]_i_1_n_0\
);
\rdata[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(12),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(12),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(12),
O => \rdata[12]_i_1_n_0\
);
\rdata[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(13),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(13),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(13),
O => \rdata[13]_i_1_n_0\
);
\rdata[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(14),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(14),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(14),
O => \rdata[14]_i_1_n_0\
);
\rdata[15]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"88888880"
)
port map (
I0 => s_axi_gcd_bus_ARVALID,
I1 => \^s_axi_gcd_bus_rvalid\(0),
I2 => s_axi_gcd_bus_ARADDR(1),
I3 => s_axi_gcd_bus_ARADDR(0),
I4 => s_axi_gcd_bus_ARADDR(2),
O => \rdata[15]_i_1_n_0\
);
\rdata[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^s_axi_gcd_bus_rvalid\(0),
I1 => s_axi_gcd_bus_ARVALID,
O => ar_hs
);
\rdata[15]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(15),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(15),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(15),
O => \rdata[15]_i_3_n_0\
);
\rdata[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \rdata[1]_i_2_n_0\,
I1 => s_axi_gcd_bus_ARADDR(2),
I2 => \rdata[1]_i_3_n_0\,
I3 => \rdata[1]_i_4_n_0\,
I4 => ar_hs,
I5 => \^s_axi_gcd_bus_rdata\(1),
O => \rdata[1]_i_1_n_0\
);
\rdata[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \int_ier_reg_n_0_[1]\,
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(1),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => \rdata[1]_i_5_n_0\,
O => \rdata[1]_i_2_n_0\
);
\rdata[1]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"1000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(4),
I1 => s_axi_gcd_bus_ARADDR(5),
I2 => s_axi_gcd_bus_ARADDR(3),
I3 => p_1_in,
O => \rdata[1]_i_3_n_0\
);
\rdata[1]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(1),
I1 => s_axi_gcd_bus_ARADDR(0),
O => \rdata[1]_i_4_n_0\
);
\rdata[1]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(1),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(1),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_ap_done,
O => \rdata[1]_i_5_n_0\
);
\rdata[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"40FF4000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(2),
I3 => s_axi_gcd_bus_ARADDR(3),
I4 => \rdata[2]_i_2_n_0\,
O => \rdata[2]_i_1_n_0\
);
\rdata[2]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(2),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(2),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_ap_idle,
O => \rdata[2]_i_2_n_0\
);
\rdata[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"40FF4000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(3),
I3 => s_axi_gcd_bus_ARADDR(3),
I4 => \rdata[3]_i_2_n_0\,
O => \rdata[3]_i_1_n_0\
);
\rdata[3]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(3),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(3),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_ap_ready,
O => \rdata[3]_i_2_n_0\
);
\rdata[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(4),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(4),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(4),
O => \rdata[4]_i_1_n_0\
);
\rdata[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(5),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(5),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(5),
O => \rdata[5]_i_1_n_0\
);
\rdata[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(6),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(6),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(6),
O => \rdata[6]_i_1_n_0\
);
\rdata[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"40FF4000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(7),
I3 => s_axi_gcd_bus_ARADDR(3),
I4 => \rdata[7]_i_2_n_0\,
O => \rdata[7]_i_1_n_0\
);
\rdata[7]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(7),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(7),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_auto_restart,
O => \rdata[7]_i_2_n_0\
);
\rdata[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(8),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(8),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(8),
O => \rdata[8]_i_1_n_0\
);
\rdata[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(9),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(9),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(9),
O => \rdata[9]_i_1_n_0\
);
\rdata_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => \rdata[0]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(0),
R => '0'
);
\rdata_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[10]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(10),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[11]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(11),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[12]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(12),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[13]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(13),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[14]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(14),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[15]_i_3_n_0\,
Q => \^s_axi_gcd_bus_rdata\(15),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => \rdata[1]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(1),
R => '0'
);
\rdata_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[2]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(2),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[3]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(3),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[4]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(4),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[5]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(5),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[6]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(6),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[7]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(7),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[8]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(8),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[9]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(9),
R => \rdata[15]_i_1_n_0\
);
\waddr[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^out\(0),
I1 => s_axi_gcd_bus_AWVALID,
O => waddr
);
\waddr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(0),
Q => \waddr_reg_n_0_[0]\,
R => '0'
);
\waddr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(1),
Q => \waddr_reg_n_0_[1]\,
R => '0'
);
\waddr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(2),
Q => \waddr_reg_n_0_[2]\,
R => '0'
);
\waddr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(3),
Q => \waddr_reg_n_0_[3]\,
R => '0'
);
\waddr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(4),
Q => \waddr_reg_n_0_[4]\,
R => '0'
);
\waddr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(5),
Q => \waddr_reg_n_0_[5]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd is
port (
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
s_axi_gcd_bus_AWVALID : in STD_LOGIC;
s_axi_gcd_bus_AWREADY : out STD_LOGIC;
s_axi_gcd_bus_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_WVALID : in STD_LOGIC;
s_axi_gcd_bus_WREADY : out STD_LOGIC;
s_axi_gcd_bus_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_gcd_bus_ARVALID : in STD_LOGIC;
s_axi_gcd_bus_ARREADY : out STD_LOGIC;
s_axi_gcd_bus_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_RVALID : out STD_LOGIC;
s_axi_gcd_bus_RREADY : in STD_LOGIC;
s_axi_gcd_bus_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_BVALID : out STD_LOGIC;
s_axi_gcd_bus_BREADY : in STD_LOGIC;
s_axi_gcd_bus_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
interrupt : out STD_LOGIC
);
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 32;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 6;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 32;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 4;
attribute C_S_AXI_WSTRB_WIDTH : integer;
attribute C_S_AXI_WSTRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 4;
attribute ap_ST_fsm_state1 : string;
attribute ap_ST_fsm_state1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "4'b0001";
attribute ap_ST_fsm_state2 : string;
attribute ap_ST_fsm_state2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "4'b0010";
attribute ap_ST_fsm_state3 : string;
attribute ap_ST_fsm_state3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "4'b0100";
attribute ap_ST_fsm_state4 : string;
attribute ap_ST_fsm_state4 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "4'b1000";
attribute hls_module : string;
attribute hls_module of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd is
signal \<const0>\ : STD_LOGIC;
signal a : STD_LOGIC_VECTOR ( 15 downto 0 );
signal a_assign_fu_78_p21_out : STD_LOGIC_VECTOR ( 15 downto 0 );
signal a_assign_reg_121 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal a_assign_reg_1210 : STD_LOGIC;
signal \a_assign_reg_121[11]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[11]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[11]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[11]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_3\ : STD_LOGIC;
signal \a_assign_reg_121_reg[15]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[15]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[15]_i_1_n_3\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_3\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_3\ : STD_LOGIC;
signal a_read_reg_107 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \ap_CS_fsm_reg_n_0_[0]\ : STD_LOGIC;
signal ap_CS_fsm_state2 : STD_LOGIC;
signal ap_CS_fsm_state3 : STD_LOGIC;
signal ap_CS_fsm_state4 : STD_LOGIC;
signal ap_NS_fsm : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ap_NS_fsm1 : STD_LOGIC;
signal ap_rst_n_inv : STD_LOGIC;
signal b : STD_LOGIC_VECTOR ( 15 downto 0 );
signal b_assign_fu_84_p20_out : STD_LOGIC_VECTOR ( 15 downto 0 );
signal b_assign_reg_126 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \b_assign_reg_126[11]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[11]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[11]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[11]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_3\ : STD_LOGIC;
signal \b_assign_reg_126_reg[15]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[15]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[15]_i_1_n_3\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_3\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_3\ : STD_LOGIC;
signal b_read_reg_102 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal p_1_in : STD_LOGIC_VECTOR ( 15 downto 0 );
signal p_s_reg_45 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \p_s_reg_45[0]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[10]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[11]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[12]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[13]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[14]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[15]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[15]_i_2_n_0\ : STD_LOGIC;
signal \p_s_reg_45[1]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[2]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[3]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[4]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[5]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[6]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[7]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[8]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[9]_i_1_n_0\ : STD_LOGIC;
signal result_reg_56 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \result_reg_56[15]_i_1_n_0\ : STD_LOGIC;
signal \^s_axi_gcd_bus_rdata\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal tmp_2_fu_66_p2 : STD_LOGIC;
signal tmp_3_fu_72_p2 : STD_LOGIC;
signal tmp_3_reg_115 : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_10_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_11_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_12_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_13_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_14_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_15_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_16_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_17_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_18_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_3_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_4_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_5_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_6_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_7_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_8_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_9_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_1_n_1\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_1_n_2\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_1_n_3\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_1\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_2\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_3\ : STD_LOGIC;
signal \NLW_a_assign_reg_121_reg[15]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_b_assign_reg_126_reg[15]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_tmp_3_reg_115_reg[0]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_tmp_3_reg_115_reg[0]_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute FSM_ENCODING : string;
attribute FSM_ENCODING of \ap_CS_fsm_reg[0]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[1]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[2]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[3]\ : label is "none";
begin
s_axi_gcd_bus_BRESP(1) <= \<const0>\;
s_axi_gcd_bus_BRESP(0) <= \<const0>\;
s_axi_gcd_bus_RDATA(31) <= \<const0>\;
s_axi_gcd_bus_RDATA(30) <= \<const0>\;
s_axi_gcd_bus_RDATA(29) <= \<const0>\;
s_axi_gcd_bus_RDATA(28) <= \<const0>\;
s_axi_gcd_bus_RDATA(27) <= \<const0>\;
s_axi_gcd_bus_RDATA(26) <= \<const0>\;
s_axi_gcd_bus_RDATA(25) <= \<const0>\;
s_axi_gcd_bus_RDATA(24) <= \<const0>\;
s_axi_gcd_bus_RDATA(23) <= \<const0>\;
s_axi_gcd_bus_RDATA(22) <= \<const0>\;
s_axi_gcd_bus_RDATA(21) <= \<const0>\;
s_axi_gcd_bus_RDATA(20) <= \<const0>\;
s_axi_gcd_bus_RDATA(19) <= \<const0>\;
s_axi_gcd_bus_RDATA(18) <= \<const0>\;
s_axi_gcd_bus_RDATA(17) <= \<const0>\;
s_axi_gcd_bus_RDATA(16) <= \<const0>\;
s_axi_gcd_bus_RDATA(15 downto 0) <= \^s_axi_gcd_bus_rdata\(15 downto 0);
s_axi_gcd_bus_RRESP(1) <= \<const0>\;
s_axi_gcd_bus_RRESP(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\a_assign_reg_121[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(11),
I1 => p_s_reg_45(11),
O => \a_assign_reg_121[11]_i_2_n_0\
);
\a_assign_reg_121[11]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(10),
I1 => p_s_reg_45(10),
O => \a_assign_reg_121[11]_i_3_n_0\
);
\a_assign_reg_121[11]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(9),
I1 => p_s_reg_45(9),
O => \a_assign_reg_121[11]_i_4_n_0\
);
\a_assign_reg_121[11]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(8),
I1 => p_s_reg_45(8),
O => \a_assign_reg_121[11]_i_5_n_0\
);
\a_assign_reg_121[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(15),
I1 => p_s_reg_45(15),
O => \a_assign_reg_121[15]_i_2_n_0\
);
\a_assign_reg_121[15]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(14),
I1 => p_s_reg_45(14),
O => \a_assign_reg_121[15]_i_3_n_0\
);
\a_assign_reg_121[15]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(13),
I1 => p_s_reg_45(13),
O => \a_assign_reg_121[15]_i_4_n_0\
);
\a_assign_reg_121[15]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(12),
I1 => p_s_reg_45(12),
O => \a_assign_reg_121[15]_i_5_n_0\
);
\a_assign_reg_121[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(3),
I1 => p_s_reg_45(3),
O => \a_assign_reg_121[3]_i_2_n_0\
);
\a_assign_reg_121[3]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(2),
I1 => p_s_reg_45(2),
O => \a_assign_reg_121[3]_i_3_n_0\
);
\a_assign_reg_121[3]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(1),
I1 => p_s_reg_45(1),
O => \a_assign_reg_121[3]_i_4_n_0\
);
\a_assign_reg_121[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(0),
I1 => p_s_reg_45(0),
O => \a_assign_reg_121[3]_i_5_n_0\
);
\a_assign_reg_121[7]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(7),
I1 => p_s_reg_45(7),
O => \a_assign_reg_121[7]_i_2_n_0\
);
\a_assign_reg_121[7]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(6),
I1 => p_s_reg_45(6),
O => \a_assign_reg_121[7]_i_3_n_0\
);
\a_assign_reg_121[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(5),
I1 => p_s_reg_45(5),
O => \a_assign_reg_121[7]_i_4_n_0\
);
\a_assign_reg_121[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(4),
I1 => p_s_reg_45(4),
O => \a_assign_reg_121[7]_i_5_n_0\
);
\a_assign_reg_121_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(0),
Q => a_assign_reg_121(0),
R => '0'
);
\a_assign_reg_121_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(10),
Q => a_assign_reg_121(10),
R => '0'
);
\a_assign_reg_121_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(11),
Q => a_assign_reg_121(11),
R => '0'
);
\a_assign_reg_121_reg[11]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \a_assign_reg_121_reg[7]_i_1_n_0\,
CO(3) => \a_assign_reg_121_reg[11]_i_1_n_0\,
CO(2) => \a_assign_reg_121_reg[11]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[11]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[11]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => result_reg_56(11 downto 8),
O(3 downto 0) => a_assign_fu_78_p21_out(11 downto 8),
S(3) => \a_assign_reg_121[11]_i_2_n_0\,
S(2) => \a_assign_reg_121[11]_i_3_n_0\,
S(1) => \a_assign_reg_121[11]_i_4_n_0\,
S(0) => \a_assign_reg_121[11]_i_5_n_0\
);
\a_assign_reg_121_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(12),
Q => a_assign_reg_121(12),
R => '0'
);
\a_assign_reg_121_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(13),
Q => a_assign_reg_121(13),
R => '0'
);
\a_assign_reg_121_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(14),
Q => a_assign_reg_121(14),
R => '0'
);
\a_assign_reg_121_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(15),
Q => a_assign_reg_121(15),
R => '0'
);
\a_assign_reg_121_reg[15]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \a_assign_reg_121_reg[11]_i_1_n_0\,
CO(3) => \NLW_a_assign_reg_121_reg[15]_i_1_CO_UNCONNECTED\(3),
CO(2) => \a_assign_reg_121_reg[15]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[15]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[15]_i_1_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => result_reg_56(14 downto 12),
O(3 downto 0) => a_assign_fu_78_p21_out(15 downto 12),
S(3) => \a_assign_reg_121[15]_i_2_n_0\,
S(2) => \a_assign_reg_121[15]_i_3_n_0\,
S(1) => \a_assign_reg_121[15]_i_4_n_0\,
S(0) => \a_assign_reg_121[15]_i_5_n_0\
);
\a_assign_reg_121_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(1),
Q => a_assign_reg_121(1),
R => '0'
);
\a_assign_reg_121_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(2),
Q => a_assign_reg_121(2),
R => '0'
);
\a_assign_reg_121_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(3),
Q => a_assign_reg_121(3),
R => '0'
);
\a_assign_reg_121_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \a_assign_reg_121_reg[3]_i_1_n_0\,
CO(2) => \a_assign_reg_121_reg[3]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[3]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[3]_i_1_n_3\,
CYINIT => '1',
DI(3 downto 0) => result_reg_56(3 downto 0),
O(3 downto 0) => a_assign_fu_78_p21_out(3 downto 0),
S(3) => \a_assign_reg_121[3]_i_2_n_0\,
S(2) => \a_assign_reg_121[3]_i_3_n_0\,
S(1) => \a_assign_reg_121[3]_i_4_n_0\,
S(0) => \a_assign_reg_121[3]_i_5_n_0\
);
\a_assign_reg_121_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(4),
Q => a_assign_reg_121(4),
R => '0'
);
\a_assign_reg_121_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(5),
Q => a_assign_reg_121(5),
R => '0'
);
\a_assign_reg_121_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(6),
Q => a_assign_reg_121(6),
R => '0'
);
\a_assign_reg_121_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(7),
Q => a_assign_reg_121(7),
R => '0'
);
\a_assign_reg_121_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \a_assign_reg_121_reg[3]_i_1_n_0\,
CO(3) => \a_assign_reg_121_reg[7]_i_1_n_0\,
CO(2) => \a_assign_reg_121_reg[7]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[7]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => result_reg_56(7 downto 4),
O(3 downto 0) => a_assign_fu_78_p21_out(7 downto 4),
S(3) => \a_assign_reg_121[7]_i_2_n_0\,
S(2) => \a_assign_reg_121[7]_i_3_n_0\,
S(1) => \a_assign_reg_121[7]_i_4_n_0\,
S(0) => \a_assign_reg_121[7]_i_5_n_0\
);
\a_assign_reg_121_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(8),
Q => a_assign_reg_121(8),
R => '0'
);
\a_assign_reg_121_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(9),
Q => a_assign_reg_121(9),
R => '0'
);
\a_read_reg_107_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(0),
Q => a_read_reg_107(0),
R => '0'
);
\a_read_reg_107_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(10),
Q => a_read_reg_107(10),
R => '0'
);
\a_read_reg_107_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(11),
Q => a_read_reg_107(11),
R => '0'
);
\a_read_reg_107_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(12),
Q => a_read_reg_107(12),
R => '0'
);
\a_read_reg_107_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(13),
Q => a_read_reg_107(13),
R => '0'
);
\a_read_reg_107_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(14),
Q => a_read_reg_107(14),
R => '0'
);
\a_read_reg_107_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(15),
Q => a_read_reg_107(15),
R => '0'
);
\a_read_reg_107_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(1),
Q => a_read_reg_107(1),
R => '0'
);
\a_read_reg_107_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(2),
Q => a_read_reg_107(2),
R => '0'
);
\a_read_reg_107_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(3),
Q => a_read_reg_107(3),
R => '0'
);
\a_read_reg_107_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(4),
Q => a_read_reg_107(4),
R => '0'
);
\a_read_reg_107_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(5),
Q => a_read_reg_107(5),
R => '0'
);
\a_read_reg_107_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(6),
Q => a_read_reg_107(6),
R => '0'
);
\a_read_reg_107_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(7),
Q => a_read_reg_107(7),
R => '0'
);
\a_read_reg_107_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(8),
Q => a_read_reg_107(8),
R => '0'
);
\a_read_reg_107_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(9),
Q => a_read_reg_107(9),
R => '0'
);
\ap_CS_fsm[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => ap_CS_fsm_state2,
I1 => ap_CS_fsm_state4,
O => ap_NS_fsm(2)
);
\ap_CS_fsm[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => ap_CS_fsm_state3,
I1 => tmp_2_fu_66_p2,
O => a_assign_reg_1210
);
\ap_CS_fsm_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(0),
Q => \ap_CS_fsm_reg_n_0_[0]\,
S => ap_rst_n_inv
);
\ap_CS_fsm_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(1),
Q => ap_CS_fsm_state2,
R => ap_rst_n_inv
);
\ap_CS_fsm_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(2),
Q => ap_CS_fsm_state3,
R => ap_rst_n_inv
);
\ap_CS_fsm_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => a_assign_reg_1210,
Q => ap_CS_fsm_state4,
R => ap_rst_n_inv
);
\b_assign_reg_126[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(11),
I1 => result_reg_56(11),
O => \b_assign_reg_126[11]_i_2_n_0\
);
\b_assign_reg_126[11]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(10),
I1 => result_reg_56(10),
O => \b_assign_reg_126[11]_i_3_n_0\
);
\b_assign_reg_126[11]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(9),
I1 => result_reg_56(9),
O => \b_assign_reg_126[11]_i_4_n_0\
);
\b_assign_reg_126[11]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(8),
I1 => result_reg_56(8),
O => \b_assign_reg_126[11]_i_5_n_0\
);
\b_assign_reg_126[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(15),
I1 => result_reg_56(15),
O => \b_assign_reg_126[15]_i_2_n_0\
);
\b_assign_reg_126[15]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(14),
I1 => result_reg_56(14),
O => \b_assign_reg_126[15]_i_3_n_0\
);
\b_assign_reg_126[15]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(13),
I1 => result_reg_56(13),
O => \b_assign_reg_126[15]_i_4_n_0\
);
\b_assign_reg_126[15]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(12),
I1 => result_reg_56(12),
O => \b_assign_reg_126[15]_i_5_n_0\
);
\b_assign_reg_126[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(3),
I1 => result_reg_56(3),
O => \b_assign_reg_126[3]_i_2_n_0\
);
\b_assign_reg_126[3]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(2),
I1 => result_reg_56(2),
O => \b_assign_reg_126[3]_i_3_n_0\
);
\b_assign_reg_126[3]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(1),
I1 => result_reg_56(1),
O => \b_assign_reg_126[3]_i_4_n_0\
);
\b_assign_reg_126[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(0),
I1 => result_reg_56(0),
O => \b_assign_reg_126[3]_i_5_n_0\
);
\b_assign_reg_126[7]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(7),
I1 => result_reg_56(7),
O => \b_assign_reg_126[7]_i_2_n_0\
);
\b_assign_reg_126[7]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(6),
I1 => result_reg_56(6),
O => \b_assign_reg_126[7]_i_3_n_0\
);
\b_assign_reg_126[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(5),
I1 => result_reg_56(5),
O => \b_assign_reg_126[7]_i_4_n_0\
);
\b_assign_reg_126[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(4),
I1 => result_reg_56(4),
O => \b_assign_reg_126[7]_i_5_n_0\
);
\b_assign_reg_126_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(0),
Q => b_assign_reg_126(0),
R => '0'
);
\b_assign_reg_126_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(10),
Q => b_assign_reg_126(10),
R => '0'
);
\b_assign_reg_126_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(11),
Q => b_assign_reg_126(11),
R => '0'
);
\b_assign_reg_126_reg[11]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \b_assign_reg_126_reg[7]_i_1_n_0\,
CO(3) => \b_assign_reg_126_reg[11]_i_1_n_0\,
CO(2) => \b_assign_reg_126_reg[11]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[11]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[11]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => p_s_reg_45(11 downto 8),
O(3 downto 0) => b_assign_fu_84_p20_out(11 downto 8),
S(3) => \b_assign_reg_126[11]_i_2_n_0\,
S(2) => \b_assign_reg_126[11]_i_3_n_0\,
S(1) => \b_assign_reg_126[11]_i_4_n_0\,
S(0) => \b_assign_reg_126[11]_i_5_n_0\
);
\b_assign_reg_126_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(12),
Q => b_assign_reg_126(12),
R => '0'
);
\b_assign_reg_126_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(13),
Q => b_assign_reg_126(13),
R => '0'
);
\b_assign_reg_126_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(14),
Q => b_assign_reg_126(14),
R => '0'
);
\b_assign_reg_126_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(15),
Q => b_assign_reg_126(15),
R => '0'
);
\b_assign_reg_126_reg[15]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \b_assign_reg_126_reg[11]_i_1_n_0\,
CO(3) => \NLW_b_assign_reg_126_reg[15]_i_1_CO_UNCONNECTED\(3),
CO(2) => \b_assign_reg_126_reg[15]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[15]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[15]_i_1_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => p_s_reg_45(14 downto 12),
O(3 downto 0) => b_assign_fu_84_p20_out(15 downto 12),
S(3) => \b_assign_reg_126[15]_i_2_n_0\,
S(2) => \b_assign_reg_126[15]_i_3_n_0\,
S(1) => \b_assign_reg_126[15]_i_4_n_0\,
S(0) => \b_assign_reg_126[15]_i_5_n_0\
);
\b_assign_reg_126_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(1),
Q => b_assign_reg_126(1),
R => '0'
);
\b_assign_reg_126_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(2),
Q => b_assign_reg_126(2),
R => '0'
);
\b_assign_reg_126_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(3),
Q => b_assign_reg_126(3),
R => '0'
);
\b_assign_reg_126_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \b_assign_reg_126_reg[3]_i_1_n_0\,
CO(2) => \b_assign_reg_126_reg[3]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[3]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[3]_i_1_n_3\,
CYINIT => '1',
DI(3 downto 0) => p_s_reg_45(3 downto 0),
O(3 downto 0) => b_assign_fu_84_p20_out(3 downto 0),
S(3) => \b_assign_reg_126[3]_i_2_n_0\,
S(2) => \b_assign_reg_126[3]_i_3_n_0\,
S(1) => \b_assign_reg_126[3]_i_4_n_0\,
S(0) => \b_assign_reg_126[3]_i_5_n_0\
);
\b_assign_reg_126_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(4),
Q => b_assign_reg_126(4),
R => '0'
);
\b_assign_reg_126_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(5),
Q => b_assign_reg_126(5),
R => '0'
);
\b_assign_reg_126_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(6),
Q => b_assign_reg_126(6),
R => '0'
);
\b_assign_reg_126_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(7),
Q => b_assign_reg_126(7),
R => '0'
);
\b_assign_reg_126_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \b_assign_reg_126_reg[3]_i_1_n_0\,
CO(3) => \b_assign_reg_126_reg[7]_i_1_n_0\,
CO(2) => \b_assign_reg_126_reg[7]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[7]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => p_s_reg_45(7 downto 4),
O(3 downto 0) => b_assign_fu_84_p20_out(7 downto 4),
S(3) => \b_assign_reg_126[7]_i_2_n_0\,
S(2) => \b_assign_reg_126[7]_i_3_n_0\,
S(1) => \b_assign_reg_126[7]_i_4_n_0\,
S(0) => \b_assign_reg_126[7]_i_5_n_0\
);
\b_assign_reg_126_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(8),
Q => b_assign_reg_126(8),
R => '0'
);
\b_assign_reg_126_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(9),
Q => b_assign_reg_126(9),
R => '0'
);
\b_read_reg_102_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(0),
Q => b_read_reg_102(0),
R => '0'
);
\b_read_reg_102_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(10),
Q => b_read_reg_102(10),
R => '0'
);
\b_read_reg_102_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(11),
Q => b_read_reg_102(11),
R => '0'
);
\b_read_reg_102_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(12),
Q => b_read_reg_102(12),
R => '0'
);
\b_read_reg_102_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(13),
Q => b_read_reg_102(13),
R => '0'
);
\b_read_reg_102_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(14),
Q => b_read_reg_102(14),
R => '0'
);
\b_read_reg_102_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(15),
Q => b_read_reg_102(15),
R => '0'
);
\b_read_reg_102_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(1),
Q => b_read_reg_102(1),
R => '0'
);
\b_read_reg_102_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(2),
Q => b_read_reg_102(2),
R => '0'
);
\b_read_reg_102_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(3),
Q => b_read_reg_102(3),
R => '0'
);
\b_read_reg_102_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(4),
Q => b_read_reg_102(4),
R => '0'
);
\b_read_reg_102_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(5),
Q => b_read_reg_102(5),
R => '0'
);
\b_read_reg_102_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(6),
Q => b_read_reg_102(6),
R => '0'
);
\b_read_reg_102_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(7),
Q => b_read_reg_102(7),
R => '0'
);
\b_read_reg_102_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(8),
Q => b_read_reg_102(8),
R => '0'
);
\b_read_reg_102_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(9),
Q => b_read_reg_102(9),
R => '0'
);
gcd_gcd_bus_s_axi_U: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd_gcd_bus_s_axi
port map (
CO(0) => tmp_2_fu_66_p2,
D(1 downto 0) => ap_NS_fsm(1 downto 0),
E(0) => ap_NS_fsm1,
Q(3) => ap_CS_fsm_state4,
Q(2) => ap_CS_fsm_state3,
Q(1) => ap_CS_fsm_state2,
Q(0) => \ap_CS_fsm_reg_n_0_[0]\,
SR(0) => ap_rst_n_inv,
\a_read_reg_107_reg[15]\(15 downto 0) => a(15 downto 0),
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
\b_read_reg_102_reg[15]\(15 downto 0) => b(15 downto 0),
interrupt => interrupt,
\out\(2) => s_axi_gcd_bus_BVALID,
\out\(1) => s_axi_gcd_bus_WREADY,
\out\(0) => s_axi_gcd_bus_AWREADY,
\p_s_reg_45_reg[15]\(15 downto 0) => p_s_reg_45(15 downto 0),
\result_reg_56_reg[15]\(15 downto 0) => result_reg_56(15 downto 0),
s_axi_gcd_bus_ARADDR(5 downto 0) => s_axi_gcd_bus_ARADDR(5 downto 0),
s_axi_gcd_bus_ARVALID => s_axi_gcd_bus_ARVALID,
s_axi_gcd_bus_AWADDR(5 downto 0) => s_axi_gcd_bus_AWADDR(5 downto 0),
s_axi_gcd_bus_AWVALID => s_axi_gcd_bus_AWVALID,
s_axi_gcd_bus_BREADY => s_axi_gcd_bus_BREADY,
s_axi_gcd_bus_RDATA(15 downto 0) => \^s_axi_gcd_bus_rdata\(15 downto 0),
s_axi_gcd_bus_RREADY => s_axi_gcd_bus_RREADY,
s_axi_gcd_bus_RVALID(1) => s_axi_gcd_bus_RVALID,
s_axi_gcd_bus_RVALID(0) => s_axi_gcd_bus_ARREADY,
s_axi_gcd_bus_WDATA(15 downto 0) => s_axi_gcd_bus_WDATA(15 downto 0),
s_axi_gcd_bus_WSTRB(1 downto 0) => s_axi_gcd_bus_WSTRB(1 downto 0),
s_axi_gcd_bus_WVALID => s_axi_gcd_bus_WVALID
);
\p_s_reg_45[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(0),
I1 => b_read_reg_102(0),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[0]_i_1_n_0\
);
\p_s_reg_45[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(10),
I1 => b_read_reg_102(10),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[10]_i_1_n_0\
);
\p_s_reg_45[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(11),
I1 => b_read_reg_102(11),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[11]_i_1_n_0\
);
\p_s_reg_45[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(12),
I1 => b_read_reg_102(12),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[12]_i_1_n_0\
);
\p_s_reg_45[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(13),
I1 => b_read_reg_102(13),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[13]_i_1_n_0\
);
\p_s_reg_45[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(14),
I1 => b_read_reg_102(14),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[14]_i_1_n_0\
);
\p_s_reg_45[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"74"
)
port map (
I0 => tmp_3_reg_115,
I1 => ap_CS_fsm_state4,
I2 => ap_CS_fsm_state2,
O => \p_s_reg_45[15]_i_1_n_0\
);
\p_s_reg_45[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(15),
I1 => b_read_reg_102(15),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[15]_i_2_n_0\
);
\p_s_reg_45[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(1),
I1 => b_read_reg_102(1),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[1]_i_1_n_0\
);
\p_s_reg_45[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(2),
I1 => b_read_reg_102(2),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[2]_i_1_n_0\
);
\p_s_reg_45[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(3),
I1 => b_read_reg_102(3),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[3]_i_1_n_0\
);
\p_s_reg_45[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(4),
I1 => b_read_reg_102(4),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[4]_i_1_n_0\
);
\p_s_reg_45[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(5),
I1 => b_read_reg_102(5),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[5]_i_1_n_0\
);
\p_s_reg_45[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(6),
I1 => b_read_reg_102(6),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[6]_i_1_n_0\
);
\p_s_reg_45[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(7),
I1 => b_read_reg_102(7),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[7]_i_1_n_0\
);
\p_s_reg_45[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(8),
I1 => b_read_reg_102(8),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[8]_i_1_n_0\
);
\p_s_reg_45[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(9),
I1 => b_read_reg_102(9),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[9]_i_1_n_0\
);
\p_s_reg_45_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[0]_i_1_n_0\,
Q => p_s_reg_45(0),
R => '0'
);
\p_s_reg_45_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[10]_i_1_n_0\,
Q => p_s_reg_45(10),
R => '0'
);
\p_s_reg_45_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[11]_i_1_n_0\,
Q => p_s_reg_45(11),
R => '0'
);
\p_s_reg_45_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[12]_i_1_n_0\,
Q => p_s_reg_45(12),
R => '0'
);
\p_s_reg_45_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[13]_i_1_n_0\,
Q => p_s_reg_45(13),
R => '0'
);
\p_s_reg_45_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[14]_i_1_n_0\,
Q => p_s_reg_45(14),
R => '0'
);
\p_s_reg_45_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[15]_i_2_n_0\,
Q => p_s_reg_45(15),
R => '0'
);
\p_s_reg_45_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[1]_i_1_n_0\,
Q => p_s_reg_45(1),
R => '0'
);
\p_s_reg_45_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[2]_i_1_n_0\,
Q => p_s_reg_45(2),
R => '0'
);
\p_s_reg_45_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[3]_i_1_n_0\,
Q => p_s_reg_45(3),
R => '0'
);
\p_s_reg_45_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[4]_i_1_n_0\,
Q => p_s_reg_45(4),
R => '0'
);
\p_s_reg_45_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[5]_i_1_n_0\,
Q => p_s_reg_45(5),
R => '0'
);
\p_s_reg_45_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[6]_i_1_n_0\,
Q => p_s_reg_45(6),
R => '0'
);
\p_s_reg_45_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[7]_i_1_n_0\,
Q => p_s_reg_45(7),
R => '0'
);
\p_s_reg_45_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[8]_i_1_n_0\,
Q => p_s_reg_45(8),
R => '0'
);
\p_s_reg_45_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[9]_i_1_n_0\,
Q => p_s_reg_45(9),
R => '0'
);
\result_reg_56[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(0),
I1 => a_read_reg_107(0),
I2 => ap_CS_fsm_state4,
O => p_1_in(0)
);
\result_reg_56[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(10),
I1 => a_read_reg_107(10),
I2 => ap_CS_fsm_state4,
O => p_1_in(10)
);
\result_reg_56[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(11),
I1 => a_read_reg_107(11),
I2 => ap_CS_fsm_state4,
O => p_1_in(11)
);
\result_reg_56[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(12),
I1 => a_read_reg_107(12),
I2 => ap_CS_fsm_state4,
O => p_1_in(12)
);
\result_reg_56[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(13),
I1 => a_read_reg_107(13),
I2 => ap_CS_fsm_state4,
O => p_1_in(13)
);
\result_reg_56[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(14),
I1 => a_read_reg_107(14),
I2 => ap_CS_fsm_state4,
O => p_1_in(14)
);
\result_reg_56[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => tmp_3_reg_115,
I1 => ap_CS_fsm_state4,
I2 => ap_CS_fsm_state2,
O => \result_reg_56[15]_i_1_n_0\
);
\result_reg_56[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(15),
I1 => a_read_reg_107(15),
I2 => ap_CS_fsm_state4,
O => p_1_in(15)
);
\result_reg_56[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(1),
I1 => a_read_reg_107(1),
I2 => ap_CS_fsm_state4,
O => p_1_in(1)
);
\result_reg_56[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(2),
I1 => a_read_reg_107(2),
I2 => ap_CS_fsm_state4,
O => p_1_in(2)
);
\result_reg_56[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(3),
I1 => a_read_reg_107(3),
I2 => ap_CS_fsm_state4,
O => p_1_in(3)
);
\result_reg_56[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(4),
I1 => a_read_reg_107(4),
I2 => ap_CS_fsm_state4,
O => p_1_in(4)
);
\result_reg_56[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(5),
I1 => a_read_reg_107(5),
I2 => ap_CS_fsm_state4,
O => p_1_in(5)
);
\result_reg_56[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(6),
I1 => a_read_reg_107(6),
I2 => ap_CS_fsm_state4,
O => p_1_in(6)
);
\result_reg_56[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(7),
I1 => a_read_reg_107(7),
I2 => ap_CS_fsm_state4,
O => p_1_in(7)
);
\result_reg_56[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(8),
I1 => a_read_reg_107(8),
I2 => ap_CS_fsm_state4,
O => p_1_in(8)
);
\result_reg_56[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(9),
I1 => a_read_reg_107(9),
I2 => ap_CS_fsm_state4,
O => p_1_in(9)
);
\result_reg_56_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(0),
Q => result_reg_56(0),
R => '0'
);
\result_reg_56_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(10),
Q => result_reg_56(10),
R => '0'
);
\result_reg_56_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(11),
Q => result_reg_56(11),
R => '0'
);
\result_reg_56_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(12),
Q => result_reg_56(12),
R => '0'
);
\result_reg_56_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(13),
Q => result_reg_56(13),
R => '0'
);
\result_reg_56_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(14),
Q => result_reg_56(14),
R => '0'
);
\result_reg_56_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(15),
Q => result_reg_56(15),
R => '0'
);
\result_reg_56_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(1),
Q => result_reg_56(1),
R => '0'
);
\result_reg_56_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(2),
Q => result_reg_56(2),
R => '0'
);
\result_reg_56_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(3),
Q => result_reg_56(3),
R => '0'
);
\result_reg_56_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(4),
Q => result_reg_56(4),
R => '0'
);
\result_reg_56_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(5),
Q => result_reg_56(5),
R => '0'
);
\result_reg_56_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(6),
Q => result_reg_56(6),
R => '0'
);
\result_reg_56_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(7),
Q => result_reg_56(7),
R => '0'
);
\result_reg_56_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(8),
Q => result_reg_56(8),
R => '0'
);
\result_reg_56_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(9),
Q => result_reg_56(9),
R => '0'
);
\tmp_3_reg_115[0]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(8),
I1 => p_s_reg_45(8),
I2 => result_reg_56(9),
I3 => p_s_reg_45(9),
O => \tmp_3_reg_115[0]_i_10_n_0\
);
\tmp_3_reg_115[0]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(6),
I1 => p_s_reg_45(6),
I2 => p_s_reg_45(7),
I3 => result_reg_56(7),
O => \tmp_3_reg_115[0]_i_11_n_0\
);
\tmp_3_reg_115[0]_i_12\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(4),
I1 => p_s_reg_45(4),
I2 => p_s_reg_45(5),
I3 => result_reg_56(5),
O => \tmp_3_reg_115[0]_i_12_n_0\
);
\tmp_3_reg_115[0]_i_13\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(2),
I1 => p_s_reg_45(2),
I2 => p_s_reg_45(3),
I3 => result_reg_56(3),
O => \tmp_3_reg_115[0]_i_13_n_0\
);
\tmp_3_reg_115[0]_i_14\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(0),
I1 => p_s_reg_45(0),
I2 => p_s_reg_45(1),
I3 => result_reg_56(1),
O => \tmp_3_reg_115[0]_i_14_n_0\
);
\tmp_3_reg_115[0]_i_15\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(6),
I1 => p_s_reg_45(6),
I2 => result_reg_56(7),
I3 => p_s_reg_45(7),
O => \tmp_3_reg_115[0]_i_15_n_0\
);
\tmp_3_reg_115[0]_i_16\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(4),
I1 => p_s_reg_45(4),
I2 => result_reg_56(5),
I3 => p_s_reg_45(5),
O => \tmp_3_reg_115[0]_i_16_n_0\
);
\tmp_3_reg_115[0]_i_17\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(2),
I1 => p_s_reg_45(2),
I2 => result_reg_56(3),
I3 => p_s_reg_45(3),
O => \tmp_3_reg_115[0]_i_17_n_0\
);
\tmp_3_reg_115[0]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(0),
I1 => p_s_reg_45(0),
I2 => result_reg_56(1),
I3 => p_s_reg_45(1),
O => \tmp_3_reg_115[0]_i_18_n_0\
);
\tmp_3_reg_115[0]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(14),
I1 => p_s_reg_45(14),
I2 => result_reg_56(15),
I3 => p_s_reg_45(15),
O => \tmp_3_reg_115[0]_i_3_n_0\
);
\tmp_3_reg_115[0]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(12),
I1 => p_s_reg_45(12),
I2 => p_s_reg_45(13),
I3 => result_reg_56(13),
O => \tmp_3_reg_115[0]_i_4_n_0\
);
\tmp_3_reg_115[0]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(10),
I1 => p_s_reg_45(10),
I2 => p_s_reg_45(11),
I3 => result_reg_56(11),
O => \tmp_3_reg_115[0]_i_5_n_0\
);
\tmp_3_reg_115[0]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(8),
I1 => p_s_reg_45(8),
I2 => p_s_reg_45(9),
I3 => result_reg_56(9),
O => \tmp_3_reg_115[0]_i_6_n_0\
);
\tmp_3_reg_115[0]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(14),
I1 => p_s_reg_45(14),
I2 => p_s_reg_45(15),
I3 => result_reg_56(15),
O => \tmp_3_reg_115[0]_i_7_n_0\
);
\tmp_3_reg_115[0]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(12),
I1 => p_s_reg_45(12),
I2 => result_reg_56(13),
I3 => p_s_reg_45(13),
O => \tmp_3_reg_115[0]_i_8_n_0\
);
\tmp_3_reg_115[0]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(10),
I1 => p_s_reg_45(10),
I2 => result_reg_56(11),
I3 => p_s_reg_45(11),
O => \tmp_3_reg_115[0]_i_9_n_0\
);
\tmp_3_reg_115_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => tmp_3_fu_72_p2,
Q => tmp_3_reg_115,
R => '0'
);
\tmp_3_reg_115_reg[0]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \tmp_3_reg_115_reg[0]_i_2_n_0\,
CO(3) => tmp_3_fu_72_p2,
CO(2) => \tmp_3_reg_115_reg[0]_i_1_n_1\,
CO(1) => \tmp_3_reg_115_reg[0]_i_1_n_2\,
CO(0) => \tmp_3_reg_115_reg[0]_i_1_n_3\,
CYINIT => '0',
DI(3) => \tmp_3_reg_115[0]_i_3_n_0\,
DI(2) => \tmp_3_reg_115[0]_i_4_n_0\,
DI(1) => \tmp_3_reg_115[0]_i_5_n_0\,
DI(0) => \tmp_3_reg_115[0]_i_6_n_0\,
O(3 downto 0) => \NLW_tmp_3_reg_115_reg[0]_i_1_O_UNCONNECTED\(3 downto 0),
S(3) => \tmp_3_reg_115[0]_i_7_n_0\,
S(2) => \tmp_3_reg_115[0]_i_8_n_0\,
S(1) => \tmp_3_reg_115[0]_i_9_n_0\,
S(0) => \tmp_3_reg_115[0]_i_10_n_0\
);
\tmp_3_reg_115_reg[0]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \tmp_3_reg_115_reg[0]_i_2_n_0\,
CO(2) => \tmp_3_reg_115_reg[0]_i_2_n_1\,
CO(1) => \tmp_3_reg_115_reg[0]_i_2_n_2\,
CO(0) => \tmp_3_reg_115_reg[0]_i_2_n_3\,
CYINIT => '0',
DI(3) => \tmp_3_reg_115[0]_i_11_n_0\,
DI(2) => \tmp_3_reg_115[0]_i_12_n_0\,
DI(1) => \tmp_3_reg_115[0]_i_13_n_0\,
DI(0) => \tmp_3_reg_115[0]_i_14_n_0\,
O(3 downto 0) => \NLW_tmp_3_reg_115_reg[0]_i_2_O_UNCONNECTED\(3 downto 0),
S(3) => \tmp_3_reg_115[0]_i_15_n_0\,
S(2) => \tmp_3_reg_115[0]_i_16_n_0\,
S(1) => \tmp_3_reg_115[0]_i_17_n_0\,
S(0) => \tmp_3_reg_115[0]_i_18_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
s_axi_gcd_bus_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_AWVALID : in STD_LOGIC;
s_axi_gcd_bus_AWREADY : out STD_LOGIC;
s_axi_gcd_bus_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_gcd_bus_WVALID : in STD_LOGIC;
s_axi_gcd_bus_WREADY : out STD_LOGIC;
s_axi_gcd_bus_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_BVALID : out STD_LOGIC;
s_axi_gcd_bus_BREADY : in STD_LOGIC;
s_axi_gcd_bus_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_ARVALID : in STD_LOGIC;
s_axi_gcd_bus_ARREADY : out STD_LOGIC;
s_axi_gcd_bus_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_RVALID : out STD_LOGIC;
s_axi_gcd_bus_RREADY : in STD_LOGIC;
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
interrupt : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "gcd_block_design_gcd_0_1,gcd,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute IP_DEFINITION_SOURCE : string;
attribute IP_DEFINITION_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "HLS";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "gcd,Vivado 2018.2";
attribute hls_module : string;
attribute hls_module of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of inst : label is 32;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH of inst : label is 6;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH of inst : label is 32;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH of inst : label is 4;
attribute C_S_AXI_WSTRB_WIDTH : integer;
attribute C_S_AXI_WSTRB_WIDTH of inst : label is 4;
attribute ap_ST_fsm_state1 : string;
attribute ap_ST_fsm_state1 of inst : label is "4'b0001";
attribute ap_ST_fsm_state2 : string;
attribute ap_ST_fsm_state2 of inst : label is "4'b0010";
attribute ap_ST_fsm_state3 : string;
attribute ap_ST_fsm_state3 of inst : label is "4'b0100";
attribute ap_ST_fsm_state4 : string;
attribute ap_ST_fsm_state4 of inst : label is "4'b1000";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of ap_clk : signal is "xilinx.com:signal:clock:1.0 ap_clk CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of ap_clk : signal is "XIL_INTERFACENAME ap_clk, ASSOCIATED_BUSIF s_axi_gcd_bus, ASSOCIATED_RESET ap_rst_n, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0";
attribute X_INTERFACE_INFO of ap_rst_n : signal is "xilinx.com:signal:reset:1.0 ap_rst_n RST";
attribute X_INTERFACE_PARAMETER of ap_rst_n : signal is "XIL_INTERFACENAME ap_rst_n, POLARITY ACTIVE_LOW, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {RST {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}";
attribute X_INTERFACE_INFO of interrupt : signal is "xilinx.com:signal:interrupt:1.0 interrupt INTERRUPT";
attribute X_INTERFACE_PARAMETER of interrupt : signal is "XIL_INTERFACENAME interrupt, SENSITIVITY LEVEL_HIGH, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {INTERRUPT {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, PortWidth 1";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_ARREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus ARREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_ARVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus ARVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_AWREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus AWREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_AWVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus AWVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_BREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus BREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_BVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus BVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_gcd_bus_RREADY : signal is "XIL_INTERFACENAME s_axi_gcd_bus, ADDR_WIDTH 6, DATA_WIDTH 32, PROTOCOL AXI4LITE, READ_WRITE_MODE READ_WRITE, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 100000000, ID_WIDTH 0, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 1, NUM_WRITE_OUTSTANDING 1, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_ARADDR : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus ARADDR";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_AWADDR : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus AWADDR";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_BRESP : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus BRESP";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RDATA : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RDATA";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RRESP : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RRESP";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WDATA : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WDATA";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WSTRB : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WSTRB";
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd
port map (
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
interrupt => interrupt,
s_axi_gcd_bus_ARADDR(5 downto 0) => s_axi_gcd_bus_ARADDR(5 downto 0),
s_axi_gcd_bus_ARREADY => s_axi_gcd_bus_ARREADY,
s_axi_gcd_bus_ARVALID => s_axi_gcd_bus_ARVALID,
s_axi_gcd_bus_AWADDR(5 downto 0) => s_axi_gcd_bus_AWADDR(5 downto 0),
s_axi_gcd_bus_AWREADY => s_axi_gcd_bus_AWREADY,
s_axi_gcd_bus_AWVALID => s_axi_gcd_bus_AWVALID,
s_axi_gcd_bus_BREADY => s_axi_gcd_bus_BREADY,
s_axi_gcd_bus_BRESP(1 downto 0) => s_axi_gcd_bus_BRESP(1 downto 0),
s_axi_gcd_bus_BVALID => s_axi_gcd_bus_BVALID,
s_axi_gcd_bus_RDATA(31 downto 0) => s_axi_gcd_bus_RDATA(31 downto 0),
s_axi_gcd_bus_RREADY => s_axi_gcd_bus_RREADY,
s_axi_gcd_bus_RRESP(1 downto 0) => s_axi_gcd_bus_RRESP(1 downto 0),
s_axi_gcd_bus_RVALID => s_axi_gcd_bus_RVALID,
s_axi_gcd_bus_WDATA(31 downto 0) => s_axi_gcd_bus_WDATA(31 downto 0),
s_axi_gcd_bus_WREADY => s_axi_gcd_bus_WREADY,
s_axi_gcd_bus_WSTRB(3 downto 0) => s_axi_gcd_bus_WSTRB(3 downto 0),
s_axi_gcd_bus_WVALID => s_axi_gcd_bus_WVALID
);
end STRUCTURE;
| mit | 77a22478cf4f4e94398f68a2e87ffe78 | 0.513409 | 2.50982 | false | false | false | false |
MartinCura/SistDig-TP4 | sin_usar/External_RAM/memory_controller.vhd | 1 | 5,426 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity MemoryController is
Generic (
clock_frec : integer := 50 -- MHz
);
Port (
clk :in STD_LOGIC; -- 100MHz/50MHz
reset :in STD_LOGIC;
address_in :in STD_LOGIC_VECTOR (22 downto 0); -- RAM address
mem :in STD_LOGIC; -- if='1' Comienza la operacion
rw :in STD_LOGIC; -- if='0' => lectura if='1' => escritura
data_in :in STD_LOGIC_VECTOR (15 downto 0); -- data que va a ser escrita
data_out :out STD_LOGIC_VECTOR (15 downto 0); -- data que va a ser leida
read_ready_out :out STD_LOGIC; -- if='1' valida data_out
busy :out STD_LOGIC; -- if='1' RAM esta ocupada (mem no tiene efecto)
clock_out :out STD_LOGIC;
ADDRESS :out STD_LOGIC_VECTOR (22 downto 0);
ADV :out STD_LOGIC;
CRE :out STD_LOGIC;
CE :out STD_LOGIC;
OE :out STD_LOGIC;
WE :out STD_LOGIC;
LB :out STD_LOGIC;
UB :out STD_LOGIC;
DATA :inout STD_LOGIC_VECTOR (15 downto 0)
);
end entity MemoryController;
architecture Behavioral of MemoryController is
type state_t is (INIT, IDLE, WRITING, READING); --Estados en los que se encuentra el controlador
signal state : state_t := INIT;
constant clock_period_ns : integer := (1000/clock_frec); -- nanosegundos (50MHz => 20ns, 100MHz => 10ns)
constant init_period_us : integer := 151; -- microsegundos (151 us)
constant init_counter : integer := (init_period_us * 1000 / clock_period_ns); -- 151 microsegundos
constant timing_counter : integer := (80 / clock_period_ns); -- 80 nanosegundos (70ns)
signal counter : integer range 0 to init_counter := 0;
-- Controla la data de entrada a escribir en la RAM
signal writing_out : STD_LOGIC := '0';
signal address_aux : std_logic_vector(22 downto 0) := (others => '0');
signal data_in_aux : std_logic_vector(15 downto 0) := (others => '0');
begin
ADDRESS <= address_aux ;
--Estado y registro de datos
address_process: process (clk, reset)
begin
if reset = '1' then
address_aux <= (others => '0');
data_in_aux <= (others => '0');
elsif rising_edge(clk) then ---elsif (clk'event and clk = 'l') then
if mem = '1' then
address_aux <= address_in;
data_in_aux <= data_in;
end if;
end if;
end process;
--
clock_out <= '0'; -- El control opera asincronicamente
CRE <= '0'; -- El controlador usa la configuracion default
-- Señal auxiliar para poder inicializar correctamente
-- de modo que no quede indefinido su valor antes del primer mem
DATA <= data_in_aux when writing_out='1' else (others => 'Z');
--
-- Señales de control
busy <= '1' when (state = WRITING OR state = READING OR state = INIT) else '0';
ADV <= '1' when state = INIT else '0';
CE <= '0' when (state = WRITING OR state = READING) else '1'; --Habilita o deshabilita el chip
LB <= '0' when (state = WRITING OR state = READING) else '1'; --Habilita o deshabilita el lower byte del bus de datos
UB <= '0' when (state = WRITING OR state = READING) else '1'; --Habilita o deshabilita el upper byte del bus de datos
WE <= '0' when state = WRITING else '1'; --Habilita o deshabilita la operación de escritura
OE <= '0' when state = READING else '1'; --Habilita o deshabilita la salida
writing_out <= '1' when state = WRITING else '0';
-- FSM process
FSM: process (clk, reset)
begin
-- RESET
if reset = '1' then
state <= INIT;
elsif rising_edge(clk) then ---elsif (clk'event and clk = 'l') then
case state is
-- INIT
when INIT =>
read_ready_out <= '0';
data_out <= (others => '0');
if (counter >= init_counter) then
counter <= 0;
state <= IDLE;
else
counter <= counter + 1;
end if;
-- IDLE
when IDLE =>
read_ready_out <= '0';
data_out <= (others => '0');
if mem = '1' then -- Si esta habilitado el controlador, entonces entra en estado de lectura o escritura
if rw = '1' then
state <= WRITING;
else
state <= READING;
end if;
end if;
-- WRITING
when WRITING =>
if (counter >= timing_counter - 1) then
counter <= 0;
state <= IDLE;
else
counter <= counter + 1;
end if;
-- READING
when READING =>
-- En el último ciclo de la cuenta
if (counter = timing_counter - 2) then
data_out <= DATA;
counter <= counter + 1;
-- Adelanto el read_ready_out para leer en el 5to flanco
read_ready_out <= '1';
-- Cuando termina de contar
elsif (counter >= timing_counter - 1) then
counter <= 0;
state <= IDLE;
data_out <= DATA;
read_ready_out <= '0';
else
counter <= counter + 1;
end if;
when others =>
state <= IDLE;
end case;
end if;
end process; -- FSM
end;
| gpl-3.0 | ede4b76f91c433709912f3b99f7f548e | 0.537993 | 3.749654 | false | false | false | false |
MartinCura/SistDig-TP4 | old/External_RAM/buffer_almacenamiento.vhd | 1 | 2,469 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity buffer_almacenamiento is
generic (
N,M : natural
);
port (
clk : in std_logic;
rst : in std_logic;
flag_rotar : in std_logic;--flag que indica cuando mandar los datos al cordic
data_in_rdy : in std_logic;
data_in : in std_logic_vector (N-1 downto 0);
datax_out : out std_logic_vector (N-1 downto 0);
datay_out : out std_logic_vector (N-1 downto 0);
dataz_out : out std_logic_vector (N-1 downto 0);
data_out_rdy : out std_logic
);
end;
architecture beh of buffer_almacenamiento is
type memo is array (0 to M-1) of std_logic_vector(N-1 downto 0); --M = 300
signal posicion : memo;
signal contador_carga : unsigned(8 downto 0):= (others => '0');
signal contador_salida : unsigned(8 downto 0):= (others => '0');
--variable flag_aux_rotar is std_logic;--flag auxiliar para que no cambie una vez que cambia el flag de afuera
begin
--Process carga de datos--
process(clk,rst)
begin
if rst='1' then
contador_carga <= (others => '0');
elsif rising_edge(clk) then
if data_in_rdy = '1' then
posicion(to_integer(contador_carga)) <= data_in;
contador_carga <= contador_carga + 1;
end if;
end if;
end process;
--Process salida de datos--
process(clk,rst)
variable flag_aux_rotar,aux_data_out_rdy : std_logic;
variable aux_data_buffer : unsigned(3 downto 0) := "0000";
begin
if rst='1' then
contador_salida <= (others => '0');
elsif rising_edge(clk) then
--aux_data_out_rdy := '0';
if flag_rotar = '1' then
flag_aux_rotar := '1';
aux_data_buffer := "0000";
end if;
if flag_aux_rotar = '1' then
if to_integer(contador_salida) >= M then -- M=300
contador_salida <= (others => '0');
flag_aux_rotar := '0';
aux_data_buffer := "0000";
aux_data_out_rdy := '0';
elsif aux_data_buffer > "0000" then
aux_data_buffer := aux_data_buffer +1;
if aux_data_buffer = "1111" then
aux_data_out_rdy := '1';
aux_data_buffer := "0000";
end if;
else
datax_out <= posicion(to_integer(contador_salida));
datay_out <= posicion(to_integer(contador_salida + 1));
dataz_out <= posicion(to_integer(contador_salida + 2));
contador_salida <= contador_salida + 3;
aux_data_buffer := "0001";
aux_data_out_rdy := '0';
end if;
end if;
end if;
data_out_rdy <= aux_data_out_rdy;
end process;
end beh;
| gpl-3.0 | ca89f7ba6ed154542991f04cfc6e019c | 0.622924 | 2.867596 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/fir/fir_filter_shared_package.vhdl | 1 | 2,943 | -- Author: Varun Nagpal
-- Net Id: vxn180010
-- VLSI Design Homework 1
-- 3rd Sept, 2018
--
-- Package: Modifiable Paramaters, non-modifiable constants and types (ports)
-- for the Generic Nth order (L = N+1 taps) Transposed Direct-form FIR-filter
--
-- Modifiable variables for Design of the FIR Filter:
-- FIR_ORDER = order of the filter (N). Note L = N+1 = taps
-- X_BIT_SIZE = bit width (n) of input samples (signed 2's complement)
-- H_BIT_SIZE = bit width (m) of coefficients (signed 2's complement)
--
-- Modifiable variables for testbench of the FIR Filter:
-- CLK_CYCLE_TIME = clock cycle time
-- CLK_HIGH_TIME = time for which clock is high
--
-- All remaining parameters in the package are non-modifiable constants which
-- must not be modified manually as there values are calculated during using values
-- of modifiable variables during compilation of VHDL files
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use ieee.std_logic_unsigned.all;
package fir_filter_shared_package is
-- modifiable variables for design of FIR filter
constant FIR_ORDER : natural := 3; -- order of the filter (N). Note L = N+1 = taps
constant X_BIT_SIZE : natural := 16; -- bit width (n) of input samples (signed 2's complement)
constant H_BIT_SIZE : natural := 16; -- bit width (m) of coefficients (signed 2's complement)
-- modifiable variables for testbench of FIR filter
constant CLK_CYCLE_TIME : time := 100 ns;
constant CLK_HIGH_TIME : time := 50 ns;
-- modifiable constants for testbench of FIR filter
constant CLK_LOW_TIME : time := CLK_CYCLE_TIME - CLK_HIGH_TIME;
-- non-modifiable constants
constant MULT_BIT_SIZE : natural := X_BIT_SIZE+H_BIT_SIZE; -- bit width (n+m) of signed multiplier
constant EXTR_BIT_SIZE : natural := natural(ceil(log2(real(FIR_ORDER+1))))-1; -- extra bits for accumulation = ceil(log2(L))-1
constant Y_BIT_SIZE : natural := MULT_BIT_SIZE+EXTR_BIT_SIZE; -- bit width of output samples (signed 2's complement) or signed adder
-- N = no. of register delays or additions
subtype ADD_REG_TYPE is signed(Y_BIT_SIZE-1 downto 0);
type ADD_REG_ARRAY is array (0 to FIR_ORDER) of ADD_REG_TYPE;
-- L = N+1 no. of taps or coefficients or multiplications
subtype MULT_SIG_TYPE is signed(MULT_BIT_SIZE-1 downto 0);
type MULT_SIG_ARRAY is array (0 to FIR_ORDER) of MULT_SIG_TYPE;
subtype COEFF_REG_TYPE is signed(H_BIT_SIZE-1 downto 0);
type COEFF_REG_ARRAY is array (0 to FIR_ORDER) of COEFF_REG_TYPE;
end fir_filter_shared_package;
package body fir_filter_shared_package is
-- empty
end fir_filter_shared_package; | mit | 1784b1b3cba2bd1196471118768d7515 | 0.642202 | 3.575942 | false | false | false | false |
Feuerwerk/fpgaNES | periphery_ctrl.vhd | 1 | 10,469 | /*
This file is part of fpgaNES.
fpgaNES is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation, either version 3 of the License, or
(at your option) any later version.
fpgaNES is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with fpgaNES. If not, see <http://www.gnu.org/licenses/>.
*/
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.common.all;
entity periphery_ctrl is
generic
(
CLK_SPEED : integer := 50_000_000
);
port
(
i_clk : in std_logic;
i_reset_n : in std_logic := '1';
i_int_n : in std_logic := '1';
io_sda : inout std_logic;
io_scl : inout std_logic;
o_status : out std_logic_vector(7 downto 0);
o_ack_error : out std_logic
);
end periphery_ctrl;
architecture behavioral of periphery_ctrl is
component i2c_master is
generic
(
CLK_SPEED : integer := 50_000_000;
WAIT_TIMEOUT : integer := 349999
);
port
(
i_clk : in std_logic;
i_reset_n : in std_logic := '1';
i_enable : in std_logic := '0';
i_active : in std_logic := '0';
i_addr : in std_logic_vector(7 downto 0) := x"00";
i_cmd : in std_logic_vector(7 downto 0) := x"00";
i_data : in std_logic_vector(7 downto 0) := x"00";
i_read_not_write : std_logic := '1';
io_sda : inout std_logic;
io_scl : inout std_logic;
o_q : out std_logic_vector(7 downto 0);
o_busy : out std_logic;
o_ack_error : out std_logic
);
end component;
type state_t is (boot, idle, intr);
constant ADV7513 : std_logic_vector(7 downto 0) := x"72";
constant SSM2603 : std_logic_vector(7 downto 0) := x"34";
signal s_state : state_t:= boot;
signal s_counter : integer range 0 to 50 := 0;
signal s_enable : std_logic;
signal s_update : std_logic := '1';
signal s_active : std_logic;
signal s_busy : std_logic;
signal s_addr : std_logic_vector(7 downto 0);
signal s_cmd : std_logic_vector(7 downto 0);
signal s_data : std_logic_vector(7 downto 0);
signal s_q : std_logic_vector(7 downto 0);
signal s_read_not_write : std_logic;
signal s_hpd_active : boolean := false;
signal s_div : integer range 0 to 999 := 0; -- @todo remove wait timeout
begin
i2c : i2c_master generic map ( CLK_SPEED => CLK_SPEED, WAIT_TIMEOUT => 349999 ) port map
(
i_clk => i_clk,
i_reset_n => i_reset_n,
i_enable => s_enable and s_update,
i_active => s_active,
i_addr => s_addr,
i_cmd => s_cmd,
i_data => s_data,
i_read_not_write => s_read_not_write,
io_sda => io_sda,
io_scl => io_scl,
o_q => s_q,
o_busy => s_busy,
o_ack_error => o_ack_error
);
process (i_clk)
begin
if rising_edge(i_clk) then
if i_reset_n = '0' then
s_div <= 1;
elsif s_div = 999 then
s_div <= 0;
else
s_div <= s_div + 1;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
s_update <= '0';
if i_reset_n = '0' then
s_state <= boot;
s_counter <= 0;
s_update <= '1';
s_hpd_active <= false;
elsif (s_update = '0') and (s_busy = '0') and (s_div = 999) then
case s_state is
when idle =>
if i_int_n = '0' then
s_state <= intr;
s_update <= '1';
s_counter <= 0;
end if;
when boot =>
s_update <= '1';
case s_counter is
when 13 =>
s_state <= intr;
s_counter <= 1;
s_hpd_active <= true;
when others =>
s_counter <= s_counter + 1;
end case;
when intr =>
s_update <= '1';
case s_counter is
when 0 =>
s_counter <= 1;
s_hpd_active <= s_q(7) = '1';
when 6 =>
if s_hpd_active then
s_counter <= 7;
else
s_counter <= 49;
end if;
when 7 =>
if (s_q(5) = '1') or (s_q(6) = '1') then
s_counter <= 8;
else
s_counter <= 49;
end if;
when 50 =>
s_state <= idle;
when others =>
s_counter <= s_counter + 1;
end case;
when others =>
end case;
end if;
end if;
end process;
process (s_state, s_counter, s_q)
procedure write(addr : std_logic_vector(7 downto 0); cmd : std_logic_vector(7 downto 0); data : std_logic_vector(7 downto 0)) is
begin
s_enable <= '1';
s_active <= '1';
s_addr <= addr;
s_cmd <= cmd;
s_data <= data;
s_read_not_write <= '0';
end;
procedure read(addr : std_logic_vector(7 downto 0); cmd : std_logic_vector(7 downto 0)) is
begin
s_enable <= '1';
s_active <= '1';
s_addr <= addr;
s_cmd <= cmd;
s_data <= (others => '-');
s_read_not_write <= '1';
end;
procedure nop is
begin
s_enable <= '0';
s_active <= '-';
s_addr <= (others => '-');
s_cmd <= (others => '-');
s_data <= (others => '-');
s_read_not_write <= '-';
end;
procedure stop is
begin
s_enable <= '1';
s_active <= '0';
s_addr <= (others => '-');
s_cmd <= (others => '-');
s_data <= (others => '-');
s_read_not_write <= '-';
end;
begin
case s_state is
when boot =>
case s_counter is
when 0 => nop;
when 1 => write(SSM2603, x"1E", x"00"); -- Reset
when 2 => write(SSM2603, x"0C", x"10"); -- power on everything except out
when 3 => write(SSM2603, x"00", x"40"); -- left input: Mute
when 4 => write(SSM2603, x"02", x"40"); -- right input: Mute
when 5 => write(SSM2603, x"04", x"65"); -- left output: -20 dB
when 6 => write(SSM2603, x"06", x"65"); -- right output: -20 dB
when 7 => write(SSM2603, x"08", x"D4"); -- analog path
when 8 => write(SSM2603, x"0A", x"04"); -- digital path
when 9 => write(SSM2603, x"0E", x"01"); -- digital IF
when 10 => write(SSM2603, x"10", x"20"); -- sampling rate
when 11 => write(SSM2603, x"0C", x"00"); -- power on everything
when 12 => write(SSM2603, x"12", x"01"); -- activate
when 13 => stop;
when others => nop;
end case;
when intr =>
case s_counter is
when 0 => read(ADV7513, x"96"); -- read HPD-Interrupt status
when 1 => write(ADV7513, x"92", x"00"); -- deactivate all interrupts
when 2 => write(ADV7513, x"94", x"00"); -- deactivate all interrupts
when 3 => write(ADV7513, x"95", x"00"); -- deactivate all interrupts
when 4 => write(ADV7513, x"93", x"FF"); -- clear all pending interrupts
when 5 => write(ADV7513, x"96", x"FF"); -- clear all pending interrupts
when 6 => write(ADV7513, x"97", x"FF"); -- clear all pending interrupts
when 7 => read(ADV7513, x"42"); -- read status register
when 8 => read(ADV7513, x"41"); -- configure power register
when 9 => write(ADV7513, x"41", s_q(7) & '0' & s_q(5 downto 0));
when 10 => write(ADV7513, x"98", x"03"); -- write fixed register 0x98
when 11 => write(ADV7513, x"99", x"02"); -- write fixed register 0x99
when 12 => read(ADV7513, x"9A"); -- write fixed register 0x9A
when 13 => write(ADV7513, x"9A", "1110000" & s_q(0));
when 14 => write(ADV7513, x"9C", x"30"); -- write fixed register 0x9D
when 15 => read(ADV7513, x"9D"); -- write fixed register 0x9A
when 16 => write(ADV7513, x"9D", s_q(7 downto 2) & "01");
when 17 => write(ADV7513, x"A2", x"A4"); -- write fixed register 0xA2
when 18 => write(ADV7513, x"A3", x"A4"); -- write fixed register 0xA3
when 19 => write(ADV7513, x"A5", x"44"); -- write fixed register 0xA5
when 20 => write(ADV7513, x"AB", x"40"); -- write fixed register 0xAB
when 21 => write(ADV7513, x"E0", x"D0"); -- write fixed register 0xE0
when 22 => write(ADV7513, x"D1", x"FF"); -- write fixed register 0xD1
when 23 => write(ADV7513, x"DE", x"9C"); -- write fixed register 0x9C
when 24 => write(ADV7513, x"DE", x"9C"); -- configure ID
when 25 => write(ADV7513, x"15", x"00"); -- I2S Sampling Frequency = 44.1 kHz, Input ID = RGB 4:4:4
when 26 => read(ADV7513, x"16"); -- configure Video Input 1
when 27 => write(ADV7513, x"16", '0' & s_q(6) & "1100" & s_q(1) & '0'); -- OutFormat = 4:4:4, ColorDepth = 8 Bit, InputStyle = Invalid, ColorSpace
when 28 => read(ADV7513, x"17"); -- configure Video Input 2
when 29 => write(ADV7513, x"17", s_q(7 downto 2) & '0' & s_q(0)); -- AspectRatio = 4:3
when 30 => read(ADV7513, x"18"); -- configure CSC
when 31 => write(ADV7513, x"18", '0' & s_q(6 downto 0));
when 32 => read(ADV7513, x"AF"); -- configure HDMI
when 33 => write(ADV7513, x"AF", '0' & s_q(6 downto 2) & '1' & s_q(0)); -- deactivate HDCP, HDMI-Modus
when 34 => read(ADV7513, x"40"); -- configure Packet Enable
when 35 => write(ADV7513, x"40", '1' & s_q(6 downto 0));
when 36 => read(ADV7513, x"4C"); -- configure GC
when 37 => write(ADV7513, x"4C", s_q(7 downto 4) & "0100");
when 38 => write(ADV7513, x"01", x"00"); -- Audio: write N to Register 0x01
when 39 => write(ADV7513, x"02", x"18"); -- Audio: write N to Register 0x02
when 40 => write(ADV7513, x"03", x"00"); -- Audio: write N to Register 0x03
when 41 => write(ADV7513, x"0A", x"01"); -- Audio Register 0x0A: CTS Automatic, I2S, 256xfs
when 42 => write(ADV7513, x"0B", x"2E"); -- Audio Register 0x0B: SCLK rising edge, external MCLK
when 43 => write(ADV7513, x"0C", x"06"); -- Audio Register 0x0C: Samling frequency from I2S stream, I2S0 enabled, Left justified mode
when 44 => write(ADV7513, x"12", x"00"); -- Audio Register 0x12: Not Copy protected
when 45 => write(ADV7513, x"14", x"02"); -- Audio Register 0x14: Audio Word Length = 16 bits
when 46 => write(ADV7513, x"73", x"02"); -- Audio: InfoFrame Register 0x73: 2 Channels
when 47 => write(ADV7513, x"76", x"00"); -- Audio: Speaker Mapping
when 48 => write(ADV7513, x"77", x"78"); -- Audio-InfoFrame: -15dB @todo Seems to have no effect
when 49 => write(ADV7513, x"94", x"80"); -- activate HPD-Interrupt
when 50 => stop;
when others => nop;
end case;
when others => nop;
end case;
end process;
o_status <= std_logic_vector(to_unsigned(s_counter, 8));
end behavioral;
| gpl-3.0 | 5219208000995d643e20b831f90ae036 | 0.577801 | 2.805198 | false | false | false | false |
astoria-d/super-duper-nes | test/i2c_test/i2c_eeprom.vhd | 2 | 3,923 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.conv_integer;
use ieee.std_logic_unsigned.all;
----SRAM syncronous memory.
entity i2c_eeprom is
generic (abus_size : integer := 16);
port (
pi_rst_n : in std_logic;
pi_base_clk : in std_logic;
pi_bus_xfer : in std_logic;
pi_r_nw : in std_logic;
pi_bus_ack : in std_logic;
po_bus_ack : out std_logic;
pi_data : in std_logic_vector (7 downto 0);
po_data : out std_logic_vector (7 downto 0)
);
end i2c_eeprom;
architecture rtl of i2c_eeprom is
signal reg_ack_edge : std_logic;
signal reg_write_cnt : std_logic_vector(15 downto 0);
signal reg_eeprom_addr : std_logic_vector(15 downto 0);
signal reg_eeprom_data : std_logic_vector(7 downto 0);
subtype ram_data is std_logic_vector (7 downto 0);
type ram_array is array (0 to 2**abus_size - 1) of ram_data;
---ram is initialized with 0.
signal work_ram : ram_array := (others => (others => '0'));
begin
--synchronize and edge detect.
ack_edge_p : process (pi_rst_n, pi_base_clk)
variable tmp_b_ack : std_logic_vector (2 downto 0);
begin
if (pi_rst_n = '0') then
tmp_b_ack := (others => '0');
reg_ack_edge <= '0';
elsif (rising_edge(pi_base_clk)) then
tmp_b_ack := pi_bus_ack & tmp_b_ack(2 downto 1);
reg_ack_edge <= tmp_b_ack(1) and (not tmp_b_ack(0));
end if;
end process;
addr_set_p : process (pi_rst_n, pi_base_clk)
begin
if (pi_rst_n = '0') then
reg_write_cnt <= (others => '0');
reg_eeprom_addr <= (others => '0');
elsif (rising_edge(pi_base_clk)) then
if (pi_bus_xfer = '1') then
if (reg_ack_edge = '1') then
if (pi_r_nw = '0') then
if (conv_integer(reg_write_cnt) = 0) then
--set eeprom addr low
reg_eeprom_addr(7 downto 0) <= pi_data;
elsif (conv_integer(reg_write_cnt) = 1) then
--set eeprom addr hi
reg_eeprom_addr(15 downto 8) <= reg_eeprom_addr(7 downto 0);
reg_eeprom_addr(7 downto 0) <= pi_data;
else
--addr auto increment
reg_eeprom_addr <= reg_eeprom_addr + 1;
end if;
reg_write_cnt <= reg_write_cnt + 1;
elsif (pi_r_nw = '1') then
--addr auto increment
reg_eeprom_addr <= reg_eeprom_addr + 1;
reg_write_cnt <= (others => '0');
end if;
end if;--if (reg_ack_edge = '1') then
else
reg_write_cnt <= (others => '0');
end if;--if (pi_bus_xfer = '1') then
end if;--if (pi_rst_n = '0') then
end process;
p_write : process (pi_rst_n, pi_base_clk)
begin
if (pi_rst_n = '0') then
work_ram <= (others => "11111111");
elsif (rising_edge(pi_base_clk)) then
if (reg_ack_edge = '1' and pi_r_nw = '0' and reg_write_cnt > 1) then
work_ram(conv_integer(reg_eeprom_addr(abus_size -1 downto 0))) <= pi_data;
end if;
end if;
end process;
po_bus_ack <= '0';
p_read : process (pi_base_clk)
begin
if (rising_edge(pi_base_clk)) then
po_data <= work_ram(conv_integer(reg_eeprom_addr(abus_size -1 downto 0)));
-- if (pi_bus_xfer = '1' and pi_r_nw = '1') then
-- else
-- po_data <= (others => 'Z');
-- end if;
end if;
end process;
end rtl;
| apache-2.0 | e35318bdc1abce674225629abd2cd574 | 0.47897 | 3.456388 | false | false | false | false |
kuba-moo/VHDL-lib | test/tb_bus_tail_strip.vhd | 1 | 2,956 | -- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
-- Copyright (C) 2014 Jakub Kicinski <[email protected]>
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity tb_bus_tail_strip is
end tb_bus_tail_strip;
architecture behavior of tb_bus_tail_strip is
-- Component Declaration for the Unit Under Test (UUT)
component bus_tail_strip
generic (N_BYTES : integer);
port (Clk : in std_logic;
Rst : in std_logic;
PktIn : in std_logic;
DataIn : in std_logic_vector(7 downto 0);
PktOut : out std_logic;
DataOut : out std_logic_vector(7 downto 0));
end component;
--Inputs
signal Clk : std_logic := '0';
signal Rst : std_logic := '0';
signal PktIn : std_logic := '0';
signal DataIn : std_logic_vector(7 downto 0) := (others => '0');
--Outputs
signal PktOut, PktOut_1 : std_logic;
signal DataOut, DataOut_1 : std_logic_vector(7 downto 0);
-- Clock period definitions
constant Clk_period : time := 10 ns;
begin
-- Instantiate the Unit Under Test (UUT)
strip_3 : bus_tail_strip
generic map (N_BYTES => 3)
port map (
Clk => Clk,
Rst => Rst,
PktIn => PktIn,
DataIn => DataIn,
PktOut => PktOut_1,
DataOut => DataOut_1
);
strip_1 : bus_tail_strip
generic map (N_BYTES => 1)
port map (
Clk => Clk,
Rst => Rst,
PktIn => PktOut_1,
DataIn => DataOut_1,
PktOut => PktOut,
DataOut => DataOut
);
-- Clock process definitions
Clk_process : process
begin
Clk <= '0';
wait for Clk_period/2;
Clk <= '1';
wait for Clk_period/2;
end process;
-- Stimulus process
stim_proc : process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for Clk_period*10;
PktIn <= '1';
for i in 1 to 10 loop
DataIn <= CONV_std_logic_vector(i, 8);
wait for Clk_period;
end loop;
PktIn <= '0';
wait;
end process;
end;
| gpl-3.0 | cd579c84b2990e5b744700411fa6de58 | 0.558863 | 4 | false | false | false | false |
astoria-d/super-duper-nes | test/i2c_test/i2c_test.vhd | 1 | 3,936 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.conv_integer;
use ieee.std_logic_arith.conv_std_logic_vector;
use ieee.std_logic_unsigned.all;
entity i2c_test is
port (
pi_base_clk : in std_logic;
pi_reset_n : in std_logic;
pi_key : in std_logic_vector(3 downto 0);
pi_sw : in std_logic_vector(9 downto 0);
po_led : out std_logic_vector(9 downto 0);
pi_i2c_scl : in std_logic;
pio_i2c_sda : inout std_logic;
-- pi_phi2 : in std_logic;
-- pi_prg_ce_n : in std_logic;
-- pi_prg_r_nw : in std_logic;
-- pi_prg_addr : in std_logic_vector(14 downto 0);
-- po_prg_data : out std_logic_vector(7 downto 0);
-- pi_chr_ce_n : in std_logic;
-- pi_chr_oe_n : in std_logic;
-- pi_chr_we_n : in std_logic;
-- pi_chr_addr : in std_logic_vector(12 downto 0);
-- po_chr_data : out std_logic_vector(7 downto 0);
po_dbg_cnt : out std_logic_vector (63 downto 0)
);
end i2c_test;
architecture rtl of i2c_test is
component i2c_slave
port (
pi_rst_n : in std_logic;
pi_base_clk : in std_logic;
---i2c bus lines...
pi_slave_addr : in std_logic_vector (6 downto 0);
pi_i2c_scl : in std_logic;
pio_i2c_sda : inout std_logic;
---i2c bus contoler internal lines...
po_i2c_status : out std_logic_vector (2 downto 0);
po_slave_in_data : out std_logic_vector (7 downto 0);
pi_slave_out_data : in std_logic_vector (7 downto 0)
);
end component;
component i2c_eeprom
generic (abus_size : integer := 16);
port (
pi_rst_n : in std_logic;
pi_base_clk : in std_logic;
pi_bus_xfer : in std_logic;
pi_r_nw : in std_logic;
pi_bus_ack : in std_logic;
po_bus_ack : out std_logic;
pi_data : in std_logic_vector (7 downto 0);
po_data : out std_logic_vector (7 downto 0)
);
end component;
signal reg_dbg_cnt : std_logic_vector (63 downto 0);
signal reg_slave_in_data : std_logic_vector (7 downto 0);
signal reg_slave_out_data : std_logic_vector (7 downto 0);
signal reg_slave_status : std_logic_vector (2 downto 0);
signal reg_slave_addr_ack : std_logic;
begin
po_led <= reg_dbg_cnt(32 downto 23);
po_dbg_cnt <= reg_dbg_cnt;
--reg_slave_out_data <= conv_std_logic_vector(16#c3#, 8);
i2c_slave_inst : i2c_slave
port map (
pi_reset_n,
pi_base_clk,
conv_std_logic_vector(16#44#, 7),
pi_i2c_scl,
pio_i2c_sda,
reg_slave_status,
reg_slave_in_data,
reg_slave_out_data
);
i2c_eeprom_inst : i2c_eeprom generic map (4)
port map (
pi_reset_n,
pi_base_clk,
reg_slave_status(0),
reg_slave_status(2),
reg_slave_status(1),
reg_slave_addr_ack,
reg_slave_in_data,
reg_slave_out_data
);
deb_cnt_p : process (pi_base_clk, pi_reset_n)
use ieee.std_logic_unsigned.all;
variable cnt : integer;
begin
if (pi_reset_n = '0') then
reg_dbg_cnt <= (others => '0');
cnt := 0;
elsif (rising_edge(pi_base_clk)) then
if (cnt = 0) then
if (pi_sw(0) = '1') then
--debug count is half cycle because too fast to capture in st ii.
reg_dbg_cnt <= reg_dbg_cnt + 1;
cnt := 1;
end if;
else
cnt := 0;
end if;
end if;
end process;
end rtl;
| apache-2.0 | cb4a7f99fb7381dd96a020319bd64d50 | 0.501016 | 3.138756 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/fir_picoblaze/ssg_display_testbench.vhdl | 1 | 2,982 | -- Author: Varun Nagpal
-- Net Id: vxn180010
-- Design: Testbench for four 7-segment displays
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_signed.all;
use work.ssg_display_shared_package.all;
entity ssg_display_testbench is
end ssg_display_testbench;
architecture ssg_display_test of ssg_display_testbench is
-- clock
signal clk : std_logic := '0';
signal rst : std_logic := '0';
-- Interface as source
signal switches_sig : std_logic_vector(7 downto 0) := (others => '0');
signal seg_sig : SEG_T := (others => DISABLE_SEG);
signal buttons_in_sig : std_logic_vector(3 downto 0) := (others => DISABLE_DP);
signal dp_out_sig : std_logic := DISABLE_DP;
signal digits_enable_sig : DIGITS_EN_T := (others => DISABLE_DIGIT);
signal leds_sig : std_logic_vector(7 downto 0) := (others => '0');
-- component declarations
component ssg_display_top is
port (clk : in std_logic;
rst : in std_logic;
in_switches_p : in std_logic_vector(7 downto 0); -- two 4-bit numbers to be added
in_buttons_p : in std_logic_vector(3 downto 0); -- enable/disable input signals for the decimal point of a digit
out_seg_p : out SEG_T; -- enable output signals for seven led segments(cathode) of currently refreshed digit
out_dp_p : out std_logic; -- enable ouput signal for the decimal point of currently refreshed digit
out_digits_en_p : out DIGITS_EN_T; -- enable signals for K=4 digits. only one digit out of K=4 digits is enabled for refresh duration
out_leds_p : out std_logic_vector(7 downto 0) -- shows the two 4-bit input numbers on LEDs
);
end component ssg_display_top;
begin
-- Create an instance of the top level module
DUT: ssg_display_top port map ( clk => clk,
rst => rst,
in_switches_p => switches_sig,
in_buttons_p => buttons_in_sig,
out_seg_p => seg_sig,
out_dp_p => dp_out_sig,
out_digits_en_p => digits_enable_sig,
out_leds_p => leds_sig
);
-- Clock generation
clk_gen: process
begin
clk <= '0';
wait for CLK_HIGH_TIME;
clk <= '1';
wait for CLK_LOW_TIME;
end process clk_gen;
-- Reset generation
rst <= '1',
'0' after 4 * CLK_CYCLE_TIME;
simulation: process
begin
switches_sig <= X"00";
buttons_in_sig <= (others => DISABLE_DP);
wait for 10*CLK_CYCLE_TIME;
switches_sig <= X"45";
buttons_in_sig <= (2 => ENABLE_DP, others => DISABLE_DP);
wait for 4 * CC_CNT_MAX_I * CLK_CYCLE_TIME;
switches_sig <= X"78";
wait for 4 * CC_CNT_MAX_I * CLK_CYCLE_TIME;
switches_sig <= X"EF";
wait for 4 * CC_CNT_MAX_I * CLK_CYCLE_TIME;
end process simulation;
end architecture ssg_display_test; | mit | a3ef0b857cec1e4ecc4f649b04b0690f | 0.609993 | 2.987976 | false | true | false | false |
Feuerwerk/fpgaNES | sequencer.vhd | 1 | 85,791 | /*
This file is part of fpgaNES.
fpgaNES is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation, either version 3 of the License, or
(at your option) any later version.
fpgaNES is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with fpgaNES. If not, see <http://www.gnu.org/licenses/>.
*/
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.common.all;
entity sequencer is
port
(
i_opcode : in std_logic_vector(7 downto 0);
i_cycle : in std_logic_vector(2 downto 0);
o_ctrl_op : out ctrl_op_t;
o_pc_op : out pc_op_t;
o_in_op : out in_op_t;
o_out_op : out out_op_t;
o_reg_op : out reg_op_t;
o_flags_op : out flags_op_t;
o_alu_op : out alu_op_t;
o_addr_op : out addr_op_t;
o_alu_a_op : out alu_inp_t;
o_alu_b_op : out alu_inp_t;
o_branch_at_cycle_1 : out boolean;
o_branch_at_cycle_2 : out boolean
);
end sequencer;
architecture behavioral of sequencer is
signal s_addr : std_ulogic_vector(10 downto 0);
begin
-- Controller
with s_addr select o_ctrl_op <=
don when b"0110_1001_001", -- ADC / Immediate
don when b"0110_0101_010", -- ADC / Zero Page
don when b"0111_0101_011", -- ADC / Zero Page,X
don when b"0110_1101_011", -- ADC / Absolute
alc when b"0111_1101_010", -- ADC / Absolute,X
don when b"0111_1101_100", -- ADC / Absolute,X
alc when b"0111_1001_010", -- ADC / Absolute,Y
don when b"0111_1001_100", -- ADC / Absolute,Y
don when b"0110_0001_101", -- ADC / (Indirect,X)
alc when b"0111_0001_011", -- ADC / (Indirect),Y
don when b"0111_0001_101", -- ADC / (Indirect),Y
don when b"0010_1001_001", -- AND / Immediate
don when b"0010_0101_010", -- AND / Zero Page
don when b"0011_0101_011", -- AND / Zero Page,X
don when b"0010_1101_011", -- AND / Absolute
alc when b"0011_1101_010", -- AND / Absolute,X
don when b"0011_1101_100", -- AND / Absolute,X
alc when b"0011_1001_010", -- AND / Absolute,Y
don when b"0011_1001_100", -- AND / Absolute,Y
don when b"0010_0001_101", -- AND / (Indirect,X)
alc when b"0011_0001_011", -- AND / (Indirect),Y
don when b"0011_0001_101", -- AND / (Indirect),Y
don when b"0000_1010_001", -- ASL / Accumulator
don when b"0000_0110_100", -- ASL / Zero Page
don when b"0001_0110_101", -- ASL / Zero Page,X
don when b"0000_1110_101", -- ASL / Absolute
don when b"0001_1110_110", -- ASL / Absolute,X
bcc when b"1001_0000_001", -- BCC / Relative
don when b"1001_0000_011", -- BCC / Relative
bcs when b"1011_0000_001", -- BCS / Relative
don when b"1011_0000_011", -- BCS / Relative
beq when b"1111_0000_001", -- BEQ / Relative
don when b"1111_0000_011", -- BEQ / Relative
don when b"0010_0100_010", -- BIT / Zero Page
don when b"0010_1100_011", -- BIT / Absolute
bmi when b"0011_0000_001", -- BMI / Relative
don when b"0011_0000_011", -- BMI / Relative
bne when b"1101_0000_001", -- BNE / Relative
don when b"1101_0000_011", -- BNE / Relative
bpl when b"0001_0000_001", -- BPL / Relative
don when b"0001_0000_011", -- BPL / Relative
don when b"0000_0000_110", -- BRK / Implied
bvc when b"0101_0000_001", -- BVC / Relative
don when b"0101_0000_011", -- BVC / Relative
bvs when b"0111_0000_001", -- BVS / Relative
don when b"0111_0000_011", -- BVS / Relative
don when b"0001_1000_001", -- CLC / Implied
don when b"1101_1000_001", -- CLD / Implied
don when b"0101_1000_001", -- CLI / Implied
don when b"1011_1000_001", -- CLV / Implied
don when b"1100_1001_001", -- CMP / Immediate
don when b"1100_0101_010", -- CMP / Zero Page
don when b"1101_0101_011", -- CMP / Zero Page,X
don when b"1100_1101_011", -- CMP / Absolute
alc when b"1101_1101_010", -- CMP / Absolute,X
don when b"1101_1101_100", -- CMP / Absolute,X
alc when b"1101_1001_010", -- CMP / Absolute,Y
don when b"1101_1001_100", -- CMP / Absolute,Y
don when b"1100_0001_101", -- CMP / (Indirect,X)
alc when b"1101_0001_011", -- CMP / (Indirect),Y
don when b"1101_0001_101", -- CMP / (Indirect),Y
don when b"1110_0000_001", -- CPX / Immediate
don when b"1110_0100_010", -- CPX / Zero Page
don when b"1110_1100_011", -- CPX / Absolute
don when b"1100_0000_001", -- CPY / Immediate
don when b"1100_0100_010", -- CPY / Zero Page
don when b"1100_1100_011", -- CPY / Absolute
don when b"1100_0110_100", -- DEC / Zero Page
don when b"1101_0110_101", -- DEC / Zero Page,X
don when b"1100_1110_101", -- DEC / Absolute
don when b"1101_1110_110", -- DEC / Absolute,X
don when b"1100_1010_001", -- DEX / Implied
don when b"1000_1000_001", -- DEY / Implied
don when b"0100_1001_001", -- EOR / Immediate
don when b"0100_0101_010", -- EOR / Zero Page
don when b"0101_0101_011", -- EOR / Zero Page,X
don when b"0100_1101_011", -- EOR / Absolute
alc when b"0101_1101_010", -- EOR / Absolute,X
don when b"0101_1101_100", -- EOR / Absolute,X
alc when b"0101_1001_010", -- EOR / Absolute,Y
don when b"0101_1001_100", -- EOR / Absolute,Y
don when b"0100_0001_101", -- EOR / (Indirect,X)
alc when b"0101_0001_011", -- EOR / (Indirect),Y
don when b"0101_0001_101", -- EOR / (Indirect),Y
don when b"1110_0110_100", -- INC / Zero Page
don when b"1111_0110_101", -- INC / Zero Page,X
don when b"1110_1110_101", -- INC / Absolute
don when b"1111_1110_110", -- INC / Absolute,X
don when b"0000_0011_110", -- INT / Implied
don when b"1110_1000_001", -- INX / Implied
don when b"1100_1000_001", -- INY / Implied
don when b"0100_1100_010", -- JMP / Absolute
don when b"0110_1100_100", -- JMP / Indirect
don when b"0010_0000_101", -- JSR / Absolute
don when b"1010_1001_001", -- LDA / Immediate
don when b"1010_0101_010", -- LDA / Zero Page
don when b"1011_0101_011", -- LDA / Zero Page,X
don when b"1010_1101_011", -- LDA / Absolute
alc when b"1011_1101_010", -- LDA / Absolute,X
don when b"1011_1101_100", -- LDA / Absolute,X
alc when b"1011_1001_010", -- LDA / Absolute,Y
don when b"1011_1001_100", -- LDA / Absolute,Y
don when b"1010_0001_101", -- LDA / (Indirect,X)
alc when b"1011_0001_011", -- LDA / (Indirect),Y
don when b"1011_0001_101", -- LDA / (Indirect),Y
don when b"1010_0010_001", -- LDX / Immediate
don when b"1010_0110_010", -- LDX / Zero Page
don when b"1011_0110_011", -- LDX / Zero Page,Y
don when b"1010_1110_011", -- LDX / Absolute
alc when b"1011_1110_010", -- LDX / Absolute,Y
don when b"1011_1110_100", -- LDX / Absolute,Y
don when b"1010_0000_001", -- LDY / Immediate
don when b"1010_0100_010", -- LDY / Zero Page
don when b"1011_0100_011", -- LDY / Zero Page,X
don when b"1010_1100_011", -- LDY / Absolute
alc when b"1011_1100_010", -- LDY / Absolute,X
don when b"1011_1100_100", -- LDY / Absolute,X
don when b"0100_1010_001", -- LSR / Accumulator
don when b"0100_0110_100", -- LSR / Zero Page
don when b"0101_0110_101", -- LSR / Zero Page,X
don when b"0100_1110_101", -- LSR / Absolute
don when b"0101_1110_110", -- LSR / Absolute,X
don when b"0000_0100_110", -- NMI / Implied
don when b"1110_1010_001", -- NOP / Implied
don when b"0000_1001_001", -- ORA / Immediate
don when b"0000_0101_010", -- ORA / Zero Page
don when b"0001_0101_011", -- ORA / Zero Page,X
don when b"0000_1101_011", -- ORA / Absolute
alc when b"0001_1101_010", -- ORA / Absolute,X
don when b"0001_1101_100", -- ORA / Absolute,X
alc when b"0001_1001_010", -- ORA / Absolute,Y
don when b"0001_1001_100", -- ORA / Absolute,Y
don when b"0000_0001_101", -- ORA / (Indirect,X)
alc when b"0001_0001_011", -- ORA / (Indirect),Y
don when b"0001_0001_101", -- ORA / (Indirect),Y
don when b"0100_1000_010", -- PHA / Implied
don when b"0000_1000_010", -- PHP / Implied
don when b"0110_1000_011", -- PLA / Implied
don when b"0010_1000_011", -- PLP / Implied
don when b"0010_1010_001", -- ROL / Accumulator
don when b"0010_0110_100", -- ROL / Zero Page
don when b"0011_0110_101", -- ROL / Zero Page,X
don when b"0010_1110_101", -- ROL / Absolute
don when b"0011_1110_110", -- ROL / Absolute,X
don when b"0110_1010_001", -- ROR / Accumulator
don when b"0110_0110_100", -- ROR / Zero Page
don when b"0111_0110_101", -- ROR / Zero Page,X
don when b"0110_1110_101", -- ROR / Absolute
don when b"0111_1110_110", -- ROR / Absolute,X
don when b"0000_0010_011", -- RST / Implied
don when b"0100_0000_101", -- RTI / Implied
don when b"0110_0000_101", -- RTS / Implied
don when b"1110_1001_001", -- SBC / Immediate
don when b"1110_0101_010", -- SBC / Zero Page
don when b"1111_0101_011", -- SBC / Zero Page,X
don when b"1110_1101_011", -- SBC / Absolute
alc when b"1111_1101_010", -- SBC / Absolute,X
don when b"1111_1101_100", -- SBC / Absolute,X
alc when b"1111_1001_010", -- SBC / Absolute,Y
don when b"1111_1001_100", -- SBC / Absolute,Y
don when b"1110_0001_101", -- SBC / (Indirect,X)
alc when b"1111_0001_011", -- SBC / (Indirect),Y
don when b"1111_0001_101", -- SBC / (Indirect),Y
don when b"0011_1000_001", -- SEC / Implied
don when b"1111_1000_001", -- SED / Implied
don when b"0111_1000_001", -- SEI / Implied
don when b"1000_0101_010", -- STA / Zero Page
don when b"1001_0101_011", -- STA / Zero Page,X
don when b"1000_1101_011", -- STA / Absolute
don when b"1001_1101_100", -- STA / Absolute,X
don when b"1001_1001_100", -- STA / Absolute,Y
don when b"1000_0001_101", -- STA / (Indirect,X)
don when b"1001_0001_101", -- STA / (Indirect),Y
don when b"1000_0110_010", -- STX / Zero Page
don when b"1001_0110_011", -- STX / Zero Page,Y
don when b"1000_1110_011", -- STX / Absolute
don when b"1000_0100_010", -- STY / Zero Page
don when b"1001_0100_011", -- STY / Zero Page,X
don when b"1000_1100_011", -- STY / Absolute
don when b"1010_1010_001", -- TAX / Implied
don when b"1010_1000_001", -- TAY / Implied
don when b"1011_1010_001", -- TSX / Implied
don when b"1000_1010_001", -- TXA / Implied
don when b"1001_1010_001", -- TXS / Implied
don when b"1001_1000_001", -- TYA / Implied
nop when others;
-- Program-Counter
with s_addr select o_pc_op <=
inc when b"0110_1001_000", -- ADC / Immediate
inc when b"0110_0101_000", -- ADC / Zero Page
inc when b"0111_0101_000", -- ADC / Zero Page,X
inc when b"0110_1101_000", -- ADC / Absolute
inc when b"0110_1101_001", -- ADC / Absolute
inc when b"0111_1101_000", -- ADC / Absolute,X
inc when b"0111_1101_001", -- ADC / Absolute,X
inc when b"0111_1001_000", -- ADC / Absolute,Y
inc when b"0111_1001_001", -- ADC / Absolute,Y
inc when b"0110_0001_000", -- ADC / (Indirect,X)
inc when b"0111_0001_000", -- ADC / (Indirect),Y
inc when b"0010_1001_000", -- AND / Immediate
inc when b"0010_0101_000", -- AND / Zero Page
inc when b"0011_0101_000", -- AND / Zero Page,X
inc when b"0010_1101_000", -- AND / Absolute
inc when b"0010_1101_001", -- AND / Absolute
inc when b"0011_1101_000", -- AND / Absolute,X
inc when b"0011_1101_001", -- AND / Absolute,X
inc when b"0011_1001_000", -- AND / Absolute,Y
inc when b"0011_1001_001", -- AND / Absolute,Y
inc when b"0010_0001_000", -- AND / (Indirect,X)
inc when b"0011_0001_000", -- AND / (Indirect),Y
inc when b"0000_0110_000", -- ASL / Zero Page
inc when b"0001_0110_000", -- ASL / Zero Page,X
inc when b"0000_1110_000", -- ASL / Absolute
inc when b"0000_1110_001", -- ASL / Absolute
inc when b"0001_1110_000", -- ASL / Absolute,X
inc when b"0001_1110_001", -- ASL / Absolute,X
inc when b"1001_0000_000", -- BCC / Relative
pla when b"1001_0000_001", -- BCC / Relative
pha when b"1001_0000_010", -- BCC / Relative
inc when b"1011_0000_000", -- BCS / Relative
pla when b"1011_0000_001", -- BCS / Relative
pha when b"1011_0000_010", -- BCS / Relative
inc when b"1111_0000_000", -- BEQ / Relative
pla when b"1111_0000_001", -- BEQ / Relative
pha when b"1111_0000_010", -- BEQ / Relative
inc when b"0010_0100_000", -- BIT / Zero Page
inc when b"0010_1100_000", -- BIT / Absolute
inc when b"0010_1100_001", -- BIT / Absolute
inc when b"0011_0000_000", -- BMI / Relative
pla when b"0011_0000_001", -- BMI / Relative
pha when b"0011_0000_010", -- BMI / Relative
inc when b"1101_0000_000", -- BNE / Relative
pla when b"1101_0000_001", -- BNE / Relative
pha when b"1101_0000_010", -- BNE / Relative
inc when b"0001_0000_000", -- BPL / Relative
pla when b"0001_0000_001", -- BPL / Relative
pha when b"0001_0000_010", -- BPL / Relative
enb when b"0000_0000_000", -- BRK / Implied
daq when b"0000_0000_110", -- BRK / Implied
inc when b"0101_0000_000", -- BVC / Relative
pla when b"0101_0000_001", -- BVC / Relative
pha when b"0101_0000_010", -- BVC / Relative
inc when b"0111_0000_000", -- BVS / Relative
pla when b"0111_0000_001", -- BVS / Relative
pha when b"0111_0000_010", -- BVS / Relative
inc when b"1100_1001_000", -- CMP / Immediate
inc when b"1100_0101_000", -- CMP / Zero Page
inc when b"1101_0101_000", -- CMP / Zero Page,X
inc when b"1100_1101_000", -- CMP / Absolute
inc when b"1100_1101_001", -- CMP / Absolute
inc when b"1101_1101_000", -- CMP / Absolute,X
inc when b"1101_1101_001", -- CMP / Absolute,X
inc when b"1101_1001_000", -- CMP / Absolute,Y
inc when b"1101_1001_001", -- CMP / Absolute,Y
inc when b"1100_0001_000", -- CMP / (Indirect,X)
inc when b"1101_0001_000", -- CMP / (Indirect),Y
inc when b"1110_0000_000", -- CPX / Immediate
inc when b"1110_0100_000", -- CPX / Zero Page
inc when b"1110_1100_000", -- CPX / Absolute
inc when b"1110_1100_001", -- CPX / Absolute
inc when b"1100_0000_000", -- CPY / Immediate
inc when b"1100_0100_000", -- CPX / Zero Page
inc when b"1100_1100_000", -- CPX / Absolute
inc when b"1100_1100_001", -- CPX / Absolute
inc when b"1100_0110_000", -- DEC / Zero Page
inc when b"1101_0110_000", -- DEC / Zero Page,X
inc when b"1100_1110_000", -- DEC / Absolute
inc when b"1100_1110_001", -- DEC / Absolute
inc when b"1101_1110_000", -- DEC / Absolute,X
inc when b"1101_1110_001", -- DEC / Absolute,X
inc when b"0100_1001_000", -- EOR / Immediate
inc when b"0100_0101_000", -- EOR / Zero Page
inc when b"0101_0101_000", -- EOR / Zero Page,X
inc when b"0100_1101_000", -- EOR / Absolute
inc when b"0100_1101_001", -- EOR / Absolute
inc when b"0101_1101_000", -- EOR / Absolute,X
inc when b"0101_1101_001", -- EOR / Absolute,X
inc when b"0101_1001_000", -- EOR / Absolute,Y
inc when b"0101_1001_001", -- EOR / Absolute,Y
inc when b"0100_0001_000", -- EOR / (Indirect,X)
inc when b"0101_0001_000", -- EOR / (Indirect),Y
inc when b"1110_0110_000", -- INC / Zero Page
inc when b"1111_0110_000", -- INC / Zero Page,X
inc when b"1110_1110_000", -- INC / Absolute
inc when b"1110_1110_001", -- INC / Absolute
inc when b"1111_1110_000", -- INC / Absolute,X
inc when b"1111_1110_001", -- INC / Absolute,X
daq when b"0000_0011_110", -- INT / Implied
inc when b"0100_1100_000", -- JMP / Absolute
inc when b"0100_1100_001", -- JMP / Absolute
daq when b"0100_1100_010", -- JMP / Absolute
inc when b"0110_1100_000", -- JMP / Indirect
inc when b"0110_1100_001", -- JMP / Indirect
daq when b"0110_1100_100", -- JMP / Indirect
inc when b"0010_0000_000", -- JSR / Absolute
daq when b"0010_0000_101", -- JSR / Absolute
inc when b"1010_1001_000", -- LDA / Immediate
inc when b"1010_0101_000", -- LDA / Zero Page
inc when b"1011_0101_000", -- LDA / Zero Page,X
inc when b"1010_1101_000", -- LDA / Absolute
inc when b"1010_1101_001", -- LDA / Absolute
inc when b"1011_1101_000", -- LDA / Absolute,X
inc when b"1011_1101_001", -- LDA / Absolute,X
inc when b"1011_1001_000", -- LDA / Absolute,Y
inc when b"1011_1001_001", -- LDA / Absolute,Y
inc when b"1010_0001_000", -- LDA / (Indirect,X)
inc when b"1011_0001_000", -- LDA / (Indirect),Y
inc when b"1010_0010_000", -- LDX / Immediate
inc when b"1010_0110_000", -- LDX / Zero Page
inc when b"1011_0110_000", -- LDX / Zero Page,Y
inc when b"1010_1110_000", -- LDX / Absolute
inc when b"1010_1110_001", -- LDX / Absolute
inc when b"1011_1110_000", -- LDX / Absolute,Y
inc when b"1011_1110_001", -- LDX / Absolute,Y
inc when b"1010_0000_000", -- LDY / Immediate
inc when b"1010_0100_000", -- LDY / Zero Page
inc when b"1011_0100_000", -- LDY / Zero Page,X
inc when b"1010_1100_000", -- LDY / Absolute
inc when b"1010_1100_001", -- LDY / Absolute
inc when b"1011_1100_000", -- LDY / Absolute,X
inc when b"1011_1100_001", -- LDY / Absolute,X
inc when b"0100_0110_000", -- LSR / Zero Page
inc when b"0101_0110_000", -- LSR / Zero Page,X
inc when b"0100_1110_000", -- LSR / Absolute
inc when b"0100_1110_001", -- LSR / Absolute
inc when b"0101_1110_000", -- LSR / Absolute,X
inc when b"0101_1110_001", -- LSR / Absolute,X
daq when b"0000_0100_110", -- NMI / Implied
inc when b"0000_1001_000", -- ORA / Immediate
inc when b"0000_0101_000", -- ORA / Zero Page
inc when b"0001_0101_000", -- ORA / Zero Page,X
inc when b"0000_1101_000", -- ORA / Absolute
inc when b"0000_1101_001", -- ORA / Absolute
inc when b"0001_1101_000", -- ORA / Absolute,X
inc when b"0001_1101_001", -- ORA / Absolute,X
inc when b"0001_1001_000", -- ORA / Absolute,Y
inc when b"0001_1001_001", -- ORA / Absolute,Y
inc when b"0000_0001_000", -- ORA / (Indirect,X)
inc when b"0001_0001_000", -- ORA / (Indirect),Y
inc when b"0010_0110_000", -- ROL / Zero Page
inc when b"0011_0110_000", -- ROL / Zero Page,X
inc when b"0010_1110_000", -- ROL / Absolute
inc when b"0010_1110_001", -- ROL / Absolute
inc when b"0011_1110_000", -- ROL / Absolute,X
inc when b"0011_1110_001", -- ROL / Absolute,X
inc when b"0110_0110_000", -- ROR / Zero Page
inc when b"0111_0110_000", -- ROR / Zero Page,X
inc when b"0110_1110_000", -- ROR / Absolute
inc when b"0110_1110_001", -- ROR / Absolute
inc when b"0111_1110_000", -- ROR / Absolute,X
inc when b"0111_1110_001", -- ROR / Absolute,X
daq when b"0000_0010_011", -- RST / Implied
daq when b"0100_0000_101", -- RTI / Implied
daq when b"0110_0000_100", -- RTS / Implied
inc when b"0110_0000_101", -- RTS / Implied
inc when b"1110_1001_000", -- SBC / Immediate
inc when b"1110_0101_000", -- SBC / Zero Page
inc when b"1111_0101_000", -- SBC / Zero Page,X
inc when b"1110_1101_000", -- SBC / Absolute
inc when b"1110_1101_001", -- SBC / Absolute
inc when b"1111_1101_000", -- SBC / Absolute,X
inc when b"1111_1101_001", -- SBC / Absolute,X
inc when b"1111_1001_000", -- SBC / Absolute,Y
inc when b"1111_1001_001", -- SBC / Absolute,Y
inc when b"1110_0001_000", -- SBC / (Indirect,X)
inc when b"1111_0001_000", -- SBC / (Indirect),Y
inc when b"1000_0101_000", -- STA / Zero Page
inc when b"1001_0101_000", -- STA / Zero Page,X
inc when b"1000_1101_000", -- STA / Absolute
inc when b"1000_1101_001", -- STA / Absolute
inc when b"1001_1101_000", -- STA / Absolute,X
inc when b"1001_1101_001", -- STA / Absolute,X
inc when b"1001_1001_000", -- STA / Absolute,Y
inc when b"1001_1001_001", -- STA / Absolute,Y
inc when b"1000_0001_000", -- STA / (Indirect,X)
inc when b"1001_0001_000", -- STA / (Indirect),Y
inc when b"1000_0110_000", -- STX / Zero Page
inc when b"1001_0110_000", -- STX / Zero Page,Y
inc when b"1000_1110_000", -- STX / Absolute
inc when b"1000_1110_001", -- STX / Absolute
inc when b"1000_0100_000", -- STY / Zero Page
inc when b"1001_0100_000", -- STY / Zero Page,X
inc when b"1000_1100_000", -- STY / Absolute
inc when b"1000_1100_001", -- STY / Absolute
nop when others;
-- Input
with s_addr select o_in_op <=
ena when b"0111_1101_001", -- ADC / Absolute,X
ena when b"0111_1101_010", -- ADC / Absolute,X
ena when b"0111_1001_001", -- ADC / Absolute,Y
ena when b"0111_1001_010", -- ADC / Absolute,Y
ena when b"0110_0001_010", -- ADC / (Indirect,X)
ena when b"0110_0001_011", -- ADC / (Indirect,X)
ena when b"0111_0001_010", -- ADC / (Indirect),Y
ena when b"0111_0001_011", -- ADC / (Indirect),Y
ena when b"0011_1101_001", -- AND / Absolute,X
ena when b"0011_1101_010", -- AND / Absolute,X
ena when b"0011_1001_001", -- AND / Absolute,Y
ena when b"0011_1001_010", -- AND / Absolute,Y
ena when b"0010_0001_010", -- AND / (Indirect,X)
ena when b"0010_0001_011", -- AND / (Indirect,X)
ena when b"0011_0001_010", -- AND / (Indirect),Y
ena when b"0011_0001_011", -- AND / (Indirect),Y
alq when b"0000_0110_001", -- ASL / Zero Page
alq when b"0001_0110_010", -- ASL / Zero Page,X
ena when b"0000_1110_001", -- ASL / Absolute
ena when b"0001_1110_001", -- ASL / Absolute,X
ena when b"0001_1110_010", -- ASL / Absolute,X
ald when b"0001_1110_011", -- ASL / Absolute,X
fff when b"0000_0000_000", -- BRK / Implied
ena when b"0000_0000_101", -- BRK / Implied
ena when b"1101_1101_001", -- CMP / Absolute,X
ena when b"1101_1101_010", -- CMP / Absolute,X
ena when b"1101_1001_001", -- CMP / Absolute,Y
ena when b"1101_1001_010", -- CMP / Absolute,Y
ena when b"1100_0001_010", -- CMP / (Indirect,X)
ena when b"1100_0001_011", -- CMP / (Indirect,X)
ena when b"1101_0001_010", -- CMP / (Indirect),Y
ena when b"1101_0001_011", -- CMP / (Indirect),Y
ena when b"1100_0110_001", -- DEC / Zero Page
ena when b"1101_0110_001", -- DEC / Zero Page,X
alq when b"1101_0110_010", -- DEC / Zero Page,X
ena when b"1100_1110_001", -- DEC / Absolute
ena when b"1101_1110_001", -- DEC / Absolute,X
ena when b"1101_1110_010", -- DEC / Absolute,X
ald when b"1101_1110_011", -- DEC / Absolute,X
ena when b"0101_1101_001", -- EOR / Absolute,X
ena when b"0101_1101_010", -- EOR / Absolute,X
ena when b"0101_1001_001", -- EOR / Absolute,Y
ena when b"0101_1001_010", -- EOR / Absolute,Y
ena when b"0100_0001_010", -- EOR / (Indirect,X)
ena when b"0100_0001_011", -- EOR / (Indirect,X)
ena when b"0101_0001_010", -- EOR / (Indirect),Y
ena when b"0101_0001_011", -- EOR / (Indirect),Y
ena when b"1110_0110_001", -- INC / Zero Page
ena when b"1111_0110_001", -- INC / Zero Page,X
alq when b"1111_0110_010", -- INC / Zero Page,X
ena when b"1110_1110_001", -- INC / Absolute
ena when b"1111_1110_001", -- INC / Absolute,X
ena when b"1111_1110_010", -- INC / Absolute,X
ald when b"1111_1110_011", -- INC / Absolute,X
fff when b"0000_0011_000", -- INT / Implied
ena when b"0000_0011_101", -- INT / Implied
ena when b"0110_1100_010", -- JMP / Indirect
ena when b"0110_1100_011", -- JMP / Indirect
ena when b"0110_1100_100", -- JMP / Indirect
ena when b"0010_0000_001", -- JSR / Absolute
ena when b"1011_1101_001", -- LDA / Absolute,X
ena when b"1011_1101_010", -- LDA / Absolute,X
ena when b"1011_1001_001", -- LDA / Absolute,Y
ena when b"1011_1001_010", -- LDA / Absolute,Y
ena when b"1010_0001_010", -- LDA / (Indirect,X)
ena when b"1010_0001_011", -- LDA / (Indirect,X)
ena when b"1011_0001_010", -- LDA / (Indirect),Y
ena when b"1011_0001_011", -- LDA / (Indirect),Y
ena when b"1011_1110_001", -- LDX / Absolute,Y
ena when b"1011_1110_010", -- LDX / Absolute,Y
ena when b"1011_1100_001", -- LDY / Absolute,X
ena when b"1011_1100_010", -- LDY / Absolute,X
alq when b"0100_0110_001", -- LSR / Zero Page
alq when b"0101_0110_010", -- LSR / Zero Page,X
ena when b"0100_1110_001", -- LSR / Absolute
ena when b"0101_1110_001", -- LSR / Absolute,X
ena when b"0101_1110_010", -- LSR / Absolute,X
ald when b"0101_1110_011", -- LSR / Absolute,X
fff when b"0000_0100_000", -- NMI / Implied
ena when b"0000_0100_101", -- NMI / Implied
ena when b"0001_1101_001", -- ORA / Absolute,X
ena when b"0001_1101_010", -- ORA / Absolute,X
ena when b"0001_1001_001", -- ORA / Absolute,Y
ena when b"0001_1001_010", -- ORA / Absolute,Y
ena when b"0000_0001_010", -- ORA / (Indirect,X)
ena when b"0000_0001_011", -- ORA / (Indirect,X)
ena when b"0001_0001_010", -- ORA / (Indirect),Y
ena when b"0001_0001_011", -- ORA / (Indirect),Y
alq when b"0010_0110_001", -- ROL / Zero Page
alq when b"0011_0110_010", -- ROL / Zero Page,X
ena when b"0010_1110_001", -- ROL / Absolute
ena when b"0011_1110_001", -- ROL / Absolute,X
ena when b"0011_1110_010", -- ROL / Absolute,X
ald when b"0011_1110_011", -- ROL / Absolute,X
alq when b"0110_0110_001", -- ROR / Zero Page
alq when b"0111_0110_010", -- ROR / Zero Page,X
ena when b"0110_1110_001", -- ROR / Absolute
ena when b"0111_1110_001", -- ROR / Absolute,X
ena when b"0111_1110_010", -- ROR / Absolute,X
ald when b"0111_1110_011", -- ROR / Absolute,X
fff when b"0000_0010_000", -- RST / Implied
ena when b"0000_0010_010", -- RST / Implied
ena when b"0100_0000_100", -- RTI / Implied
ena when b"0110_0000_011", -- RTS / Implied
ena when b"1111_1101_001", -- SBC / Absolute,X
ena when b"1111_1101_010", -- SBC / Absolute,X
ena when b"1111_1001_001", -- SBC / Absolute,Y
ena when b"1111_1001_010", -- SBC / Absolute,Y
ena when b"1110_0001_010", -- SBC / (Indirect,X)
ena when b"1110_0001_011", -- SBC / (Indirect,X)
ena when b"1111_0001_010", -- SBC / (Indirect),Y
ena when b"1111_0001_011", -- SBC / (Indirect),Y
ena when b"1001_1101_001", -- STA / Absolute,X
ena when b"1001_1101_010", -- STA / Absolute,X
ena when b"1001_1001_001", -- STA / Absolute,Y
ena when b"1001_1001_010", -- STA / Absolute,Y
ena when b"1000_0001_010", -- STA / (Indirect,X)
ena when b"1000_0001_011", -- STA / (Indirect,X)
ena when b"1001_0001_010", -- STA / (Indirect),Y
ena when b"1001_0001_011", -- STA / (Indirect),Y
nop when others;
-- Output
with s_addr select o_out_op <=
ena when b"0000_0110_010", -- ASL / Zero Page
ena when b"0000_0110_011", -- ASL / Zero Page
ena when b"0001_0110_011", -- ASL / Zero Page,X
ena when b"0001_0110_100", -- ASL / Zero Page,X
din when b"0000_1110_011", -- ASL / Absolute
ena when b"0000_1110_100", -- ASL / Absolute
din when b"0001_1110_100", -- ASL / Absolute,X
ena when b"0001_1110_101", -- ASL / Absolute,X
pch when b"0000_0000_001", -- BRK / Implied
pcl when b"0000_0000_010", -- BRK / Implied
flg when b"0000_0000_011", -- BRK / Implied
ena when b"1100_0110_010", -- DEC / Zero Page
ena when b"1100_0110_011", -- DEC / Zero Page
ena when b"1101_0110_011", -- DEC / Zero Page,X
ena when b"1101_0110_100", -- DEC / Zero Page,X
din when b"1100_1110_011", -- DEC / Absolute
ena when b"1100_1110_100", -- DEC / Absolute
din when b"1101_1110_100", -- DEC / Absolute,X
ena when b"1101_1110_101", -- DEC / Absolute,X
ena when b"1110_0110_010", -- INC / Zero Page
ena when b"1110_0110_011", -- INC / Zero Page
ena when b"1111_0110_011", -- INC / Zero Page,X
ena when b"1111_0110_100", -- INC / Zero Page,X
din when b"1110_1110_011", -- INC / Absolute
ena when b"1110_1110_100", -- INC / Absolute
din when b"1111_1110_100", -- INC / Absolute,X
ena when b"1111_1110_101", -- INC / Absolute,X
pch when b"0000_0011_001", -- INT / Implied
pcl when b"0000_0011_010", -- INT / Implied
flg when b"0000_0011_011", -- INT / Implied
pch when b"0010_0000_010", -- JSR / Absolute
pcl when b"0010_0000_011", -- JSR / Absolute
ena when b"0100_0110_010", -- LSR / Zero Page
ena when b"0100_0110_011", -- LSR / Zero Page
ena when b"0101_0110_011", -- LSR / Zero Page,X
ena when b"0101_0110_100", -- LSR / Zero Page,X
din when b"0100_1110_011", -- LSR / Absolute
ena when b"0100_1110_100", -- LSR / Absolute
din when b"0101_1110_100", -- LSR / Absolute,X
ena when b"0101_1110_101", -- LSR / Absolute,X
pch when b"0000_0100_001", -- NMI / Implied
pcl when b"0000_0100_010", -- NMI / Implied
flg when b"0000_0100_011", -- NMI / Implied
arg when b"0100_1000_001", -- PHA / Implied
flg when b"0000_1000_001", -- PHP / Implied
ena when b"0010_0110_010", -- ROL / Zero Page
ena when b"0010_0110_011", -- ROL / Zero Page
ena when b"0011_0110_011", -- ROL / Zero Page,X
ena when b"0011_0110_100", -- ROL / Zero Page,X
din when b"0010_1110_011", -- ROL / Absolute
ena when b"0010_1110_100", -- ROL / Absolute
din when b"0011_1110_100", -- ROL / Absolute,X
ena when b"0011_1110_101", -- ROL / Absolute,X
ena when b"0110_0110_010", -- ROR / Zero Page
ena when b"0110_0110_011", -- ROR / Zero Page
ena when b"0111_0110_011", -- ROR / Zero Page,X
ena when b"0111_0110_100", -- ROR / Zero Page,X
din when b"0110_1110_011", -- ROR / Absolute
ena when b"0110_1110_100", -- ROR / Absolute
din when b"0111_1110_100", -- ROR / Absolute,X
ena when b"0111_1110_101", -- ROR / Absolute,X
arg when b"1000_0101_001", -- STA / Zero Page
arg when b"1001_0101_010", -- STA / Zero Page,X
arg when b"1000_1101_010", -- STA / Absolute
arg when b"1001_1101_011", -- STA / Absolute,X
arg when b"1001_1001_011", -- STA / Absolute,Y
arg when b"1000_0001_100", -- STA / (Indirect,X)
arg when b"1001_0001_100", -- STA / (Indirect),Y
xrg when b"1000_0110_001", -- STX / Zero Page
xrg when b"1001_0110_010", -- STX / Zero Page,Y
xrg when b"1000_1110_010", -- STX / Absolute
yrg when b"1000_0100_001", -- STY / Zero Page
yrg when b"1001_0100_010", -- STY / Zero Page,X
yrg when b"1000_1100_010", -- STY / Absolute
nop when others;
-- Flags
with s_addr select o_flags_op <=
nvzc when b"0110_1001_001", -- ADC / Immediate
nvzc when b"0110_0101_010", -- ADC / Zero Page
nvzc when b"0111_0101_011", -- ADC / Zero Page,X
nvzc when b"0110_1101_011", -- ADC / Absolute
nvzc when b"0111_1101_100", -- ADC / Absolute,X
nvzc when b"0111_1001_100", -- ADC / Absolute,Y
nvzc when b"0110_0001_101", -- ADC / (Indirect,X)
nvzc when b"0111_0001_101", -- ADC / (Indirect),Y
nz when b"0010_1001_001", -- AND / Immediate
nz when b"0010_0101_010", -- AND / Zero Page
nz when b"0011_0101_011", -- AND / Zero Page,X
nz when b"0010_1101_011", -- AND / Absolute
nz when b"0011_1101_100", -- AND / Absolute,X
nz when b"0011_1001_100", -- AND / Absolute,Y
nz when b"0010_0001_101", -- AND / (Indirect,X)
nz when b"0011_0001_101", -- AND / (Indirect),Y
nzc when b"0000_1010_001", -- ASL / Accumulator
nzc when b"0000_0110_011", -- ASL / Zero Page
nzc when b"0001_0110_100", -- ASL / Zero Page,X
nzc when b"0000_1110_100", -- ASL / Absolute
nzc when b"0001_1110_101", -- ASL / Absolute,X
nzv when b"0010_0100_010", -- BIT / Zero Page
nzv when b"0010_1100_011", -- BIT / Absolute
sei when b"0000_0000_011", -- BRK / Implied
clc when b"0001_1000_000", -- CLC / Implied
cld when b"1101_1000_000", -- CLD / Implied
cli when b"0101_1000_000", -- CLI / Implied
clv when b"1011_1000_000", -- CLV / Implied
nzc when b"1100_1001_001", -- CMP / Immediate
nzc when b"1100_0101_010", -- CMP / Zero Page
nzc when b"1101_0101_011", -- CMP / Zero Page,X
nzc when b"1100_1101_011", -- CMP / Absolute
nzc when b"1101_1101_100", -- CMP / Absolute,X
nzc when b"1101_1001_100", -- CMP / Absolute,Y
nzc when b"1100_0001_101", -- CMP / (Indirect,X)
nzc when b"1101_0001_101", -- CMP / (Indirect),Y
nzc when b"1110_0000_001", -- CPX / Immediate
nzc when b"1110_0100_010", -- CPX / Zero Page
nzc when b"1110_1100_011", -- CPX / Absolute
nzc when b"1100_0000_001", -- CPY / Immediate
nzc when b"1100_0100_010", -- CPY / Zero Page
nzc when b"1100_1100_011", -- CPY / Absolute
nz when b"1100_0110_011", -- DEC / Zero Page
nz when b"1101_0110_100", -- DEC / Zero Page,X
nz when b"1100_1110_100", -- DEC / Absolute
nz when b"1101_1110_101", -- DEC / Absolute,X
nz when b"1100_1010_001", -- DEX / Implied
nz when b"1000_1000_001", -- DEY / Implied
nz when b"0100_1001_001", -- EOR / Immediate
nz when b"0100_0101_010", -- EOR / Zero Page
nz when b"0101_0101_011", -- EOR / Zero Page,X
nz when b"0100_1101_011", -- EOR / Absolute
nz when b"0101_1101_100", -- EOR / Absolute,X
nz when b"0101_1001_100", -- EOR / Absolute,Y
nz when b"0100_0001_101", -- EOR / (Indirect,X)
nz when b"0101_0001_101", -- EOR / (Indirect),Y
nz when b"1110_0110_011", -- INC / Zero Page
nz when b"1111_0110_100", -- INC / Zero Page,X
nz when b"1110_1110_100", -- INC / Absolute
nz when b"1111_1110_101", -- INC / Absolute,X
sei when b"0000_0011_011", -- INT / Implied
nz when b"1110_1000_001", -- INX / Implied
nz when b"1100_1000_001", -- INY / Implied
nz when b"1010_1001_001", -- LDA / Immediate
nz when b"1010_0101_010", -- LDA / Zero Page
nz when b"1011_0101_011", -- LDA / Zero Page,X
nz when b"1010_1101_011", -- LDA / Absolute
nz when b"1011_1101_100", -- LDA / Absolute,X
nz when b"1011_1001_100", -- LDA / Absolute,Y
nz when b"1010_0001_101", -- LDA / (Indirect,X)
nz when b"1011_0001_101", -- LDA / (Indirect),Y
nz when b"1010_0010_001", -- LDX / Immediate
nz when b"1010_0110_010", -- LDX / Zero Page
nz when b"1011_0110_011", -- LDX / Zero Page,Y
nz when b"1010_1110_011", -- LDX / Absolute
nz when b"1011_1110_100", -- LDX / Absolute,Y
nz when b"1010_0000_001", -- LDY / Immediate
nz when b"1010_0100_010", -- LDY / Zero Page
nz when b"1011_0100_011", -- LDY / Zero Page,X
nz when b"1010_1100_011", -- LDY / Absolute
nz when b"1011_1100_100", -- LDY / Absolute,X
nzc when b"0100_1010_001", -- LSR / Accumulator
nzc when b"0100_0110_011", -- LSR / Zero Page
nzc when b"0101_0110_100", -- LSR / Zero Page,X
nzc when b"0100_1110_100", -- LSR / Absolute
nzc when b"0101_1110_101", -- LSR / Absolute,X
sei when b"0000_0100_011", -- NMI / Implied
nz when b"0000_1001_001", -- ORA / Immediate
nz when b"0000_0101_010", -- ORA / Zero Page
nz when b"0001_0101_011", -- ORA / Zero Page,X
nz when b"0000_1101_011", -- ORA / Absolute
nz when b"0001_1101_100", -- ORA / Absolute,X
nz when b"0001_1001_100", -- ORA / Absolute,Y
nz when b"0000_0001_101", -- ORA / (Indirect,X)
nz when b"0001_0001_101", -- ORA / (Indirect),Y
nz when b"0110_1000_011", -- PLA / Implied
din when b"0010_1000_011", -- PLP / Implied
nzc when b"0010_1010_001", -- ROL / Accumulator
nzc when b"0010_0110_011", -- ROL / Zero Page
nzc when b"0011_0110_100", -- ROL / Zero Page,X
nzc when b"0010_1110_100", -- ROL / Absolute
nzc when b"0011_1110_101", -- ROL / Absolute,X
nzc when b"0110_1010_001", -- ROR / Accumulator
nzc when b"0110_0110_011", -- ROR / Zero Page
nzc when b"0111_0110_100", -- ROR / Zero Page,X
nzc when b"0110_1110_100", -- ROR / Absolute
nzc when b"0111_1110_101", -- ROR / Absolute,X
din when b"0100_0000_011", -- RTI / Implied
nvzc when b"1110_1001_001", -- SBC / Immediate
nvzc when b"1110_0101_010", -- SBC / Zero Page
nvzc when b"1111_0101_011", -- SBC / Zero Page,X
nvzc when b"1110_1101_011", -- SBC / Absolute
nvzc when b"1111_1101_100", -- SBC / Absolute,X
nvzc when b"1111_1001_100", -- SBC / Absolute,Y
nvzc when b"1110_0001_101", -- SBC / (Indirect,X)
nvzc when b"1111_0001_101", -- SBC / (Indirect),Y
stc when b"0011_1000_000", -- SEC / Implied
sed when b"1111_1000_000", -- SED / Implied
sei when b"0111_1000_000", -- SEI / Implied
nz when b"1010_1010_001", -- TAX / Implied
nz when b"1010_1000_001", -- TAY / Implied
nz when b"1011_1010_001", -- TSX / Implied
nz when b"1000_1010_001", -- TXA / Implied
nz when b"1001_1000_001", -- TYA / Implied
nop when others;
-- ALU Operation
with s_addr select o_alu_op <=
adc when b"0110_1001_001", -- ADC / Immediate
adc when b"0110_0101_010", -- ADC / Zero Page
add when b"0111_0101_010", -- ADC / Zero Page,X
adc when b"0111_0101_011", -- ADC / Zero Page,X
adc when b"0110_1101_011", -- ADC / Absolute
add when b"0111_1101_010", -- ADC / Absolute,X
add when b"0111_1101_011", -- ADC / Absolute,X
adc when b"0111_1101_100", -- ADC / Absolute,X
add when b"0111_1001_010", -- ADC / Absolute,Y
add when b"0111_1001_011", -- ADC / Absolute,Y
adc when b"0111_1001_100", -- ADC / Absolute,Y
add when b"0110_0001_010", -- ADC / (Indirect,X)
add when b"0110_0001_011", -- ADC / (Indirect,X)
adc when b"0110_0001_101", -- ADC / (Indirect,X)
add when b"0111_0001_010", -- ADC / (Indirect),Y
add when b"0111_0001_011", -- ADC / (Indirect),Y
add when b"0111_0001_100", -- ADC / (Indirect),Y
adc when b"0111_0001_101", -- ADC / (Indirect),Y
ada when b"0010_1001_001", -- AND / Immediate
ada when b"0010_0101_010", -- AND / Zero Page
add when b"0011_0101_010", -- AND / Zero Page,X
ada when b"0011_0101_011", -- AND / Zero Page,X
ada when b"0010_1101_011", -- AND / Absolute
add when b"0011_1101_010", -- AND / Absolute,X
add when b"0011_1101_011", -- AND / Absolute,X
ada when b"0011_1101_100", -- AND / Absolute,X
add when b"0011_1001_010", -- AND / Absolute,Y
add when b"0011_1001_011", -- AND / Absolute,Y
ada when b"0011_1001_100", -- AND / Absolute,Y
add when b"0010_0001_010", -- AND / (Indirect,X)
add when b"0010_0001_011", -- AND / (Indirect,X)
ada when b"0010_0001_101", -- AND / (Indirect,X)
add when b"0011_0001_010", -- AND / (Indirect),Y
add when b"0011_0001_011", -- AND / (Indirect),Y
add when b"0011_0001_100", -- AND / (Indirect),Y
ada when b"0011_0001_101", -- AND / (Indirect),Y
asl when b"0000_1010_001", -- ASL / Accumulator
asl when b"0000_0110_011", -- ASL / Zero Page
add when b"0001_0110_010", -- ASL / Zero Page,X
asl when b"0001_0110_100", -- ASL / Zero Page,X
asl when b"0000_1110_100", -- ASL / Absolute
add when b"0001_1110_010", -- ASL / Absolute,X
add when b"0001_1110_011", -- ASL / Absolute,X
asl when b"0001_1110_101", -- ASL / Absolute,X
add when b"1001_0000_001", -- BCC / Relative
add when b"1001_0000_010", -- BCC / Relative
add when b"1011_0000_001", -- BCS / Relative
add when b"1011_0000_010", -- BCS / Relative
add when b"1111_0000_001", -- BEQ / Relative
add when b"1111_0000_010", -- BEQ / Relative
ada when b"0010_0100_010", -- BIT / Zero Page
ada when b"0010_1100_011", -- BIT / Absolute
add when b"0011_0000_001", -- BMI / Relative
add when b"0011_0000_010", -- BMI / Relative
add when b"1101_0000_001", -- BNE / Relative
add when b"1101_0000_010", -- BNE / Relative
add when b"0001_0000_001", -- BPL / Relative
add when b"0001_0000_010", -- BPL / Relative
sub when b"0000_0000_001", -- BRK / Implied
sub when b"0000_0000_010", -- BRK / Implied
sub when b"0000_0000_011", -- BRK / Implied
add when b"0000_0000_101", -- BRK / Implied
add when b"0101_0000_001", -- BVC / Relative
add when b"0101_0000_010", -- BVC / Relative
add when b"0111_0000_001", -- BVS / Relative
add when b"0111_0000_010", -- BVS / Relative
sub when b"1100_1001_001", -- CMP / Immediate
sub when b"1100_0101_010", -- CMP / Zero Page
add when b"1101_0101_010", -- CMP / Zero Page,X
sub when b"1101_0101_011", -- CMP / Zero Page,X
sub when b"1100_1101_011", -- CMP / Absolute
add when b"1101_1101_010", -- CMP / Absolute,X
add when b"1101_1101_011", -- CMP / Absolute,X
sub when b"1101_1101_100", -- CMP / Absolute,X
add when b"1101_1001_010", -- CMP / Absolute,Y
add when b"1101_1001_011", -- CMP / Absolute,Y
sub when b"1101_1001_100", -- CMP / Absolute,Y
add when b"1100_0001_010", -- CMP / (Indirect,X)
add when b"1100_0001_011", -- CMP / (Indirect,X)
sub when b"1100_0001_101", -- CMP / (Indirect,X)
add when b"1101_0001_010", -- CMP / (Indirect),Y
add when b"1101_0001_011", -- CMP / (Indirect),Y
add when b"1101_0001_100", -- CMP / (Indirect),Y
sub when b"1101_0001_101", -- CMP / (Indirect),Y
sub when b"1110_0000_001", -- CPX / Immediate
sub when b"1110_0100_010", -- CPX / Zero Page
sub when b"1110_1100_011", -- CPX / Absolute
sub when b"1100_0000_001", -- CPY / Immediate
sub when b"1100_0100_010", -- CPY / Zero Page
sub when b"1100_1100_011", -- CPY / Absolute
sub when b"1100_0110_011", -- DEC / Zero Page
add when b"1101_0110_010", -- DEC / Zero Page,X
sub when b"1101_0110_100", -- DEC / Zero Page,X
sub when b"1100_1110_100", -- DEC / Absolute
add when b"1101_1110_010", -- DEC / Absolute,X
add when b"1101_1110_011", -- DEC / Absolute,X
sub when b"1101_1110_101", -- DEC / Absolute,X
sub when b"1100_1010_001", -- DEX / Implied
sub when b"1000_1000_001", -- DEY / Implied
eor when b"0100_1001_001", -- EOR / Immediate
eor when b"0100_0101_010", -- EOR / Zero Page
add when b"0101_0101_010", -- EOR / Zero Page,X
eor when b"0101_0101_011", -- EOR / Zero Page,X
eor when b"0100_1101_011", -- EOR / Absolute
add when b"0101_1101_010", -- EOR / Absolute,X
add when b"0101_1101_011", -- EOR / Absolute,X
eor when b"0101_1101_100", -- EOR / Absolute,X
add when b"0101_1001_010", -- EOR / Absolute,Y
add when b"0101_1001_011", -- EOR / Absolute,Y
eor when b"0101_1001_100", -- EOR / Absolute,Y
add when b"0100_0001_010", -- EOR / (Indirect,X)
add when b"0100_0001_011", -- EOR / (Indirect,X)
eor when b"0100_0001_101", -- EOR / (Indirect,X)
add when b"0101_0001_010", -- EOR / (Indirect),Y
add when b"0101_0001_011", -- EOR / (Indirect),Y
add when b"0101_0001_100", -- EOR / (Indirect),Y
eor when b"0101_0001_101", -- EOR / (Indirect),Y
add when b"1110_0110_011", -- INC / Zero Page
add when b"1111_0110_010", -- INC / Zero Page,X
add when b"1111_0110_100", -- INC / Zero Page,X
add when b"1110_1110_100", -- INC / Absolute
add when b"1111_1110_010", -- INC / Absolute,X
add when b"1111_1110_011", -- INC / Absolute,X
add when b"1111_1110_101", -- INC / Absolute,X
sub when b"0000_0011_001", -- INT / Implied
sub when b"0000_0011_010", -- INT / Implied
sub when b"0000_0011_011", -- INT / Implied
add when b"0000_0011_101", -- INT / Implied
add when b"1110_1000_001", -- INX / Implied
add when b"1100_1000_001", -- INY / Implied
add when b"0110_1100_011", -- JMP / Indirect
sub when b"0010_0000_011", -- JSR / Absolute
sub when b"0010_0000_100", -- JSR / Absolute
add when b"1011_0101_010", -- LDA / Zero Page,X
add when b"1011_1101_010", -- LDA / Absolute,X
add when b"1011_1101_011", -- LDA / Absolute,X
add when b"1011_1001_010", -- LDA / Absolute,Y
add when b"1011_1001_011", -- LDA / Absolute,Y
add when b"1010_0001_010", -- LDA / (Indirect,X)
add when b"1010_0001_011", -- LDA / (Indirect,X)
add when b"1011_0001_010", -- LDA / (Indirect),Y
add when b"1011_0001_011", -- LDA / (Indirect),Y
add when b"1011_0001_100", -- LDA / (Indirect),Y
add when b"1011_0110_010", -- LDX / Zero Page,Y
add when b"1011_1110_010", -- LDX / Absolute,Y
add when b"1011_1110_011", -- LDX / Absolute,Y
add when b"1011_0100_010", -- LDY / Zero Page,X
add when b"1011_1100_010", -- LDY / Absolute,X
add when b"1011_1100_011", -- LDY / Absolute,X
lsr when b"0100_1010_001", -- LSR / Accumulator
lsr when b"0100_0110_011", -- LSR / Zero Page
add when b"0101_0110_010", -- LSR / Zero Page,X
lsr when b"0101_0110_100", -- LSR / Zero Page,X
lsr when b"0100_1110_100", -- LSR / Absolute
add when b"0101_1110_010", -- LSR / Absolute,X
add when b"0101_1110_011", -- LSR / Absolute,X
lsr when b"0101_1110_101", -- LSR / Absolute,X
sub when b"0000_0100_001", -- NMI / Implied
sub when b"0000_0100_010", -- NMI / Implied
sub when b"0000_0100_011", -- NMI / Implied
add when b"0000_0100_101", -- NMI / Implied
ora when b"0000_1001_001", -- ORA / Immediate
ora when b"0000_0101_010", -- ORA / Zero Page
add when b"0001_0101_010", -- ORA / Zero Page,X
ora when b"0001_0101_011", -- ORA / Zero Page,X
ora when b"0000_1101_011", -- ORA / Absolute
add when b"0001_1101_010", -- ORA / Absolute,X
add when b"0001_1101_011", -- ORA / Absolute,X
ora when b"0001_1101_100", -- ORA / Absolute,X
add when b"0001_1001_010", -- ORA / Absolute,Y
add when b"0001_1001_011", -- ORA / Absolute,Y
ora when b"0001_1001_100", -- ORA / Absolute,Y
add when b"0000_0001_010", -- ORA / (Indirect,X)
add when b"0000_0001_011", -- ORA / (Indirect,X)
ora when b"0000_0001_101", -- ORA / (Indirect,X)
add when b"0001_0001_010", -- ORA / (Indirect),Y
add when b"0001_0001_011", -- ORA / (Indirect),Y
add when b"0001_0001_100", -- ORA / (Indirect),Y
ora when b"0001_0001_101", -- ORA / (Indirect),Y
sub when b"0100_1000_010", -- PHA / Implied
sub when b"0000_1000_010", -- PHP / Implied
add when b"0110_1000_010", -- PLA / Implied
add when b"0010_1000_010", -- PLP / Implied
add when b"0000_0010_010", -- RST / Implied
add when b"0100_0000_010", -- RTI / Implied
add when b"0100_0000_011", -- RTI / Implied
add when b"0100_0000_100", -- RTI / Implied
add when b"0110_0000_010", -- RTS / Implied
add when b"0110_0000_011", -- RTS / Implied
rla when b"0010_1010_001", -- ROL / Accumulator
rla when b"0010_0110_011", -- ROL / Zero Page
add when b"0011_0110_010", -- ROL / Zero Page,X
rla when b"0011_0110_100", -- ROL / Zero Page,X
rla when b"0010_1110_100", -- ROL / Absolute
add when b"0011_1110_010", -- ROL / Absolute,X
add when b"0011_1110_011", -- ROL / Absolute,X
rla when b"0011_1110_101", -- ROL / Absolute,X
rra when b"0110_1010_001", -- ROR / Accumulator
rra when b"0110_0110_011", -- ROR / Zero Page
add when b"0111_0110_010", -- ROR / Zero Page,X
rra when b"0111_0110_100", -- ROR / Zero Page,X
rra when b"0110_1110_100", -- ROR / Absolute
add when b"0111_1110_010", -- ROR / Absolute,X
add when b"0111_1110_011", -- ROR / Absolute,X
rra when b"0111_1110_101", -- ROR / Absolute,X
sbc when b"1110_1001_001", -- SBC / Immediate
sbc when b"1110_0101_010", -- SBC / Zero Page
add when b"1111_0101_010", -- SBC / Zero Page,X
sbc when b"1111_0101_011", -- SBC / Zero Page,X
sbc when b"1110_1101_011", -- SBC / Absolute
add when b"1111_1101_010", -- SBC / Absolute,X
add when b"1111_1101_011", -- SBC / Absolute,X
sbc when b"1111_1101_100", -- SBC / Absolute,X
add when b"1111_1001_010", -- SBC / Absolute,Y
add when b"1111_1001_011", -- SBC / Absolute,Y
sbc when b"1111_1001_100", -- SBC / Absolute,Y
add when b"1110_0001_010", -- SBC / (Indirect,X)
add when b"1110_0001_011", -- SBC / (Indirect,X)
sbc when b"1110_0001_101", -- SBC / (Indirect,X)
add when b"1111_0001_010", -- SBC / (Indirect),Y
add when b"1111_0001_011", -- SBC / (Indirect),Y
add when b"1111_0001_100", -- SBC / (Indirect),Y
sbc when b"1111_0001_101", -- SBC / (Indirect),Y
add when b"1000_0101_010", -- STA / Zero Page
add when b"1001_0101_010", -- STA / Zero Page,X
add when b"1001_1101_010", -- STA / Absolute,X
add when b"1001_1101_011", -- STA / Absolute,X
add when b"1001_1001_010", -- STA / Absolute,Y
add when b"1001_1001_011", -- STA / Absolute,Y
add when b"1000_0001_010", -- STA / (Indirect,X)
add when b"1000_0001_011", -- STA / (Indirect,X)
add when b"1001_0001_010", -- STA / (Indirect),Y
add when b"1001_0001_011", -- STA / (Indirect),Y
add when b"1001_0001_100", -- STA / (Indirect),Y
add when b"1000_0110_010", -- STX / Zero Page
add when b"1001_0110_010", -- STX / Zero Page,Y
add when b"1000_0100_010", -- STY / Zero Page
add when b"1001_0100_010", -- STY / Zero Page,X
psa when others;
-- ALU Input A
with s_addr select o_alu_a_op <=
arg when b"0110_1001_001", -- ADC / Immediate
arg when b"0110_0101_010", -- ADC / Zero Page
xrg when b"0111_0101_010", -- ADC / Zero Page,X
arg when b"0111_0101_011", -- ADC / Zero Page,X
arg when b"0110_1101_011", -- ADC / Absolute
xrg when b"0111_1101_010", -- ADC / Absolute,X
val when b"0111_1101_011", -- ADC / Absolute,X
arg when b"0111_1101_100", -- ADC / Absolute,X
yrg when b"0111_1001_010", -- ADC / Absolute,Y
val when b"0111_1001_011", -- ADC / Absolute,Y
arg when b"0111_1001_100", -- ADC / Absolute,Y
xrg when b"0110_0001_010", -- ADC / (Indirect,X)
alq when b"0110_0001_011", -- ADC / (Indirect,X)
val when b"0110_0001_100", -- ADC / (Indirect,X)
arg when b"0110_0001_101", -- ADC / (Indirect,X)
alq when b"0111_0001_010", -- ADC / (Indirect),Y
yrg when b"0111_0001_011", -- ADC / (Indirect),Y
val when b"0111_0001_100", -- ADC / (Indirect),Y
arg when b"0111_0001_101", -- ADC / (Indirect),Y
arg when b"0010_1001_001", -- AND / Immediate
arg when b"0010_0101_010", -- AND / Zero Page
xrg when b"0011_0101_010", -- AND / Zero Page,X
arg when b"0011_0101_011", -- AND / Zero Page,X
arg when b"0010_1101_011", -- AND / Absolute
xrg when b"0011_1101_010", -- AND / Absolute,X
val when b"0011_1101_011", -- AND / Absolute,X
arg when b"0011_1101_100", -- AND / Absolute,X
yrg when b"0011_1001_010", -- AND / Absolute,Y
val when b"0011_1001_011", -- AND / Absolute,Y
arg when b"0011_1001_100", -- AND / Absolute,Y
xrg when b"0010_0001_010", -- AND / (Indirect,X)
alq when b"0010_0001_011", -- AND / (Indirect,X)
val when b"0010_0001_100", -- AND / (Indirect,X)
arg when b"0010_0001_101", -- AND / (Indirect,X)
alq when b"0011_0001_010", -- AND / (Indirect),Y
yrg when b"0011_0001_011", -- AND / (Indirect),Y
val when b"0011_0001_100", -- AND / (Indirect),Y
arg when b"0011_0001_101", -- AND / (Indirect),Y
arg when b"0000_1010_001", -- ASL / Accumulator
xrg when b"0001_0110_010", -- ASL / Zero Page,X
alq when b"0000_1110_011", -- ASL / Absolute
xrg when b"0001_1110_010", -- ASL / Absolute,X
val when b"0001_1110_011", -- ASL / Absolute,X
alq when b"0001_1110_100", -- ASL / Absolute,X
pcl when b"1001_0000_001", -- BCC / Relative
pch when b"1001_0000_010", -- BCC / Relative
pcl when b"1011_0000_001", -- BCS / Relative
pch when b"1011_0000_010", -- BCS / Relative
pcl when b"1111_0000_001", -- BEQ / Relative
pch when b"1111_0000_010", -- BEQ / Relative
arg when b"0010_0100_010", -- BIT / Zero Page
arg when b"0010_1100_011", -- BIT / Absolute
pcl when b"0011_0000_001", -- BMI / Relative
pch when b"0011_0000_010", -- BMI / Relative
pcl when b"1101_0000_001", -- BNE / Relative
pch when b"1101_0000_010", -- BNE / Relative
pcl when b"0001_0000_001", -- BPL / Relative
pch when b"0001_0000_010", -- BPL / Relative
srg when b"0000_0000_000", -- BRK / Implied
alq when b"0000_0000_001", -- BRK / Implied
alq when b"0000_0000_010", -- BRK / Implied
alq when b"0000_0000_011", -- BRK / Implied
brk when b"0000_0000_100", -- BRK / Implied
alq when b"0000_0000_101", -- BRK / Implied
val when b"0000_0000_110", -- BRK / Implied
pcl when b"0101_0000_001", -- BVC / Relative
pch when b"0101_0000_010", -- BVC / Relative
pcl when b"0111_0000_001", -- BVS / Relative
pch when b"0111_0000_010", -- BVS / Relative
arg when b"1100_1001_001", -- CMP / Immediate
arg when b"1100_0101_010", -- CMP / Zero Page
xrg when b"1101_0101_010", -- CMP / Zero Page,X
arg when b"1101_0101_011", -- CMP / Zero Page,X
arg when b"1100_1101_011", -- CMP / Absolute
xrg when b"1101_1101_010", -- CMP / Absolute,X
val when b"1101_1101_011", -- CMP / Absolute,X
arg when b"1101_1101_100", -- CMP / Absolute,X
yrg when b"1101_1001_010", -- CMP / Absolute,Y
val when b"1101_1001_011", -- CMP / Absolute,Y
arg when b"1101_1001_100", -- CMP / Absolute,Y
xrg when b"1100_0001_010", -- CMP / (Indirect,X)
alq when b"1100_0001_011", -- CMP / (Indirect,X)
val when b"1100_0001_100", -- CMP / (Indirect,X)
arg when b"1100_0001_101", -- CMP / (Indirect,X)
alq when b"1101_0001_010", -- CMP / (Indirect),Y
yrg when b"1101_0001_011", -- CMP / (Indirect),Y
val when b"1101_0001_100", -- CMP / (Indirect),Y
arg when b"1101_0001_101", -- CMP / (Indirect),Y
xrg when b"1110_0000_001", -- CPX / Immediate
xrg when b"1110_0100_010", -- CPX / Zero Page
xrg when b"1110_1100_011", -- CPX / Absolute
yrg when b"1100_0000_001", -- CPY / Immediate
yrg when b"1100_0100_010", -- CPY / Zero Page
yrg when b"1100_1100_011", -- CPY / Absolute
xrg when b"1101_0110_010", -- DEC / Zero Page,X
alq when b"1100_1110_011", -- DEC / Absolute
xrg when b"1101_1110_010", -- DEC / Absolute,X
val when b"1101_1110_011", -- DEC / Absolute,X
alq when b"1101_1110_100", -- DEC / Absolute,X
xrg when b"1100_1010_001", -- DEX / Implied
yrg when b"1000_1000_001", -- DEY / Implied
arg when b"0100_1001_001", -- EOR / Immediate
arg when b"0100_0101_010", -- EOR / Zero Page
xrg when b"0101_0101_010", -- EOR / Zero Page,X
arg when b"0101_0101_011", -- EOR / Zero Page,X
arg when b"0100_1101_011", -- EOR / Absolute
xrg when b"0101_1101_010", -- EOR / Absolute,X
val when b"0101_1101_011", -- EOR / Absolute,X
arg when b"0101_1101_100", -- EOR / Absolute,X
yrg when b"0101_1001_010", -- EOR / Absolute,Y
val when b"0101_1001_011", -- EOR / Absolute,Y
arg when b"0101_1001_100", -- EOR / Absolute,Y
xrg when b"0100_0001_010", -- EOR / (Indirect,X)
alq when b"0100_0001_011", -- EOR / (Indirect,X)
val when b"0100_0001_100", -- EOR / (Indirect,X)
arg when b"0100_0001_101", -- EOR / (Indirect,X)
alq when b"0101_0001_010", -- EOR / (Indirect),Y
yrg when b"0101_0001_011", -- EOR / (Indirect),Y
val when b"0101_0001_100", -- EOR / (Indirect),Y
arg when b"0101_0001_101", -- EOR / (Indirect),Y
xrg when b"1111_0110_010", -- INC / Zero Page,X
alq when b"1110_1110_011", -- INC / Absolute
xrg when b"1111_1110_010", -- INC / Absolute,X
val when b"1111_1110_011", -- INC / Absolute,X
alq when b"1111_1110_100", -- INC / Absolute,X
srg when b"0000_0011_000", -- INT / Implied
alq when b"0000_0011_001", -- INT / Implied
alq when b"0000_0011_010", -- INT / Implied
alq when b"0000_0011_011", -- INT / Implied
brk when b"0000_0011_100", -- INT / Implied
alq when b"0000_0011_101", -- INT / Implied
val when b"0000_0011_110", -- INT / Implied
xrg when b"1110_1000_001", -- INX / Implied
yrg when b"1100_1000_001", -- INY / Implied
alq when b"0100_1100_010", -- JMP / Absolute
alq when b"0110_1100_010", -- JMP / Indirect
alq when b"0110_1100_011", -- JMP / Indirect
val when b"0110_1100_100", -- JMP / Indirect
srg when b"0010_0000_001", -- JSR / Absolute
alq when b"0010_0000_010", -- JSR / Absolute
alq when b"0010_0000_011", -- JSR / Absolute
alq when b"0010_0000_100", -- JSR / Absolute
val when b"0010_0000_101", -- JSR / Absolute
xrg when b"1011_0101_010", -- LDA / Zero Page,X
xrg when b"1011_1101_010", -- LDA / Absolute,X
val when b"1011_1101_011", -- LDA / Absolute,X
yrg when b"1011_1001_010", -- LDA / Absolute,Y
val when b"1011_1001_011", -- LDA / Absolute,Y
xrg when b"1010_0001_010", -- LDA / (Indirect,X)
alq when b"1010_0001_011", -- LDA / (Indirect,X)
val when b"1010_0001_100", -- LDA / (Indirect,X)
alq when b"1011_0001_010", -- LDA / (Indirect),Y
yrg when b"1011_0001_011", -- LDA / (Indirect),Y
val when b"1011_0001_100", -- LDA / (Indirect),Y
yrg when b"1011_0110_010", -- LDX / Zero Page,Y
yrg when b"1011_1110_010", -- LDX / Absolute,Y
val when b"1011_1110_011", -- LDX / Absolute,Y
xrg when b"1011_0100_010", -- LDY / Zero Page,X
xrg when b"1011_1100_010", -- LDY / Absolute,X
val when b"1011_1100_011", -- LDY / Absolute,X
arg when b"0100_1010_001", -- LSR / Accumulator
xrg when b"0101_0110_010", -- LSR / Zero Page,X
alq when b"0100_1110_011", -- LSR / Absolute
xrg when b"0101_1110_010", -- LSR / Absolute,X
val when b"0101_1110_011", -- LSR / Absolute,X
alq when b"0101_1110_100", -- LSR / Absolute,X
srg when b"0000_0100_000", -- NMI / Implied
alq when b"0000_0100_001", -- NMI / Implied
alq when b"0000_0100_010", -- NMI / Implied
alq when b"0000_0100_011", -- NMI / Implied
brk when b"0000_0100_100", -- NMI / Implied
alq when b"0000_0100_101", -- NMI / Implied
val when b"0000_0100_110", -- NMI / Implied
arg when b"0000_1001_001", -- ORA / Immediate
arg when b"0000_0101_010", -- ORA / Zero Page
xrg when b"0001_0101_010", -- ORA / Zero Page,X
arg when b"0001_0101_011", -- ORA / Zero Page,X
arg when b"0000_1101_011", -- ORA / Absolute
xrg when b"0001_1101_010", -- ORA / Absolute,X
val when b"0001_1101_011", -- ORA / Absolute,X
arg when b"0001_1101_100", -- ORA / Absolute,X
yrg when b"0001_1001_010", -- ORA / Absolute,Y
val when b"0001_1001_011", -- ORA / Absolute,Y
arg when b"0001_1001_100", -- ORA / Absolute,Y
xrg when b"0000_0001_010", -- ORA / (Indirect,X)
alq when b"0000_0001_011", -- ORA / (Indirect,X)
val when b"0000_0001_100", -- ORA / (Indirect,X)
arg when b"0000_0001_101", -- ORA / (Indirect,X)
alq when b"0001_0001_010", -- ORA / (Indirect),Y
yrg when b"0001_0001_011", -- ORA / (Indirect),Y
val when b"0001_0001_100", -- ORA / (Indirect),Y
arg when b"0001_0001_101", -- ORA / (Indirect),Y
srg when b"0100_1000_001", -- PHA / Implied
alq when b"0100_1000_010", -- PHA / Implied
srg when b"0000_1000_001", -- PHP / Implied
alq when b"0000_1000_010", -- PHP / Implied
srg when b"0110_1000_001", -- PLA / Implied
alq when b"0110_1000_010", -- PLA / Implied
srg when b"0010_1000_001", -- PLP / Implied
alq when b"0010_1000_010", -- PLP / Implied
arg when b"0010_1010_001", -- ROL / Accumulator
xrg when b"0011_0110_010", -- ROL / Zero Page,X
alq when b"0010_1110_011", -- ROL / Absolute
xrg when b"0011_1110_010", -- ROL / Absolute,X
val when b"0011_1110_011", -- ROL / Absolute,X
alq when b"0011_1110_100", -- ROL / Absolute,X
arg when b"0110_1010_001", -- ROR / Accumulator
xrg when b"0111_0110_010", -- ROR / Zero Page,X
alq when b"0110_1110_011", -- ROR / Absolute
xrg when b"0111_1110_010", -- ROR / Absolute,X
val when b"0111_1110_011", -- ROR / Absolute,X
alq when b"0111_1110_100", -- ROR / Absolute,X
brk when b"0000_0010_001", -- RST / Implied
alq when b"0000_0010_010", -- RST / Implied
val when b"0000_0010_011", -- RST / Implied
srg when b"0100_0000_001", -- RTI / Implied
alq when b"0100_0000_010", -- RTI / Implied
alq when b"0100_0000_011", -- RTI / Implied
alq when b"0100_0000_100", -- RTI / Implied
val when b"0100_0000_101", -- RTI / Implied
srg when b"0110_0000_001", -- RTS / Implied
alq when b"0110_0000_010", -- RTS / Implied
alq when b"0110_0000_011", -- RTS / Implied
val when b"0110_0000_100", -- RTS / Implied
arg when b"1110_1001_001", -- SBC / Immediate
arg when b"1110_0101_010", -- SBC / Zero Page
xrg when b"1111_0101_010", -- SBC / Zero Page,X
arg when b"1111_0101_011", -- SBC / Zero Page,X
arg when b"1110_1101_011", -- SBC / Absolute
xrg when b"1111_1101_010", -- SBC / Absolute,X
val when b"1111_1101_011", -- SBC / Absolute,X
arg when b"1111_1101_100", -- SBC / Absolute,X
yrg when b"1111_1001_010", -- SBC / Absolute,Y
val when b"1111_1001_011", -- SBC / Absolute,Y
arg when b"1111_1001_100", -- SBC / Absolute,Y
xrg when b"1110_0001_010", -- SBC / (Indirect,X)
alq when b"1110_0001_011", -- SBC / (Indirect,X)
val when b"1110_0001_100", -- SBC / (Indirect,X)
arg when b"1110_0001_101", -- SBC / (Indirect,X)
alq when b"1111_0001_010", -- SBC / (Indirect),Y
yrg when b"1111_0001_011", -- SBC / (Indirect),Y
val when b"1111_0001_100", -- SBC / (Indirect),Y
arg when b"1111_0001_101", -- SBC / (Indirect),Y
arg when b"1000_0101_010", -- STA / Zero Page
xrg when b"1001_0101_010", -- STA / Zero Page,X
arg when b"1001_0101_011", -- STA / Zero Page,X
arg when b"1000_1101_011", -- STA / Absolute
xrg when b"1001_1101_010", -- STA / Absolute,X
val when b"1001_1101_011", -- STA / Absolute,X
arg when b"1001_1101_100", -- STA / Absolute,X
yrg when b"1001_1001_010", -- STA / Absolute,Y
val when b"1001_1001_011", -- STA / Absolute,Y
arg when b"1001_1001_100", -- STA / Absolute,Y
xrg when b"1000_0001_010", -- STA / (Indirect,X)
alq when b"1000_0001_011", -- STA / (Indirect,X)
val when b"1000_0001_100", -- STA / (Indirect,X)
arg when b"1000_0001_101", -- STA / (Indirect,X)
alq when b"1001_0001_010", -- STA / (Indirect),Y
yrg when b"1001_0001_011", -- STA / (Indirect),Y
val when b"1001_0001_100", -- STA / (Indirect),Y
arg when b"1001_0001_101", -- STA / (Indirect),Y
xrg when b"1000_0110_010", -- STX / Zero Page
yrg when b"1001_0110_010", -- STX / Zero Page,Y
xrg when b"1001_0110_011", -- STX / Zero Page,Y
xrg when b"1000_1110_011", -- STX / Absolute
yrg when b"1000_0100_010", -- STY / Zero Page
xrg when b"1001_0100_010", -- STY / Zero Page,X
yrg when b"1001_0100_011", -- STY / Zero Page,X
yrg when b"1000_1100_011", -- STY / Absolute
arg when b"1010_1010_001", -- TAX / Implied
arg when b"1010_1000_001", -- TAY / Implied
srg when b"1011_1010_001", -- TSX / Implied
xrg when b"1000_1010_001", -- TXA / Implied
xrg when b"1001_1010_001", -- TXS / Implied
yrg when b"1001_1000_001", -- TYA / Implied
din when others;
-- ALU Input B
with s_addr select o_alu_b_op <=
alq when b"0111_0101_010", -- ADC / Zero Page,X
val when b"0111_1101_010", -- ADC / Absolute,X
one when b"0111_1101_011", -- ADC / Absolute,X
val when b"0111_1001_010", -- ADC / Absolute,Y
one when b"0111_1001_011", -- ADC / Absolute,Y
alq when b"0110_0001_010", -- ADC / (Indirect,X)
one when b"0110_0001_011", -- ADC / (Indirect,X)
one when b"0111_0001_010", -- ADC / (Indirect),Y
val when b"0111_0001_011", -- ADC / (Indirect),Y
one when b"0111_0001_100", -- ADC / (Indirect),Y
alq when b"0011_0101_010", -- AND / Zero Page,X
val when b"0011_1101_010", -- AND / Absolute,X
one when b"0011_1101_011", -- AND / Absolute,X
val when b"0011_1001_010", -- AND / Absolute,Y
one when b"0011_1001_011", -- AND / Absolute,Y
alq when b"0010_0001_010", -- AND / (Indirect,X)
one when b"0010_0001_011", -- AND / (Indirect,X)
one when b"0011_0001_010", -- AND / (Indirect),Y
val when b"0011_0001_011", -- AND / (Indirect),Y
one when b"0011_0001_100", -- AND / (Indirect),Y
alq when b"0001_0110_010", -- ASL / Zero Page,X
val when b"0001_1110_010", -- ASL / Absolute,X
auc when b"0001_1110_011", -- ASL / Absolute,X
aci when b"1001_0000_010", -- BCC / Relative
aci when b"1011_0000_010", -- BCS / Relative
aci when b"1111_0000_010", -- BEQ / Relative
aci when b"0011_0000_010", -- BMI / Relative
aci when b"1101_0000_010", -- BNE / Relative
aci when b"0001_0000_010", -- BPL / Relative
one when b"0000_0000_001", -- BRK / Implied
one when b"0000_0000_010", -- BRK / Implied
one when b"0000_0000_011", -- BRK / Implied
one when b"0000_0000_101", -- BRK / Implied
aci when b"0101_0000_010", -- BVC / Relative
aci when b"0111_0000_010", -- BVS / Relative
alq when b"1101_0101_010", -- CMP / Zero Page,X
val when b"1101_1101_010", -- CMP / Absolute,X
one when b"1101_1101_011", -- CMP / Absolute,X
val when b"1101_1001_010", -- CMP / Absolute,Y
one when b"1101_1001_011", -- CMP / Absolute,Y
alq when b"1100_0001_010", -- CMP / (Indirect,X)
one when b"1100_0001_011", -- CMP / (Indirect,X)
one when b"1101_0001_010", -- CMP / (Indirect),Y
val when b"1101_0001_011", -- CMP / (Indirect),Y
one when b"1101_0001_100", -- CMP / (Indirect),Y
one when b"1100_0110_011", -- DEC / Zero Page
val when b"1101_0110_010", -- DEC / Zero Page,X
one when b"1101_0110_100", -- DEC / Zero Page,X
one when b"1100_1110_100", -- DEC / Absolute
val when b"1101_1110_010", -- DEC / Absolute,X
auc when b"1101_1110_011", -- DEC / Absolute,X
one when b"1101_1110_101", -- DEC / Absolute,X
one when b"1100_1010_001", -- DEX / Implied
one when b"1000_1000_001", -- DEY / Implied
alq when b"0101_0101_010", -- EOR / Zero Page,X
val when b"0101_1101_010", -- EOR / Absolute,X
one when b"0101_1101_011", -- EOR / Absolute,X
val when b"0101_1001_010", -- EOR / Absolute,Y
one when b"0101_1001_011", -- EOR / Absolute,Y
alq when b"0100_0001_010", -- EOR / (Indirect,X)
one when b"0100_0001_011", -- EOR / (Indirect,X)
one when b"0101_0001_010", -- EOR / (Indirect),Y
val when b"0101_0001_011", -- EOR / (Indirect),Y
one when b"0101_0001_100", -- EOR / (Indirect),Y
one when b"1110_0110_011", -- INC / Zero Page
val when b"1111_0110_010", -- INC / Zero Page,X
one when b"1111_0110_100", -- INC / Zero Page,X
one when b"1110_1110_100", -- INC / Absolute
val when b"1111_1110_010", -- INC / Absolute,X
auc when b"1111_1110_011", -- INC / Absolute,X
one when b"1111_1110_101", -- INC / Absolute,X
one when b"0000_0011_001", -- INT / Implied
one when b"0000_0011_010", -- INT / Implied
one when b"0000_0011_011", -- INT / Implied
one when b"0000_0011_101", -- INT / Implied
one when b"1110_1000_001", -- INX / Implied
one when b"1100_1000_001", -- INY / Implied
one when b"0110_1100_011", -- JMP / Indirect
one when b"0010_0000_011", -- JSR / Absolute
one when b"0010_0000_100", -- JSR / Absolute
alq when b"1011_0101_010", -- LDA / Zero Page,X
val when b"1011_1101_010", -- LDA / Absolute,X
one when b"1011_1101_011", -- LDA / Absolute,X
val when b"1011_1001_010", -- LDA / Absolute,Y
one when b"1011_1001_011", -- LDA / Absolute,Y
alq when b"1010_0001_010", -- LDA / (Indirect,X)
one when b"1010_0001_011", -- LDA / (Indirect,X)
one when b"1011_0001_010", -- LDA / (Indirect),Y
val when b"1011_0001_011", -- LDA / (Indirect),Y
one when b"1011_0001_100", -- LDA / (Indirect),Y
alq when b"1011_0110_010", -- LDX / Zero Page,Y
val when b"1011_1110_010", -- LDX / Absolute,Y
one when b"1011_1110_011", -- LDX / Absolute,Y
alq when b"1011_0100_010", -- LDY / Zero Page,X
val when b"1011_1100_010", -- LDY / Absolute,X
one when b"1011_1100_011", -- LDY / Absolute,X
alq when b"0101_0110_010", -- LSR / Zero Page,X
val when b"0101_1110_010", -- LSR / Absolute,X
auc when b"0101_1110_011", -- LSR / Absolute,X
one when b"0000_0100_001", -- NMI / Implied
one when b"0000_0100_010", -- NMI / Implied
one when b"0000_0100_011", -- NMI / Implied
one when b"0000_0100_101", -- NMI / Implied
alq when b"0001_0101_010", -- ORA / Zero Page,X
val when b"0001_1101_010", -- ORA / Absolute,X
one when b"0001_1101_011", -- ORA / Absolute,X
val when b"0001_1001_010", -- ORA / Absolute,Y
one when b"0001_1001_011", -- ORA / Absolute,Y
alq when b"0000_0001_010", -- ORA / (Indirect,X)
one when b"0000_0001_011", -- ORA / (Indirect,X)
one when b"0001_0001_010", -- ORA / (Indirect),Y
val when b"0001_0001_011", -- ORA / (Indirect),Y
one when b"0001_0001_100", -- ORA / (Indirect),Y
one when b"0100_1000_010", -- PHA / Implied
one when b"0000_1000_010", -- PHP / Implied
one when b"0110_1000_010", -- PLA / Implied
one when b"0010_1000_010", -- PLP / Implied
alq when b"0011_0110_010", -- ROL / Zero Page,X
val when b"0011_1110_010", -- ROL / Absolute,X
auc when b"0011_1110_011", -- ROL / Absolute,X
alq when b"0111_0110_010", -- ROR / Zero Page,X
val when b"0111_1110_010", -- ROR / Absolute,X
auc when b"0111_1110_011", -- ROR / Absolute,X
one when b"0000_0010_010", -- RST / Implied
one when b"0100_0000_010", -- RTI / Implied
one when b"0100_0000_011", -- RTI / Implied
one when b"0100_0000_100", -- RTI / Implied
one when b"0110_0000_010", -- RTS / Implied
one when b"0110_0000_011", -- RTS / Implied
alq when b"1111_0101_010", -- SBC / Zero Page,X
val when b"1111_1101_010", -- SBC / Absolute,X
one when b"1111_1101_011", -- SBC / Absolute,X
val when b"1111_1001_010", -- SBC / Absolute,Y
one when b"1111_1001_011", -- SBC / Absolute,Y
alq when b"1110_0001_010", -- SBC / (Indirect,X)
one when b"1110_0001_011", -- SBC / (Indirect,X)
one when b"1111_0001_010", -- SBC / (Indirect),Y
val when b"1111_0001_011", -- SBC / (Indirect),Y
one when b"1111_0001_100", -- SBC / (Indirect),Y
alq when b"1001_0101_010", -- STA / Zero Page,X
val when b"1001_1101_010", -- STA / Absolute,X
auc when b"1001_1101_011", -- STA / Absolute,X
val when b"1001_1001_010", -- STA / Absolute,Y
auc when b"1001_1001_011", -- STA / Absolute,Y
alq when b"1000_0001_010", -- STA / (Indirect,X)
one when b"1000_0001_011", -- STA / (Indirect,X)
one when b"1001_0001_010", -- STA / (Indirect),Y
val when b"1001_0001_011", -- STA / (Indirect),Y
auc when b"1001_0001_100", -- STA / (Indirect),Y
alq when b"1001_0110_010", -- STX / Zero Page,Y
alq when b"1001_0100_010", -- STY / Zero Page,X
din when others;
-- Registers
with s_addr select o_reg_op <=
arg when b"0110_1001_001", -- ADC / Immediate
arg when b"0110_0101_010", -- ADC / Zero Page
arg when b"0111_0101_011", -- ADC / Zero Page,X
arg when b"0110_1101_011", -- ADC / Absolute
arg when b"0111_1101_100", -- ADC / Absolute,X
arg when b"0111_1001_100", -- ADC / Absolute,Y
arg when b"0110_0001_101", -- ADC / (Indirect,X)
arg when b"0111_0001_101", -- ADC / (Indirect),Y
arg when b"0010_1001_001", -- AND / Immediate
arg when b"0010_0101_010", -- AND / Zero Page
arg when b"0011_0101_011", -- AND / Zero Page,X
arg when b"0010_1101_011", -- AND / Absolute
arg when b"0011_1101_100", -- AND / Absolute,X
arg when b"0011_1001_100", -- AND / Absolute,Y
arg when b"0010_0001_101", -- AND / (Indirect,X)
arg when b"0011_0001_101", -- AND / (Indirect),Y
arg when b"0000_1010_001", -- ASL / Accumulator
srg when b"0000_0000_011", -- BRK / Implied
xrg when b"1100_1010_001", -- DEX / Implied
yrg when b"1000_1000_001", -- DEY / Implied
arg when b"0100_1001_001", -- EOR / Immediate
arg when b"0100_0101_010", -- EOR / Zero Page
arg when b"0101_0101_011", -- EOR / Zero Page,X
arg when b"0100_1101_011", -- EOR / Absolute
arg when b"0101_1101_100", -- EOR / Absolute,X
arg when b"0101_1001_100", -- EOR / Absolute,Y
arg when b"0100_0001_101", -- EOR / (Indirect,X)
arg when b"0101_0001_101", -- EOR / (Indirect),Y
srg when b"0000_0011_011", -- INT / Implied
xrg when b"1110_1000_001", -- INX / Implied
yrg when b"1100_1000_001", -- INY / Implied
srg when b"0010_0000_100", -- JSR / Absolute
arg when b"1010_1001_001", -- LDA / Immediate
arg when b"1010_0101_010", -- LDA / Zero Page
arg when b"1011_0101_011", -- LDA / Zero Page,X
arg when b"1010_1101_011", -- LDA / Absolute
arg when b"1011_1101_100", -- LDA / Absolute,X
arg when b"1011_1001_100", -- LDA / Absolute,Y
arg when b"1010_0001_101", -- LDA / (Indirect,X)
arg when b"1011_0001_101", -- LDA / (Indirect),Y
xrg when b"1010_0010_001", -- LDX / Immediate
xrg when b"1010_0110_010", -- LDX / Zero Page
xrg when b"1011_0110_011", -- LDX / Zero Page,Y
xrg when b"1010_1110_011", -- LDX / Absolute
xrg when b"1011_1110_100", -- LDX / Absolute,Y
yrg when b"1010_0000_001", -- LDY / Immediate
yrg when b"1010_0100_010", -- LDY / Zero Page
yrg when b"1011_0100_011", -- LDY / Zero Page,X
yrg when b"1010_1100_011", -- LDY / Absolute
yrg when b"1011_1100_100", -- LDY / Absolute,X
arg when b"0100_1010_001", -- LSR / Accumulator
srg when b"0000_0100_011", -- NMI / Implied
arg when b"0000_1001_001", -- ORA / Immediate
arg when b"0000_0101_010", -- ORA / Zero Page
arg when b"0001_0101_011", -- ORA / Zero Page,X
arg when b"0000_1101_011", -- ORA / Absolute
arg when b"0001_1101_100", -- ORA / Absolute,X
arg when b"0001_1001_100", -- ORA / Absolute,Y
arg when b"0000_0001_101", -- ORA / (Indirect,X)
arg when b"0001_0001_101", -- ORA / (Indirect),Y
srg when b"0100_1000_010", -- PHA / Implied
srg when b"0000_1000_010", -- PHP / Implied
srg when b"0110_1000_010", -- PLA / Implied
arg when b"0110_1000_011", -- PLA / Implied
srg when b"0010_1000_010", -- PLP / Implied
arg when b"0010_1010_001", -- ROL / Accumulator
arg when b"0110_1010_001", -- ROR / Accumulator
srg when b"0100_0000_100", -- RTI / Implied
srg when b"0110_0000_011", -- RTS / Implied
arg when b"1110_1001_001", -- SBC / Immediate
arg when b"1110_0101_010", -- SBC / Zero Page
arg when b"1111_0101_011", -- SBC / Zero Page,X
arg when b"1110_1101_011", -- SBC / Absolute
arg when b"1111_1101_100", -- SBC / Absolute,X
arg when b"1111_1001_100", -- SBC / Absolute,Y
arg when b"1110_0001_101", -- SBC / (Indirect,X)
arg when b"1111_0001_101", -- SBC / (Indirect),Y
xrg when b"1010_1010_001", -- TAX / Implied
yrg when b"1010_1000_001", -- TAY / Implied
xrg when b"1011_1010_001", -- TSX / Implied
arg when b"1000_1010_001", -- TXA / Implied
srg when b"1001_1010_001", -- TXS / Implied
arg when b"1001_1000_001", -- TYA / Implied
nop when others;
-- Memory Address
with s_addr select o_addr_op <=
zaq when b"0110_0101_001", -- ADC / Zero Page
zaq when b"0111_0101_001", -- ADC / Zero Page,X
zaq when b"0111_0101_010", -- ADC / Zero Page,X
aqd when b"0110_1101_010", -- ADC / Absolute
daq when b"0111_1101_010", -- ADC / Absolute,X
aqd when b"0111_1101_011", -- ADC / Absolute,X
daq when b"0111_1001_010", -- ADC / Absolute,Y
aqd when b"0111_1001_011", -- ADC / Absolute,Y
zaq when b"0110_0001_001", -- ADC / (Indirect,X)
zaq when b"0110_0001_010", -- ADC / (Indirect,X)
zaq when b"0110_0001_011", -- ADC / (Indirect,X)
daq when b"0110_0001_100", -- ADC / (Indirect,X)
zaq when b"0111_0001_001", -- ADC / (Indirect),Y
zaq when b"0111_0001_010", -- ADC / (Indirect),Y
daq when b"0111_0001_011", -- ADC / (Indirect),Y
aqd when b"0111_0001_100", -- ADC / (Indirect),Y
zaq when b"0010_0101_001", -- AND / Zero Page
zaq when b"0011_0101_001", -- AND / Zero Page,X
zaq when b"0011_0101_010", -- AND / Zero Page,X
aqd when b"0010_1101_010", -- AND / Absolute
daq when b"0011_1101_010", -- AND / Absolute,X
aqd when b"0011_1101_011", -- AND / Absolute,X
daq when b"0011_1001_010", -- AND / Absolute,Y
aqd when b"0011_1001_011", -- AND / Absolute,Y
zaq when b"0010_0001_001", -- AND / (Indirect,X)
zaq when b"0010_0001_010", -- AND / (Indirect,X)
zaq when b"0010_0001_011", -- AND / (Indirect,X)
daq when b"0010_0001_100", -- AND / (Indirect,X)
zaq when b"0011_0001_001", -- AND / (Indirect),Y
zaq when b"0011_0001_010", -- AND / (Indirect),Y
daq when b"0011_0001_011", -- AND / (Indirect),Y
aqd when b"0011_0001_100", -- AND / (Indirect),Y
zaq when b"0000_0110_001", -- ASL / Zero Page
zvl when b"0000_0110_010", -- ASL / Zero Page
zvl when b"0000_0110_011", -- ASL / Zero Page
zaq when b"0001_0110_001", -- ASL / Zero Page,X
zaq when b"0001_0110_010", -- ASL / Zero Page,X
zvl when b"0001_0110_011", -- ASL / Zero Page,X
zvl when b"0001_0110_100", -- ASL / Zero Page,X
aqd when b"0000_1110_010", -- ASL / Absolute
adv when b"0000_1110_011", -- ASL / Absolute
adv when b"0000_1110_100", -- ASL / Absolute
daq when b"0001_1110_010", -- ASL / Absolute,X
aqd when b"0001_1110_011", -- ASL / Absolute,X
adv when b"0001_1110_100", -- ASL / Absolute,X
adv when b"0001_1110_101", -- ASL / Absolute,X
zaq when b"0010_0100_001", -- BIT / Zero Page
aqd when b"0010_1100_010", -- BIT / Absolute
oad when b"0000_0000_001", -- BRK / Implied
oad when b"0000_0000_010", -- BRK / Implied
oad when b"0000_0000_011", -- BRK / Implied
vaq when b"0000_0000_100", -- BRK / Implied
vaq when b"0000_0000_101", -- BRK / Implied
zaq when b"1100_0101_001", -- CMP / Zero Page
zaq when b"1101_0101_001", -- CMP / Zero Page,X
zaq when b"1101_0101_010", -- CMP / Zero Page,X
aqd when b"1100_1101_010", -- CMP / Absolute
daq when b"1101_1101_010", -- CMP / Absolute,X
aqd when b"1101_1101_011", -- CMP / Absolute,X
daq when b"1101_1001_010", -- CMP / Absolute,Y
aqd when b"1101_1001_011", -- CMP / Absolute,Y
zaq when b"1100_0001_001", -- CMP / (Indirect,X)
zaq when b"1100_0001_010", -- CMP / (Indirect,X)
zaq when b"1100_0001_011", -- CMP / (Indirect,X)
daq when b"1100_0001_100", -- CMP / (Indirect,X)
zaq when b"1101_0001_001", -- CMP / (Indirect),Y
zaq when b"1101_0001_010", -- CMP / (Indirect),Y
daq when b"1101_0001_011", -- CMP / (Indirect),Y
aqd when b"1101_0001_100", -- CMP / (Indirect),Y
zaq when b"1110_0100_001", -- CPX / Zero Page
aqd when b"1110_1100_010", -- CPX / Absolute
zaq when b"1100_0100_001", -- CPY / Zero Page
aqd when b"1100_1100_010", -- CPY / Absolute
zaq when b"1100_0110_001", -- DEC / Zero Page
zvl when b"1100_0110_010", -- DEC / Zero Page
zvl when b"1100_0110_011", -- DEC / Zero Page
zaq when b"1101_0110_001", -- DEC / Zero Page,X
zaq when b"1101_0110_010", -- DEC / Zero Page,X
zvl when b"1101_0110_011", -- DEC / Zero Page,X
zvl when b"1101_0110_100", -- DEC / Zero Page,X
aqd when b"1100_1110_010", -- DEC / Absolute
adv when b"1100_1110_011", -- DEC / Absolute
adv when b"1100_1110_100", -- DEC / Absolute
daq when b"1101_1110_010", -- DEC / Absolute,X
aqd when b"1101_1110_011", -- DEC / Absolute,X
adv when b"1101_1110_100", -- DEC / Absolute,X
adv when b"1101_1110_101", -- DEC / Absolute,X
zaq when b"0100_0101_001", -- EOR / Zero Page
zaq when b"0101_0101_001", -- EOR / Zero Page,X
zaq when b"0101_0101_010", -- EOR / Zero Page,X
aqd when b"0100_1101_010", -- EOR / Absolute
daq when b"0101_1101_010", -- EOR / Absolute,X
aqd when b"0101_1101_011", -- EOR / Absolute,X
daq when b"0101_1001_010", -- EOR / Absolute,Y
aqd when b"0101_1001_011", -- EOR / Absolute,Y
zaq when b"0100_0001_001", -- EOR / (Indirect,X)
zaq when b"0100_0001_010", -- EOR / (Indirect,X)
zaq when b"0100_0001_011", -- EOR / (Indirect,X)
daq when b"0100_0001_100", -- EOR / (Indirect,X)
zaq when b"0101_0001_001", -- EOR / (Indirect),Y
zaq when b"0101_0001_010", -- EOR / (Indirect),Y
daq when b"0101_0001_011", -- EOR / (Indirect),Y
aqd when b"0101_0001_100", -- EOR / (Indirect),Y
zaq when b"1110_0110_001", -- INC / Zero Page
zvl when b"1110_0110_010", -- INC / Zero Page
zvl when b"1110_0110_011", -- INC / Zero Page
zaq when b"1111_0110_001", -- INC / Zero Page,X
zaq when b"1111_0110_010", -- INC / Zero Page,X
zvl when b"1111_0110_011", -- INC / Zero Page,X
zvl when b"1111_0110_100", -- INC / Zero Page,X
aqd when b"1110_1110_010", -- INC / Absolute
adv when b"1110_1110_011", -- INC / Absolute
adv when b"1110_1110_100", -- INC / Absolute
daq when b"1111_1110_010", -- INC / Absolute,X
aqd when b"1111_1110_011", -- INC / Absolute,X
adv when b"1111_1110_100", -- INC / Absolute,X
adv when b"1111_1110_101", -- INC / Absolute,X
oad when b"0000_0011_001", -- INT / Implied
oad when b"0000_0011_010", -- INT / Implied
oad when b"0000_0011_011", -- INT / Implied
vaq when b"0000_0011_100", -- INT / Implied
vaq when b"0000_0011_101", -- INT / Implied
daq when b"0110_1100_010", -- JMP / Indirect
vaq when b"0110_1100_011", -- JMP / Indirect
oaq when b"0010_0000_001", -- JSR / Absolute
oaq when b"0010_0000_010", -- JSR / Absolute
oaq when b"0010_0000_011", -- JSR / Absolute
zaq when b"1010_0101_001", -- LDA / Zero Page
zaq when b"1011_0101_001", -- LDA / Zero Page,X
zaq when b"1011_0101_010", -- LDA / Zero Page,X
aqd when b"1010_1101_010", -- LDA / Absolute
daq when b"1011_1101_010", -- LDA / Absolute,X
aqd when b"1011_1101_011", -- LDA / Absolute,X
daq when b"1011_1001_010", -- LDA / Absolute,Y
aqd when b"1011_1001_011", -- LDA / Absolute,Y
zaq when b"1010_0001_001", -- LDA / (Indirect,X)
zaq when b"1010_0001_010", -- LDA / (Indirect,X)
zaq when b"1010_0001_011", -- LDA / (Indirect,X)
daq when b"1010_0001_100", -- LDA / (Indirect,X)
zaq when b"1011_0001_001", -- LDA / (Indirect),Y
zaq when b"1011_0001_010", -- LDA / (Indirect),Y
daq when b"1011_0001_011", -- LDA / (Indirect),Y
aqd when b"1011_0001_100", -- LDA / (Indirect),Y
zaq when b"1010_0110_001", -- LDX / Zero Page
zaq when b"1011_0110_001", -- LDX / Zero Page,Y
zaq when b"1011_0110_010", -- LDX / Zero Page,Y
aqd when b"1010_1110_010", -- LDX / Absolute
daq when b"1011_1110_010", -- LDX / Absolute,Y
aqd when b"1011_1110_011", -- LDX / Absolute,Y
zaq when b"1010_0100_001", -- LDY / Zero Page
zaq when b"1011_0100_001", -- LDY / Zero Page,X
zaq when b"1011_0100_010", -- LDY / Zero Page,X
aqd when b"1010_1100_010", -- LDY / Absolute
daq when b"1011_1100_010", -- LDY / Absolute,X
aqd when b"1011_1100_011", -- LDY / Absolute,X
zaq when b"0100_0110_001", -- LSR / Zero Page
zvl when b"0100_0110_010", -- LSR / Zero Page
zvl when b"0100_0110_011", -- LSR / Zero Page
zaq when b"0101_0110_001", -- LSR / Zero Page,X
zaq when b"0101_0110_010", -- LSR / Zero Page,X
zvl when b"0101_0110_011", -- LSR / Zero Page,X
zvl when b"0101_0110_100", -- LSR / Zero Page,X
aqd when b"0100_1110_010", -- LSR / Absolute
adv when b"0100_1110_011", -- LSR / Absolute
adv when b"0100_1110_100", -- LSR / Absolute
daq when b"0101_1110_010", -- LSR / Absolute,X
aqd when b"0101_1110_011", -- LSR / Absolute,X
adv when b"0101_1110_100", -- LSR / Absolute,X
adv when b"0101_1110_101", -- LSR / Absolute,X
oad when b"0000_0100_001", -- NMI / Implied
oad when b"0000_0100_010", -- NMI / Implied
oad when b"0000_0100_011", -- NMI / Implied
vaq when b"0000_0100_100", -- NMI / Implied
vaq when b"0000_0100_101", -- NMI / Implied
zaq when b"0000_0101_001", -- ORA / Zero Page
zaq when b"0001_0101_001", -- ORA / Zero Page,X
zaq when b"0001_0101_010", -- ORA / Zero Page,X
aqd when b"0000_1101_010", -- ORA / Absolute
daq when b"0001_1101_010", -- ORA / Absolute,X
aqd when b"0001_1101_011", -- ORA / Absolute,X
daq when b"0001_1001_010", -- ORA / Absolute,Y
aqd when b"0001_1001_011", -- ORA / Absolute,Y
zaq when b"0000_0001_001", -- ORA / (Indirect,X)
zaq when b"0000_0001_010", -- ORA / (Indirect,X)
zaq when b"0000_0001_011", -- ORA / (Indirect,X)
daq when b"0000_0001_100", -- ORA / (Indirect,X)
zaq when b"0001_0001_001", -- ORA / (Indirect),Y
zaq when b"0001_0001_010", -- ORA / (Indirect),Y
daq when b"0001_0001_011", -- ORA / (Indirect),Y
aqd when b"0001_0001_100", -- ORA / (Indirect),Y
oaq when b"0100_1000_001", -- PHA / Implied
oaq when b"0000_1000_001", -- PHP / Implied
oaq when b"0110_1000_001", -- PLA / Implied
oaq when b"0110_1000_010", -- PLA / Implied
oaq when b"0010_1000_001", -- PLP / Implied
oaq when b"0010_1000_010", -- PLP / Implied
zaq when b"0010_0110_001", -- ROL / Zero Page
zvl when b"0010_0110_010", -- ROL / Zero Page
zvl when b"0010_0110_011", -- ROL / Zero Page
zaq when b"0011_0110_001", -- ROL / Zero Page,X
zaq when b"0011_0110_010", -- ROL / Zero Page,X
zvl when b"0011_0110_011", -- ROL / Zero Page,X
zvl when b"0011_0110_100", -- ROL / Zero Page,X
aqd when b"0010_1110_010", -- ROL / Absolute
adv when b"0010_1110_011", -- ROL / Absolute
adv when b"0010_1110_100", -- ROL / Absolute
daq when b"0011_1110_010", -- ROL / Absolute,X
aqd when b"0011_1110_011", -- ROL / Absolute,X
adv when b"0011_1110_100", -- ROL / Absolute,X
adv when b"0011_1110_101", -- ROL / Absolute,X
zaq when b"0110_0110_001", -- ROR / Zero Page
zvl when b"0110_0110_010", -- ROR / Zero Page
zvl when b"0110_0110_011", -- ROR / Zero Page
zaq when b"0111_0110_001", -- ROR / Zero Page,X
zaq when b"0111_0110_010", -- ROR / Zero Page,X
zvl when b"0111_0110_011", -- ROR / Zero Page,X
zvl when b"0111_0110_100", -- ROR / Zero Page,X
aqd when b"0110_1110_010", -- ROR / Absolute
adv when b"0110_1110_011", -- ROR / Absolute
adv when b"0110_1110_100", -- ROR / Absolute
daq when b"0111_1110_010", -- ROR / Absolute,X
aqd when b"0111_1110_011", -- ROR / Absolute,X
adv when b"0111_1110_100", -- ROR / Absolute,X
adv when b"0111_1110_101", -- ROR / Absolute,X
vaq when b"0000_0010_001", -- RST / Implied
vaq when b"0000_0010_010", -- RST / Implied
oaq when b"0100_0000_001", -- RTI / Implied
oaq when b"0100_0000_010", -- RTI / Implied
oaq when b"0100_0000_011", -- RTI / Implied
oaq when b"0100_0000_100", -- RTI / Implied
oaq when b"0110_0000_001", -- RTS / Implied
oaq when b"0110_0000_010", -- RTS / Implied
oaq when b"0110_0000_011", -- RTS / Implied
zaq when b"1110_0101_001", -- SBC / Zero Page
zaq when b"1111_0101_001", -- SBC / Zero Page,X
zaq when b"1111_0101_010", -- SBC / Zero Page,X
aqd when b"1110_1101_010", -- SBC / Absolute
daq when b"1111_1101_010", -- SBC / Absolute,X
aqd when b"1111_1101_011", -- SBC / Absolute,X
daq when b"1111_1001_010", -- SBC / Absolute,Y
aqd when b"1111_1001_011", -- SBC / Absolute,Y
zaq when b"1110_0001_001", -- SBC / (Indirect,X)
zaq when b"1110_0001_010", -- SBC / (Indirect,X)
zaq when b"1110_0001_011", -- SBC / (Indirect,X)
daq when b"1110_0001_100", -- SBC / (Indirect,X)
zaq when b"1111_0001_001", -- SBC / (Indirect),Y
zaq when b"1111_0001_010", -- SBC / (Indirect),Y
daq when b"1111_0001_011", -- SBC / (Indirect),Y
aqd when b"1111_0001_100", -- SBC / (Indirect),Y
zaq when b"1000_0101_001", -- STA / Zero Page
zaq when b"1001_0101_001", -- STA / Zero Page,X
zaq when b"1001_0101_010", -- STA / Zero Page,X
aqd when b"1000_1101_010", -- STA / Absolute
daq when b"1001_1101_010", -- STA / Absolute,X
aqd when b"1001_1101_011", -- STA / Absolute,X
daq when b"1001_1001_010", -- STA / Absolute,Y
aqd when b"1001_1001_011", -- STA / Absolute,Y
zaq when b"1000_0001_001", -- STA / (Indirect,X)
zaq when b"1000_0001_010", -- STA / (Indirect,X)
zaq when b"1000_0001_011", -- STA / (Indirect,X)
daq when b"1000_0001_100", -- STA / (Indirect,X)
zaq when b"1001_0001_001", -- STA / (Indirect),Y
zaq when b"1001_0001_010", -- STA / (Indirect),Y
daq when b"1001_0001_011", -- STA / (Indirect),Y
aqd when b"1001_0001_100", -- STA / (Indirect),Y
zaq when b"1000_0110_001", -- STX / Zero Page
zaq when b"1001_0110_001", -- STX / Zero Page,Y
zaq when b"1001_0110_010", -- STX / Zero Page,Y
aqd when b"1000_1110_010", -- STX / Absolute
zaq when b"1000_0100_001", -- STY / Zero Page
zaq when b"1001_0100_001", -- STY / Zero Page,X
zaq when b"1001_0100_010", -- STY / Zero Page,X
aqd when b"1000_1100_010", -- STY / Absolute
nop when others;
-- Branching
o_branch_at_cycle_1 <= (s_addr(7 downto 0) = b"1_0000_001");
o_branch_at_cycle_2 <= (s_addr(7 downto 0) = b"1_0000_010");
s_addr <= std_ulogic_vector(i_opcode & i_cycle);
end;
| gpl-3.0 | 58e58a159dfaa0e6788ab3a61736aebf | 0.628213 | 2.725341 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd/gcd.srcs/sources_1/bd/gcd_block_design/ip/gcd_block_design_rst_ps7_0_100M_0/synth/gcd_block_design_rst_ps7_0_100M_0.vhd | 1 | 8,190 | -- (c) Copyright 1995-2019 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY proc_sys_reset_v5_0_12;
USE proc_sys_reset_v5_0_12.proc_sys_reset;
ENTITY gcd_block_design_rst_ps7_0_100M_0 IS
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END gcd_block_design_rst_ps7_0_100M_0;
ARCHITECTURE gcd_block_design_rst_ps7_0_100M_0_arch OF gcd_block_design_rst_ps7_0_100M_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF gcd_block_design_rst_ps7_0_100M_0_arch: ARCHITECTURE IS "yes";
COMPONENT proc_sys_reset IS
GENERIC (
C_FAMILY : STRING;
C_EXT_RST_WIDTH : INTEGER;
C_AUX_RST_WIDTH : INTEGER;
C_EXT_RESET_HIGH : STD_LOGIC;
C_AUX_RESET_HIGH : STD_LOGIC;
C_NUM_BUS_RST : INTEGER;
C_NUM_PERP_RST : INTEGER;
C_NUM_INTERCONNECT_ARESETN : INTEGER;
C_NUM_PERP_ARESETN : INTEGER
);
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT proc_sys_reset;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF gcd_block_design_rst_ps7_0_100M_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2018.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF gcd_block_design_rst_ps7_0_100M_0_arch : ARCHITECTURE IS "gcd_block_design_rst_ps7_0_100M_0,proc_sys_reset,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF gcd_block_design_rst_ps7_0_100M_0_arch: ARCHITECTURE IS "gcd_block_design_rst_ps7_0_100M_0,proc_sys_reset,{x_ipProduct=Vivado 2018.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=12,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER OF peripheral_aresetn: SIGNAL IS "XIL_INTERFACENAME peripheral_low_rst, POLARITY ACTIVE_LOW, TYPE PERIPHERAL";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF interconnect_aresetn: SIGNAL IS "XIL_INTERFACENAME interconnect_low_rst, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF peripheral_reset: SIGNAL IS "XIL_INTERFACENAME peripheral_high_rst, POLARITY ACTIVE_HIGH, TYPE PERIPHERAL";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF bus_struct_reset: SIGNAL IS "XIL_INTERFACENAME bus_struct_reset, POLARITY ACTIVE_HIGH, TYPE INTERCONNECT";
ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF mb_reset: SIGNAL IS "XIL_INTERFACENAME mb_rst, POLARITY ACTIVE_HIGH, TYPE PROCESSOR";
ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF mb_debug_sys_rst: SIGNAL IS "XIL_INTERFACENAME dbg_reset, POLARITY ACTIVE_HIGH";
ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF aux_reset_in: SIGNAL IS "XIL_INTERFACENAME aux_reset, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF ext_reset_in: SIGNAL IS "XIL_INTERFACENAME ext_reset, BOARD.ASSOCIATED_PARAM RESET_BOARD_INTERFACE, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF slowest_sync_clk: SIGNAL IS "XIL_INTERFACENAME clock, ASSOCIATED_RESET mb_reset:bus_struct_reset:interconnect_aresetn:peripheral_aresetn:peripheral_reset, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0";
ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
BEGIN
U0 : proc_sys_reset
GENERIC MAP (
C_FAMILY => "zynq",
C_EXT_RST_WIDTH => 4,
C_AUX_RST_WIDTH => 4,
C_EXT_RESET_HIGH => '0',
C_AUX_RESET_HIGH => '0',
C_NUM_BUS_RST => 1,
C_NUM_PERP_RST => 1,
C_NUM_INTERCONNECT_ARESETN => 1,
C_NUM_PERP_ARESETN => 1
)
PORT MAP (
slowest_sync_clk => slowest_sync_clk,
ext_reset_in => ext_reset_in,
aux_reset_in => aux_reset_in,
mb_debug_sys_rst => mb_debug_sys_rst,
dcm_locked => dcm_locked,
mb_reset => mb_reset,
bus_struct_reset => bus_struct_reset,
peripheral_reset => peripheral_reset,
interconnect_aresetn => interconnect_aresetn,
peripheral_aresetn => peripheral_aresetn
);
END gcd_block_design_rst_ps7_0_100M_0_arch;
| mit | 7775bff6113e58bd437c29a5aaa88572 | 0.730281 | 3.497011 | false | false | false | false |
besm6/micro-besm | tests/2901/vhdl/funct_blocks_alg_beh/components/alu_inputs/test_vectors.vhdl | 1 | 4,612 | --------------------------------------------------------------------------------
--
-- AM2901 Benchmark
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Jan 1, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept 17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept 17, 92 ZYCAD
--------------------------------------------------------------------------------
--library ZYCAD;
use work.TYPES.all;
use work.MVL7_functions.all;
entity E is
end;
architecture A of E is
component alu_inputs_inst
port (
Aadd, Badd : in integer;
Q, D : in MVL7_vector(3 downto 0);
I : in MVL7_vector(8 downto 0);
RE, S : out MVL7_vector(3 downto 0)
);
end component;
signal Aadd, Badd : integer := 0;
signal Q, D : MVL7_vector(3 downto 0);
signal I : MVL7_vector(8 downto 0);
signal RE, S : MVL7_vector(3 downto 0);
for all : alu_inputs_inst use entity work.alu_inputs(alu_inputs);
begin
alu_inputs_inst1 : alu_inputs_inst port map(
Aadd, Badd,
Q, D,
I,
RE, S
);
process
begin
----------------------------------------------------------------------------
Aadd <= 0; -- #1#
Badd <= 1;
D <= "0001"; -- Select A for RE and Q for S
Q <= "0010";
I <= "000000000";
wait for 1 ns;
assert (RE = "1000")
report
"Assert 1 : < RE /= '1000'> "
severity warning;
assert (S = "0010")
report
"Assert 2 : < S /= '0010'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
Aadd <= 0; -- #2#
Badd <= 1;
D <= "0001";-- Select A for RE and B for S
Q <= "0010";
I <= "000000001";
wait for 1 ns;
assert (RE = "1000")
report
"Assert 1a : < RE /= '1000'> "
severity warning;
assert (S = "0100")
report
"Assert 2a : < S /= '0100'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
Aadd <= 0; -- #3#
Badd <= 1;
D <= "0001";-- Select 0 for RE and Q for S
Q <= "0010";
I <= "000000010";
wait for 1 ns;
assert (RE = "0000")
report
"Assert 1b : < RE /= '0000'> "
severity warning;
assert (S = "0010")
report
"Assert 2b : < S /= '0010'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
Aadd <= 0; -- #4#
Badd <= 1;
D <= "0001";-- Select 0 for RE and B for S
Q <= "0010";
I <= "000000011";
wait for 1 ns;
assert (RE = "0000")
report
"Assert 1c : < RE /= '0000'> "
severity warning;
assert (S = "0100")
report
"Assert 2c : < S /= '0100'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
Aadd <= 0; -- #5#
Badd <= 1;
D <= "0001";-- Select 0 for RE and A for S
Q <= "0010";
I <= "000000100";
wait for 1 ns;
assert (RE = "0000")
report
"Assert 1d : < RE /= '0000'> "
severity warning;
assert (S = "1000")
report
"Assert 2d : < S /= '1000'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
Aadd <= 0; -- #6#
Badd <= 1;
D <= "0001";-- Select D for RE and A for S
Q <= "0010";
I <= "000000101";
wait for 1 ns;
assert (RE = "0001")
report
"Assert 1e : < RE /= '0001'> "
severity warning;
assert (S = "1000")
report
"Assert 2e : < S /= '1000'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
Aadd <= 0; -- #7#
Badd <= 1;
D <= "0001";-- Select D for RE and Q for S
Q <= "0010";
I <= "000000110";
wait for 1 ns;
assert (RE = "0001")
report
"Assert 1f : < RE /= '0001'> "
severity warning;
assert (S = "0010")
report
"Assert 2f : < S /= '0010'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
Aadd <= 0; -- #8#
Badd <= 1;
D <= "0001";-- Select D for RE and 0 for S
Q <= "0010";
I <= "000000111";
wait for 1 ns;
assert (RE = "0001")
report
"Assert 1g : < RE /= '0001'> "
severity warning;
assert (S = "0000")
report
"Assert 2g : < S /= '0000'> "
severity warning;
wait for 1 ns;
----------------------------------------------------------------------------
end process;
end A;
| mit | c97175447da8c36af043caa22fc738d4 | 0.432134 | 3.483384 | false | false | false | false |
besm6/micro-besm | tests/2910/vhdl/funct_block_alg_beh/components/control/control.vhdl | 1 | 4,056 | --------------------------------------------------------------------------------
--
-- AMD 2910 Benchmark (Functional blocks) (Algorithmic Behaviour of Funct blocks)
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Feb 19, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept17, 92 ZYCAD
--------------------------------------------------------------------------------
--library ZYCAD;
use work.types.all;
use work.MVL7_functions.all;
use work.synthesis_types.all;
entity control is
port (
I : in MVL7_VECTOR(3 downto 0);
CCEN_BAR : in MVL7;
CC_BAR : in MVL7;
Rzero_bar : in MVL7;
PL_BAR : out MVL7;
VECT_BAR : out MVL7;
MAP_BAR : out MVL7;
R_sel : out MVL7;
D_sel : out MVL7;
uPC_sel : out MVL7;
stack_sel : out MVL7;
decr : out MVL7;
load : out MVL7;
clear : out MVL7;
push : out MVL7;
pop : out MVL7
);
end control;
architecture control of control is
begin
------------------------------------------------------------------------------
ctrl:block
signal fail : MVL7;
begin
fail <= cc_bar and not(ccen_bar);
D_sel <= '1' WHEN ( I = "0010") or
( Rzero_bar = '1' and I = "1001") or
( Rzero_bar = '0' and fail = '1' and I = "1111") or
( ( fail = '0') and
( ( I = "0001" ) or ( I = "0011" ) or
( I = "0101" ) or ( I = "0110" ) or
( I = "0111" ) or ( I = "1011" )
)
) ELSE
'0';
uPC_sel <= '1' WHEN ( I = "0100" ) or ( I = "1100" ) or ( I = "1110") or
( ( fail = '1') and ( ( I = "0001" ) or ( I = "0011" ) or
( I = "0110" ) or ( I = "1010" ) or
( I = "1011" ) or ( I = "1110" )
)
) or
( ( Rzero_bar = '0') and
( ( I = "1000" ) or ( I = "1001") )
) or
( (fail = '0') and
( ( I = "1111" ) or ( I = "1101") )
) ELSE
'0';
stack_sel <= '1' WHEN ( Rzero_bar = '1' and I = "1000") or
( fail = '0' and I = "1010") or
( fail = '1' and I = "1101") or
( Rzero_bar = '1' and fail = '1' and I = "1111") ELSE
'0';
R_sel <= '1' WHEN (( fail = '1') and (( I = "0101" ) or ( I = "0111"))) ELSE
'0';
push <= '1' WHEN ( (fail = '0') and ( I = "0001") ) or
( I = "0100" ) or
( I = "0101") ELSE
'0';
pop <= '1' WHEN ( (fail = '0') and ( ( I = "1010" ) or ( I = "1011" ) or
( I = "1101" ) or ( I = "1111" )
)
) or
( (Rzero_bar = '0') and
( (I = "1000" ) or ( I = "1111") )
) ELSE
'0';
load <= '1' WHEN ( (I = "1100") or
( I = "0100" and fail = '0')) ELSE
'0';
decr <= '1' WHEN ( (Rzero_bar = '1') and
( (I = "1000" ) or ( I = "1001" ) or ( I = "1111") )
) ELSE
'0';
MAP_BAR <= '0' WHEN I = "0010" ELSE
'1';
VECT_BAR <= '0' WHEN I = "0110" ELSE
'1';
PL_BAR <= '1' WHEN ( I = "0010" ) or ( I = "0110") ELSE
'0';
clear <= '1' WHEN I = "0000" ELSE
'0';
end block ctrl;
------------------------------------------------------------------------------
end control;
| mit | 9c3170fea22ac4e0ba19a06ecbc241c4 | 0.340483 | 3.637668 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/iir/bq/filter_mac_mem_controller.vhd | 1 | 6,155 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use work.filter_shared_package.all;
entity filter_mac_mem_controller is
generic
(
BIQUADS : natural := B;
MAC_FILTER_CH : natural := MC; -- MAC operations per channel for Main filter operation
RMS_CH_EN : natural := RMS; -- Enable flag for RMS function. 0-disabled 1- enabled.
MEAN_CH_EN : natural := MEAN; -- Enable flag for MEAN function. 0-disabled 1- enabled.
CHANNELS : natural := C
);
port
(
cnt_delay_mac : in std_logic_vector(natural(ceil(log2(real(MAC_FILTER_CH+RMS_CH_EN+MEAN_CH_EN))))-1 downto 0);
cnt_delay_ch : in std_logic_vector(natural(ceil(log2(real(CHANNELS))))-1 downto 0);
cnt_acc_mac : in std_logic_vector(natural(ceil(log2(real(MAC_FILTER_CH+RMS_CH_EN+MEAN_CH_EN))))-1 downto 0);
cnt_acc_ch : in std_logic_vector(natural(ceil(log2(real(CHANNELS))))-1 downto 0);
valid_delay : in std_logic;
valid_acc : in std_logic;
zero_acc_misc : in std_logic;
acc_rdaddr : out ACC_ADD_T;
acc_rden : out std_logic;
acc_wraddr : out ACC_ADD_T;
acc_wren : out std_logic;
zero_acc : out std_logic
);
end filter_mac_mem_controller;
architecture behaviour of filter_mac_mem_controller is
constant MAC_BIQUAD : natural := MAC_FILTER_CH/BIQUADS;
signal filter_delay_s : std_logic_vector(natural(ceil(log2(real(MOD_ACC_PAGES))))-1 downto 0);
signal filter_acc_s : std_logic_vector(natural(ceil(log2(real(MOD_ACC_PAGES))))-1 downto 0);
signal zero_acc_filter_s : std_logic;
begin
-- read and write memory page
Read_Write_Page_RMS_MEAN : if RMS_CH_EN /= 0 and MEAN_CH_EN /= 0 generate
process(cnt_acc_mac,cnt_delay_mac)
variable tmp_cnt_acc_mac : unsigned(cnt_acc_mac'range);
variable tmp_cnt_delay_mac : unsigned(cnt_delay_mac'range);
variable tmp_filter_acc : std_logic_vector(filter_acc_s'range);
variable tmp_filter_delay : std_logic_vector(filter_delay_s'range);
begin
tmp_cnt_acc_mac := unsigned(cnt_acc_mac);
tmp_cnt_delay_mac := unsigned(cnt_delay_mac);
if tmp_cnt_acc_mac <= MAC_FILTER_CH - 1 then tmp_filter_acc := (others => '0');
elsif tmp_cnt_acc_mac = MAC_FILTER_CH then tmp_filter_acc := "01";
elsif tmp_cnt_acc_mac = MAC_FILTER_CH + 1 then tmp_filter_acc := "10";
else tmp_filter_acc := "--";
end if;
if tmp_cnt_delay_mac <= MAC_FILTER_CH - 1 then tmp_filter_delay := (others => '0');
elsif tmp_cnt_delay_mac = MAC_FILTER_CH then tmp_filter_delay := "01";
elsif tmp_cnt_delay_mac = MAC_FILTER_CH + 1 then tmp_filter_delay := "10";
else tmp_filter_delay := "--";
end if;
filter_acc_s <= tmp_filter_acc;
filter_delay_s <= tmp_filter_delay;
end process;
end generate;
Read_Write_Page_RMS_Or_MEAN: if ((RMS_CH_EN /= 0 and MEAN_CH_EN = 0) or (RMS_CH_EN = 0 and MEAN_CH_EN /= 0)) generate
process(cnt_acc_mac,cnt_delay_mac)
variable tmp_cnt_acc_mac : unsigned(cnt_acc_mac'range);
variable tmp_cnt_delay_mac : unsigned(cnt_delay_mac'range);
variable tmp_filter_acc : std_logic_vector(filter_acc_s'range);
variable tmp_filter_delay : std_logic_vector(filter_delay_s'range);
begin
tmp_cnt_acc_mac := unsigned(cnt_acc_mac);
tmp_cnt_delay_mac := unsigned(cnt_delay_mac);
if tmp_cnt_acc_mac <= MAC_FILTER_CH - 1 then tmp_filter_acc := (others => '0');
elsif tmp_cnt_acc_mac = MAC_FILTER_CH then tmp_filter_acc := "1"; -- RMS or MEAN
else tmp_filter_acc := "-";
end if;
if tmp_cnt_delay_mac <= MAC_FILTER_CH - 1 then tmp_filter_delay := (others => '0');
elsif tmp_cnt_delay_mac = MAC_FILTER_CH then tmp_filter_delay := "1"; -- RMS or MEAN
else tmp_filter_delay := "-";
end if;
filter_acc_s <= tmp_filter_acc;
filter_delay_s <= tmp_filter_delay;
end process;
end generate;
Read_Write_Page: if (RMS_CH_EN = 0 and MEAN_CH_EN = 0) generate
filter_acc_s <= (others => '0');
filter_delay_s <= (others => '0');
end generate;
-- read interface
acc_rdaddr <= std_logic_vector(resize(unsigned(filter_acc_s) * to_unsigned(CHANNELS,cnt_acc_ch'length), acc_wraddr'length) + unsigned(cnt_acc_ch)); -- p*C + c
acc_rden <= valid_acc;
-- write interface
acc_wraddr <= std_logic_vector(resize(unsigned(filter_delay_s) * to_unsigned(CHANNELS,cnt_delay_ch'length), acc_wraddr'length) + unsigned(cnt_delay_ch)); -- p*C + c
acc_wren <= valid_delay;
-- Zero the accumulator
Zero_Acc_Filter : process(cnt_delay_mac)
variable tmp_cnt_delay_mac : unsigned(cnt_delay_mac'range);
variable tmp_zero_acc_filter : std_logic;
begin
tmp_cnt_delay_mac := unsigned(cnt_delay_mac)+1;
tmp_zero_acc_filter := '0';
-- end of biquad 0,1,2,3,4...
if(((tmp_cnt_delay_mac) mod (MAC_BIQUAD) = 0) and tmp_cnt_delay_mac <= MAC_FILTER_CH) then
tmp_zero_acc_filter := '1';
end if;
zero_acc_filter_s <= tmp_zero_acc_filter;
end process Zero_Acc_Filter;
zero_acc <= zero_acc_filter_s or zero_acc_misc;
end behaviour;
| mit | 607a7208c98c338d2d7aa206ced96ca6 | 0.533225 | 3.533295 | false | false | false | false |
astoria-d/super-duper-nes | duper_cartridge/simulation/nes2bbb_testbench.vhd | 1 | 10,610 | library IEEE;
use IEEE.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.conv_std_logic_vector;
use ieee.std_logic_unsigned.all;
entity nes2bbb_testbench is
end nes2bbb_testbench;
architecture stimulus of nes2bbb_testbench is
constant powerup_time : time := 1500 ns;
constant reset_time : time := 1800 ns;
--DE1 base clock = 50 MHz
constant base_clock_time : time := 20 ns;
--i2c normal clock speed 100 KHz
constant i2c_clock_time : time := 10 us;
--i2c clock timing..
constant i2c_clk_0 : std_logic_vector(1 downto 0) := "00";
constant i2c_clk_1 : std_logic_vector(1 downto 0) := "01";
constant i2c_clk_2 : std_logic_vector(1 downto 0) := "10";
constant i2c_clk_3 : std_logic_vector(1 downto 0) := "11";
---https://wiki.nesdev.com/w/index.php/Clock_rate
--nes cpu clock = 1.789773 MHz
constant nes_clock_time : time := 558 ns;
constant bus_cycle : integer := 3;
---fifo status register
---bit
---7 always 0
---6 always 0
---5 read fifo full
---4 read fifo empty
---3 always 0
---2 always 0
---1 write fifo full
---0 write fifo empty
constant wfifo_empty_bit : integer := 0;
constant wfifo_full_bit : integer := 1;
constant rfifo_empty_bit : integer := 4;
constant rfifo_full_bit : integer := 5;
constant i2c_read : std_logic := '1';
constant i2c_write : std_logic := '0';
component duper_cartridge
port (
pi_reset_n : in std_logic;
pi_base_clk : in std_logic;
--nes side
pi_phi2 : in std_logic;
pi_prg_ce_n : in std_logic;
pi_prg_r_nw : in std_logic;
pi_prg_addr : in std_logic_vector(14 downto 0);
pio_prg_data : inout std_logic_vector(7 downto 0);
pi_chr_ce_n : in std_logic;
pi_chr_oe_n : in std_logic;
pi_chr_we_n : in std_logic;
pi_chr_addr : in std_logic_vector(12 downto 0);
po_chr_data : out std_logic_vector(7 downto 0);
--i2c side
pi_i2c_scl : in std_logic;
pio_i2c_sda : inout std_logic;
--bbb gpio
po_nes_f_full : out std_logic;
po_bbb_f_empty : out std_logic;
po_dbg_cnt : out std_logic_vector (63 downto 0)
);
end component ;
signal reset_input : std_logic;
signal base_clk : std_logic;
signal phi2 : std_logic;
signal prg_ce_n : std_logic;
signal prg_r_nw : std_logic;
signal prg_addr : std_logic_vector(14 downto 0);
signal prg_data : std_logic_vector(7 downto 0);
signal chr_ce_n : std_logic;
signal chr_oe_n : std_logic;
signal chr_we_n : std_logic;
signal chr_addr : std_logic_vector(12 downto 0);
signal chr_data : std_logic_vector(7 downto 0);
signal i2c_scl : std_logic;
signal i2c_scl_x4 : std_logic;
signal i2c_scl_type : std_logic_vector(1 downto 0);
signal i2c_sda : std_logic;
signal nes_f_full : std_logic;
signal bbb_f_empty : std_logic;
signal dbg_cnt : std_logic_vector (63 downto 0);
signal reg_rom_data : std_logic_vector(7 downto 0);
signal reg_bbb_recv : std_logic_vector (7 downto 0);
signal start_scl : std_logic;
signal step_cnt : integer range 0 to 65535 := 0;
signal stage_cnt : integer range 0 to 65535 := 0;
signal i2c_step_cnt : integer range 0 to 65535 := 0;
signal addr_index : integer range 0 to 65535 := 0;
signal data_index : integer range 0 to 65535 := 0;
begin
---chrrom side disabled..
chr_ce_n <= 'Z';
chr_oe_n <= 'Z';
chr_we_n <= 'Z';
chr_addr <= (others => 'Z');
chr_data <= (others => 'Z');
sim_board : duper_cartridge port map (
reset_input,
base_clk,
phi2,
prg_ce_n,
prg_r_nw,
prg_addr,
prg_data,
chr_ce_n,
chr_oe_n,
chr_we_n,
chr_addr,
chr_data,
i2c_scl,
i2c_sda,
nes_f_full,
bbb_f_empty,
dbg_cnt);
--- input reset.
reset_p: process
begin
reset_input <= '1';
wait for powerup_time;
reset_input <= '0';
wait for reset_time;
reset_input <= '1';
wait;
end process;
--- generate base clock.
clock_p1 : process
begin
base_clk <= '1';
wait for base_clock_time / 2;
base_clk <= '0';
wait for base_clock_time / 2;
end process;
--- phi clock.
clock_phi : process
begin
phi2 <= '1';
wait for nes_clock_time / 2;
phi2 <= '0';
wait for nes_clock_time / 2;
end process;
--- i2c base clock.
clock_i2c_base : process
begin
i2c_scl_x4 <= '1';
wait for i2c_clock_time / 8;
i2c_scl_x4 <= '0';
wait for i2c_clock_time / 8;
end process;
--- i2c clock.
clock_i2c : process (reset_input, i2c_scl_x4)
begin
if (reset_input = '0') then
i2c_scl_type <= i2c_clk_0;
i2c_scl <= '1';
elsif (rising_edge(i2c_scl_x4)) then
i2c_scl_type <= i2c_scl_type + 1;
if(start_scl = '1') then
if (i2c_scl_type = i2c_clk_0) then
i2c_scl <= '1';
elsif (i2c_scl_type = i2c_clk_2) then
i2c_scl <= '0';
end if;
else
i2c_scl <= '1';
end if;
end if;
end process;
--- cpu bus emulation...
emu_cpu : process (reset_input, phi2)
procedure mem_write
(
addr : in std_logic_vector (14 downto 0);
data : in std_logic_vector (7 downto 0)
) is
begin
prg_ce_n <= '0';
prg_r_nw <= '0';
prg_addr <= addr;
prg_data <= data;
end;
procedure bus_wait is
begin
prg_ce_n <= '1';
prg_r_nw <= 'Z';
prg_addr <= (others => 'Z');
prg_data <= (others => 'Z');
end;
begin
if (reset_input = '0') then
stage_cnt <= 0;
step_cnt <= 0;
elsif (rising_edge(phi2)) then
---stage 0: initialize....
if (stage_cnt = 0) then
if (step_cnt = 10) then
stage_cnt <= stage_cnt + 1;
step_cnt <= 0;
else
step_cnt <= step_cnt + 1;
end if;
---stage 1: rom write.....
elsif (stage_cnt = 1) then
if (step_cnt < 1300) then
if (step_cnt mod bus_cycle = 0) then
mem_write (conv_std_logic_vector(16#fff9#, 15), conv_std_logic_vector(16#de#, 8) + step_cnt);
else
bus_wait;
end if;
step_cnt <= step_cnt + 1;
else
bus_wait;
step_cnt <= step_cnt + 1;
-- step_cnt <= 0;
-- stage_cnt <= stage_cnt + 1;
end if;
end if;
end if;
end process;
--- i2c bus emulation...
i2c_cpu : process (reset_input, i2c_scl_x4)
procedure increment_cnt is
begin
if (i2c_scl_type = i2c_clk_0) then
i2c_step_cnt <= i2c_step_cnt + 1;
end if;
end;
procedure start_seq is
begin
if (i2c_scl_type = i2c_clk_1) then
i2c_sda <= '0';
end if;
end;
procedure output_addr
(
i : in integer;
addr : in std_logic_vector (6 downto 0)
) is
begin
if (i2c_scl_type = i2c_clk_3) then
i2c_sda <= addr(i);
end if;
end;
procedure set_rw
(
rw : in std_logic
) is
begin
if (i2c_scl_type = i2c_clk_3) then
i2c_sda <= rw;
end if;
end;
procedure ack_wait is
begin
if (i2c_scl_type = i2c_clk_3) then
i2c_sda <= 'Z';
end if;
end;
procedure send_ack is
begin
if (i2c_scl_type = i2c_clk_3) then
i2c_sda <= '0';
end if;
end;
procedure input_data
(
i : in integer
) is
begin
if (i2c_scl_type = i2c_clk_0 and (i >= 0 and i < 8)) then
reg_bbb_recv(i) <= i2c_sda;
end if;
end;
begin
if (reset_input = '0') then
i2c_step_cnt <= 0;
i2c_sda <= '1';
start_scl <= '0';
addr_index <= 0;
data_index <= 0;
elsif (rising_edge(i2c_scl_x4)) then
---stage 1: i2c read.....
if (stage_cnt = 1) then
increment_cnt;
if (i2c_step_cnt < 3) then
start_scl <= '1';
elsif (i2c_step_cnt = 3) then
addr_index <= i2c_step_cnt + 1;
elsif (i2c_step_cnt = addr_index) then
--start up seq...
start_seq;
--set i2c addr...
--addr output with write.....
--0x44 = 100 0101.
output_addr(6 - i2c_step_cnt + addr_index, conv_std_logic_vector(16#44#, 7));
elsif (i2c_step_cnt <= addr_index + 6) then
output_addr(6 - i2c_step_cnt + addr_index, conv_std_logic_vector(16#44#, 7));
elsif (i2c_step_cnt = addr_index + 7) then
set_rw(i2c_read);
elsif (i2c_step_cnt = addr_index + 8) then
--ack wait...
ack_wait;
data_index <= i2c_step_cnt + 1;
elsif (i2c_step_cnt = data_index + 9) then
if (i2c_scl_type = i2c_clk_3) then
---infinete repeat...
data_index <= data_index + 9;
i2c_sda <= 'Z';
end if;
elsif (i2c_step_cnt < data_index + 9) then
input_data(7 - i2c_step_cnt + data_index);
if (i2c_step_cnt = data_index + 8) then
send_ack;
end if;
end if;
end if;
end if;
end process;
end stimulus;
| apache-2.0 | b3ea01f7ddb5367be510637b63ea8ecd | 0.468238 | 3.311486 | false | false | false | false |
besm6/micro-besm | tests/2901/vhdl/funct_blocks_alg_beh/components/alu_inputs/alu_inputs.vhdl | 1 | 1,814 | --------------------------------------------------------------------------------
--
-- AM2901 Benchmark
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Jan 1, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept 17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept 17, 92 ZYCAD
--------------------------------------------------------------------------------
--library ZYCAD;
use work.types.all;
use work.MVL7_functions.all;
entity alu_inputs is
port (
Aadd, Badd : in integer;
Q, D : in MVL7_vector(3 downto 0);
I : in MVL7_vector(8 downto 0);
RE, S : out MVL7_vector(3 downto 0)
);
end alu_inputs;
architecture alu_inputs of alu_inputs is
type Memory is array (integer range <>) of MVL7_vector(3 downto 0);
signal RAM : Memory(15 downto 0) := (
("0000"),
("0000"),
("0000"),
("0000"),
("0000"),
("0000"),
("0000"),
("0000"),
("0000"),
("0000"),
("0000"),
("0000"),
("0000"),
("0000"),
("0100"),
("1000"));
signal A, B : MVL7_vector(3 downto 0);
begin
A <= RAM(Aadd);
B <= RAM(Badd);
-- SELECT THE SOURCE OPERANDS FOR ALU. SELECTED OPERANDS ARE "RE" AND "S".
with I(2 downto 0) select
RE <= A when "000" | "001",
"0000" when "010" | "011" | "100",
D when others;
with I(2 downto 0) select
S <= A when "100" | "101",
B when "001" | "011",
"0000" when "111",
Q when others;
end alu_inputs; | mit | 1f10b4ba4334ee3c5536980e71be87eb | 0.460309 | 3.570866 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/fir_picoblaze/fir_generic_transposed_filter.vhdl | 1 | 8,068 | -- Author: Varun Nagpal
-- Net Id: vxn180010
-- Microprocessor Systems Project
-- December, 6th 2018
--
-- Design: Generic Nth order (L = N+1 taps) Transposed Direct-form FIR-filter
-- IN:
-- n-bit sized Input samples
-- m-Bit sized coefficients
-- OUT:
-- n+m+log2(N+1)-1 bit size of output samples
--
-- Operation requires:
-- N = no. of additions
-- L = N+1 no. of taps or coefficients or multiplications
--
-- Filter must be reset everytime new coefficients have to be read
-- Once coefficients are read, input samples can be processed
-- Input and output sample is registered
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use ieee.std_logic_unsigned.all;
use work.fir_filter_shared_package.all;
-- Top level module
entity fir_generic_transposed_filter is
port (
-- Clock and asynchronous reset
clk : in std_logic;
rst : in std_logic;
-- Handshaking interface as sink
valid_x_in : in std_logic; -- Valid input sample when acting as sink
ready_x_out : out std_logic; -- Ready for input samples when acting as sink
valid_h_in : in std_logic; -- Valid coefficient input when acting as sink
ready_h_out : out std_logic; -- Ready for coefficients when acting as sink
-- Handshaking interface as source
valid_y_out : out std_logic; -- Valid output when acting as source
ready_y_in : in std_logic; -- Ready input when acting as source
-- Input samples & coefficients and Output samples
x_data_in : in signed(X_BIT_SIZE-1 downto 0); -- Input samples
h_data_in : in signed(H_BIT_SIZE-1 downto 0); -- Coefficients of filter
y_data_out : out signed(Y_BIT_SIZE-1 downto 0) -- Output samples
);
end fir_generic_transposed_filter;
architecture fir_rtl_arch of fir_generic_transposed_filter is
signal adder_mem_array : ADD_REG_ARRAY; -- array of L = N+1 memory (registers) blocks to store adder outputs
signal multiplier_sigs : MULT_SIG_ARRAY; -- array of L = N+1 multipler signals
signal coefficient_mem_array : COEFF_REG_ARRAY; -- array of L = N+1 memory (registers) blocks to store coefficients
signal coeff_cnt : integer range 0 to FIR_ORDER+1; -- counter for reading L = N+1 coefficients
signal coeff_cnt_next : integer range 0 to FIR_ORDER+1;
signal input_sample_mem : signed(x_data_in'RANGE); -- register to store input data sample
signal output_sample_mem : signed(y_data_out'RANGE); -- register to store output data sample
signal ready_h_out_reg : std_logic;
signal ready_x_out_reg : std_logic;
signal valid_y_out_reg : std_logic;
begin
-- shift coefficients
read_coefficients: process(clk, rst)
begin
if (rst = '1') then
for i in 0 to FIR_ORDER loop
coefficient_mem_array(i) <= ( others => '0' );
end loop;
elsif ( rising_edge(clk) ) then
if ( ready_h_out_reg = '1' and valid_h_in = '1' ) then
coefficient_mem_array(FIR_ORDER) <= h_data_in;
for i in FIR_ORDER-1 downto 0 loop
coefficient_mem_array(i) <= coefficient_mem_array(i+1);
end loop;
end if;
end if;
end process read_coefficients;
-- read one sample at a time
read_samples: process(clk, rst)
begin
if (rst = '1') then
input_sample_mem <= ( others => '0' );
elsif ( rising_edge(clk) ) then
if ( ready_x_out_reg = '1' and valid_x_in = '1' ) then
input_sample_mem <= x_data_in;
end if;
end if;
end process read_samples;
-- Generate N parallel signed adder (registered)
accumulate: process(clk, rst)
variable signvec : signed(EXTR_BIT_SIZE-1 downto 0) := ( others => '0' );
variable tempprod : signed(Y_BIT_SIZE-1 downto 0) := ( others => '0');
begin
if (rst = '1') then
for i in 0 to FIR_ORDER loop
adder_mem_array(i) <= ( others => '0');
end loop;
elsif ( rising_edge(clk) ) then
-- N = no. of register delays or additions
for i in 0 to FIR_ORDER-1 loop
-- sign extend before generating the adder
signvec := ( others => multiplier_sigs(i)( multiplier_sigs(i)'HIGH ) );
tempprod := signvec & multiplier_sigs(i);
-- generate adder
adder_mem_array(i) <= adder_mem_array(i+1) + tempprod;
end loop;
-- first multiplier result requires no adder and simply needs to be registered
signvec := ( others => multiplier_sigs(FIR_ORDER)( multiplier_sigs(FIR_ORDER)'HIGH ) );
tempprod := signvec & multiplier_sigs(FIR_ORDER);
adder_mem_array(FIR_ORDER) <= tempprod;
end if;
end process accumulate;
-- Generate L=N+1 parallel signed multipliers (combinational)
generate_multipliers: for i in 0 to FIR_ORDER generate
multiplier_sigs (i) <= coefficient_mem_array(i) * input_sample_mem;
end generate generate_multipliers;
-- handshake interface
handshake_mem: process(clk,rst)
begin
if ( rst = '1') then
-- On reset,
-- 1. ready to read coefficients
-- 2. not ready to read input sample and produce output sample
ready_h_out_reg <= '1';
ready_x_out_reg <= '0';
valid_y_out_reg <= '0';
elsif ( rising_edge(clk) ) then
if ( coeff_cnt = (FIR_ORDER+1) ) then
-- Once coefficients are read,
-- 1. not ready to read coefficients
-- 2. ready to read input sample and produce output sample
ready_h_out_reg <= '0';
ready_x_out_reg <= '1';
valid_y_out_reg <= '1';
end if;
end if;
end process;
valid_y_out <= valid_y_out_reg;
ready_x_out <= ready_x_out_reg;
ready_h_out <= ready_h_out_reg;
-- mod L=N+1 counter
coeff_read_counter: process(clk, rst)
begin
if ( rst = '1') then
coeff_cnt <= 0;
elsif ( rising_edge(clk) ) then
if ( ready_h_out_reg = '1' and valid_h_in = '1' ) then
coeff_cnt <= coeff_cnt_next;
end if;
end if;
end process coeff_read_counter;
-- Next state logic for mod L=N+1 counter
coeff_cnt_next <= 0 when ( coeff_cnt = ( FIR_ORDER + 1 ) ) else
coeff_cnt + 1;
-- mod L=N+1 counter
--coeff_read_counter: process(clk, rst)
--begin
-- if ( rst = '1') then
-- coeff_cnt <= 0;
-- elsif (clk'EVENT and clk = '1') then
-- if ( ready_h_out_reg = '1' and valid_h_in = '1' ) then
-- if ( coeff_cnt = FIR_ORDER ) then
-- coeff_cnt <= 0;
-- else
-- coeff_cnt <= coeff_cnt + 1;
-- end if;
-- end if;
-- end if;
--end process coeff_read_counter
-- output sample is registered output of last adder
y_data_out <= adder_mem_array(0) when valid_y_out_reg = '1' else ( others=>'0' );
end fir_rtl_arch; | mit | fa4a6d252fa7dcfdfacc8f0196622f91 | 0.519088 | 3.966568 | false | false | false | false |
natsutan/NPU | fpga_implement/npu8/npu8.srcs/sources_1/ip/mul17_16/sim/mul17_16.vhd | 1 | 4,802 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:mult_gen:12.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY mult_gen_v12_0_12;
USE mult_gen_v12_0_12.mult_gen_v12_0_12;
ENTITY mul17_16 IS
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(16 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(24 DOWNTO 0)
);
END mul17_16;
ARCHITECTURE mul17_16_arch OF mul17_16 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF mul17_16_arch: ARCHITECTURE IS "yes";
COMPONENT mult_gen_v12_0_12 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_MODEL_TYPE : INTEGER;
C_OPTIMIZE_GOAL : INTEGER;
C_XDEVICEFAMILY : STRING;
C_HAS_CE : INTEGER;
C_HAS_SCLR : INTEGER;
C_LATENCY : INTEGER;
C_A_WIDTH : INTEGER;
C_A_TYPE : INTEGER;
C_B_WIDTH : INTEGER;
C_B_TYPE : INTEGER;
C_OUT_HIGH : INTEGER;
C_OUT_LOW : INTEGER;
C_MULT_TYPE : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_CCM_IMP : INTEGER;
C_B_VALUE : STRING;
C_HAS_ZERO_DETECT : INTEGER;
C_ROUND_OUTPUT : INTEGER;
C_ROUND_PT : INTEGER
);
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(16 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
CE : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
P : OUT STD_LOGIC_VECTOR(24 DOWNTO 0)
);
END COMPONENT mult_gen_v12_0_12;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA";
BEGIN
U0 : mult_gen_v12_0_12
GENERIC MAP (
C_VERBOSITY => 0,
C_MODEL_TYPE => 0,
C_OPTIMIZE_GOAL => 1,
C_XDEVICEFAMILY => "kintexu",
C_HAS_CE => 0,
C_HAS_SCLR => 0,
C_LATENCY => 4,
C_A_WIDTH => 17,
C_A_TYPE => 1,
C_B_WIDTH => 16,
C_B_TYPE => 1,
C_OUT_HIGH => 32,
C_OUT_LOW => 8,
C_MULT_TYPE => 0,
C_CE_OVERRIDES_SCLR => 0,
C_CCM_IMP => 0,
C_B_VALUE => "10000001",
C_HAS_ZERO_DETECT => 0,
C_ROUND_OUTPUT => 0,
C_ROUND_PT => 0
)
PORT MAP (
CLK => CLK,
A => A,
B => B,
CE => '1',
SCLR => '0',
P => P
);
END mul17_16_arch;
| bsd-3-clause | 507e69d1f982d002a210a792b7e4e448 | 0.665764 | 3.578241 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd/gcd.cache/ip/2018.2/744763d6063ca1bf/gcd_block_design_auto_pc_1_sim_netlist.vhdl | 1 | 517,976 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Sun Sep 22 03:34:19 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ gcd_block_design_auto_pc_1_sim_netlist.vhdl
-- Design : gcd_block_design_auto_pc_1
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
port (
next_pending_r_reg_0 : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 10 downto 0 );
\m_axi_awaddr[11]\ : out STD_LOGIC;
\m_axi_awaddr[5]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \axaddr_incr[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 10 downto 0 );
signal \axaddr_incr_reg[11]_i_4_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[2]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal next_pending_r_i_5_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \m_axi_awaddr[11]_INST_0_i_1\ : label is "soft_lutpair113";
attribute SOFT_HLUTNM of \m_axi_awaddr[5]_INST_0_i_1\ : label is "soft_lutpair113";
begin
Q(0) <= \^q\(0);
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(10 downto 0) <= \^axaddr_incr_reg[11]_0\(10 downto 0);
\axlen_cnt_reg[2]_0\ <= \^axlen_cnt_reg[2]_0\;
\axaddr_incr[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_7\,
O => \axaddr_incr[0]_i_1_n_0\
);
\axaddr_incr[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(10),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_5\,
O => \axaddr_incr[10]_i_1_n_0\
);
\axaddr_incr[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \next\,
O => \axaddr_incr[11]_i_1_n_0\
);
\axaddr_incr[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(11),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_4\,
O => \axaddr_incr[11]_i_2_n_0\
);
\axaddr_incr[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_6\,
O => \axaddr_incr[1]_i_1_n_0\
);
\axaddr_incr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_5\,
O => \axaddr_incr[2]_i_1_n_0\
);
\axaddr_incr[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_4\,
O => \axaddr_incr[3]_i_1_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"0102"
)
port map (
I0 => \m_payload_i_reg[46]\(0),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(3),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(2),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(1),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"262A"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"060A"
)
port map (
I0 => \m_payload_i_reg[46]\(1),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
I3 => \next\,
O => S(1)
);
\axaddr_incr[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(4),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_7\,
O => \axaddr_incr[4]_i_1_n_0\
);
\axaddr_incr[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(5),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_6\,
O => \axaddr_incr[5]_i_1_n_0\
);
\axaddr_incr[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(6),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_5\,
O => \axaddr_incr[6]_i_1_n_0\
);
\axaddr_incr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(7),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_4\,
O => \axaddr_incr[7]_i_1_n_0\
);
\axaddr_incr[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(8),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_7\,
O => \axaddr_incr[8]_i_1_n_0\
);
\axaddr_incr[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(9),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_6\,
O => \axaddr_incr[9]_i_1_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[0]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[10]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(9),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[11]_i_2_n_0\,
Q => \^axaddr_incr_reg[11]_0\(10),
R => '0'
);
\axaddr_incr_reg[11]_i_4\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(10 downto 7)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[1]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[2]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[3]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[3]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => \^axaddr_incr_reg[11]_0\(3 downto 0),
O(3) => \axaddr_incr_reg[3]_i_3_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[4]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[5]_i_1_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[6]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[7]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[7]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(6 downto 5),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(4)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[8]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[9]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(8),
R => '0'
);
\axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(8),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[1]_i_1__0_n_0\
);
\axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \^q\(0),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[2]_0\,
I4 => E(0),
I5 => \m_payload_i_reg[46]\(9),
O => \axlen_cnt[2]_i_1_n_0\
);
\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
I5 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[3]_i_2_n_0\
);
\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
O => \axlen_cnt[4]_i_1_n_0\
);
\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \^q\(0),
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[5]_i_1_n_0\
);
\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[6]_i_1_n_0\
);
\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[7]_i_2_n_0\
);
\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[7]_i_3_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \state_reg[1]\(0),
Q => \^q\(0),
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[4]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[5]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[6]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[7]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[0]_rep\
);
\m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \m_payload_i_reg[46]\(7),
O => \m_axi_awaddr[11]\
);
\m_axi_awaddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => \m_payload_i_reg[46]\(7),
I3 => \m_payload_i_reg[46]\(4),
O => \m_axi_awaddr[5]\
);
\next_pending_r_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => next_pending_r_i_5_n_0,
O => \^axlen_cnt_reg[2]_0\
);
next_pending_r_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[1]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
O => next_pending_r_i_5_n_0
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => incr_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
port (
incr_next_pending : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
\axlen_cnt_reg[0]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\m_axi_araddr[11]\ : out STD_LOGIC;
\m_axi_araddr[5]\ : out STD_LOGIC;
\m_axi_araddr[3]\ : out STD_LOGIC;
\m_axi_araddr[2]\ : out STD_LOGIC;
\m_axi_araddr[1]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 10 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_17_b2s_incr_cmd";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
signal \axaddr_incr[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \axaddr_incr_reg[11]_i_4__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[0]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \next_pending_r_i_2__0_n_0\ : STD_LOGIC;
signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_4\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \m_axi_araddr[11]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \m_axi_araddr[1]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \next_pending_r_i_2__0\ : label is "soft_lutpair7";
begin
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(7 downto 0) <= \^axaddr_incr_reg[11]_0\(7 downto 0);
\axlen_cnt_reg[0]_0\ <= \^axlen_cnt_reg[0]_0\;
incr_next_pending <= \^incr_next_pending\;
\axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_7\,
O => \axaddr_incr[0]_i_1__0_n_0\
);
\axaddr_incr[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_5\,
O => \axaddr_incr[10]_i_1__0_n_0\
);
\axaddr_incr[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_4\,
O => \axaddr_incr[11]_i_2__0_n_0\
);
\axaddr_incr[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_6\,
O => \axaddr_incr[1]_i_1__0_n_0\
);
\axaddr_incr[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_5\,
O => \axaddr_incr[2]_i_1__0_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"0201020202020202"
)
port map (
I0 => Q(0),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[3]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[2]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[1]\,
I1 => Q(6),
I2 => Q(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_4\,
O => \axaddr_incr[3]_i_1__0_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA6AAAAAAAAAAAAA"
)
port map (
I0 => Q(3),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"2A262A2A2A2A2A2A"
)
port map (
I0 => Q(2),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"0A060A0A0A0A0A0A"
)
port map (
I0 => Q(1),
I1 => Q(5),
I2 => Q(6),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(1)
);
\axaddr_incr[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_7\,
O => \axaddr_incr[4]_i_1__0_n_0\
);
\axaddr_incr[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_6\,
O => \axaddr_incr[5]_i_1__0_n_0\
);
\axaddr_incr[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_5\,
O => \axaddr_incr[6]_i_1__0_n_0\
);
\axaddr_incr[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_4\,
O => \axaddr_incr[7]_i_1__0_n_0\
);
\axaddr_incr[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_7\,
O => \axaddr_incr[8]_i_1__0_n_0\
);
\axaddr_incr[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_6\,
O => \axaddr_incr[9]_i_1__0_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[0]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[10]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[11]_i_2__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[11]_i_4__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4__0_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4__0_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4__0_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4__0_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(7 downto 4)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[1]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[1]\,
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[2]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[2]\,
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[3]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[3]\,
R => '0'
);
\axaddr_incr_reg[3]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_incr_reg_n_0_[3]\,
DI(2) => \axaddr_incr_reg_n_0_[2]\,
DI(1) => \axaddr_incr_reg_n_0_[1]\,
DI(0) => \^axaddr_incr_reg[11]_0\(0),
O(3) => \axaddr_incr_reg[3]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3__0_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[4]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[5]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[6]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[7]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[7]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3__0_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(3 downto 2),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(1)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[8]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[9]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"20FF2020"
)
port map (
I0 => si_rs_arvalid,
I1 => \state_reg[0]_rep\,
I2 => Q(8),
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[0]_i_1__2_n_0\
);
\axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => Q(9),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[1]_i_1__1_n_0\
);
\axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[0]_0\,
I4 => E(0),
I5 => Q(10),
O => \axlen_cnt[2]_i_1__1_n_0\
);
\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => \next_pending_r_i_4__0_n_0\,
O => \^axlen_cnt_reg[0]_0\
);
\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[4]_i_1__0_n_0\
);
\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[5]_i_1__0_n_0\
);
\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[6]_i_1__0_n_0\
);
\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[7]_i_2__0_n_0\
);
\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
O => \axlen_cnt[7]_i_3__0_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[4]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[5]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[6]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[7]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[1]\
);
\m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => Q(7),
O => \m_axi_araddr[11]\
);
\m_axi_araddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[1]\,
I2 => Q(7),
I3 => Q(1),
O => \m_axi_araddr[1]\
);
\m_axi_araddr[2]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[2]\,
I2 => Q(7),
I3 => Q(2),
O => \m_axi_araddr[2]\
);
\m_axi_araddr[3]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[3]\,
I2 => Q(7),
I3 => Q(3),
O => \m_axi_araddr[3]\
);
\m_axi_araddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => Q(7),
I3 => Q(4),
O => \m_axi_araddr[5]\
);
\next_pending_r_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF505C"
)
port map (
I0 => \next_pending_r_i_2__0_n_0\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => E(0),
I4 => \m_payload_i_reg[47]_0\,
O => \^incr_next_pending\
);
\next_pending_r_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0002"
)
port map (
I0 => \next_pending_r_i_4__0_n_0\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[7]\,
O => \next_pending_r_i_2__0_n_0\
);
\next_pending_r_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[4]\,
O => \next_pending_r_i_4__0_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^incr_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_incr_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
\m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_0\ : in STD_LOGIC;
s_axburst_eq1_reg : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg_1 : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
aclk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_payload_i_reg[0]\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \next_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_1__0\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__2\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of m_axi_arvalid_INST_0 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \s_ready_i_i_1__0\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \state[1]_i_1\ : label is "soft_lutpair2";
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \state_reg[0]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[0]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[1]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute FSM_ENCODED_STATES of \state_reg[1]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6__0\ : label is "soft_lutpair4";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\;
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
\axaddr_incr[11]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AAEA"
)
port map (
I0 => sel_first,
I1 => m_axi_arready,
I2 => \^m_payload_i_reg[0]_0\,
I3 => \^m_payload_i_reg[0]\,
O => \axaddr_incr_reg[0]\(0)
);
\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(0),
I2 => \^m_payload_i_reg[0]_0\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"00CA"
)
port map (
I0 => si_rs_arvalid,
I1 => m_axi_arready,
I2 => \^q\(0),
I3 => \^q\(1),
O => E(0)
);
\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00005140"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => m_axi_arready,
I3 => si_rs_arvalid,
I4 => \axlen_cnt_reg[7]_0\,
O => \axlen_cnt_reg[7]\
);
m_axi_arvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
O => m_axi_arvalid
);
\m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"D5"
)
port map (
I0 => si_rs_arvalid,
I1 => \^m_payload_i_reg[0]\,
I2 => \^m_payload_i_reg[0]_0\,
O => \m_payload_i_reg[0]_1\(0)
);
\m_valid_i_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF70FFFF"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => m_valid_i0
);
r_push_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => \^m_payload_i_reg[0]_0\,
I2 => m_axi_arready,
O => r_push_r_reg
);
\s_ready_i_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8FFF8F8F"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => s_ready_i0
);
\sel_first_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first_reg_1,
I2 => \^q\(1),
I3 => si_rs_arvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first,
I2 => \^m_payload_i_reg[0]\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]_0\,
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FCFFFFFFCCCECCCE"
)
port map (
I0 => si_rs_arvalid,
I1 => areset_d1,
I2 => \^m_payload_i_reg[0]\,
I3 => \^m_payload_i_reg[0]_0\,
I4 => m_axi_arready,
I5 => sel_first_reg_2,
O => sel_first_i
);
\state[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"003030303E3E3E3E"
)
port map (
I0 => si_rs_arvalid,
I1 => \^q\(1),
I2 => \^q\(0),
I3 => m_axi_arready,
I4 => s_axburst_eq1_reg,
I5 => \cnt_read_reg[2]_rep__0\,
O => \next_state__0\(0)
);
\state[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00AAB000"
)
port map (
I0 => \cnt_read_reg[2]_rep__0\,
I1 => s_axburst_eq1_reg,
I2 => m_axi_arready,
I3 => \^m_payload_i_reg[0]_0\,
I4 => \^m_payload_i_reg[0]\,
O => \next_state__0\(1)
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^m_payload_i_reg[0]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^m_payload_i_reg[0]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => si_rs_arvalid,
I2 => \^m_payload_i_reg[0]_0\,
O => \wrap_boundary_axaddr_r_reg[11]\(0)
);
\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
port (
\cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : out STD_LOGIC;
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
bresp_push : out STD_LOGIC;
bvalid_i_reg : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
b_push : in STD_LOGIC;
shandshake_r : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
\bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
mhandshake_r : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
bvalid_i_reg_0 : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
aclk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_4_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_5_n_0\ : STD_LOGIC;
signal \^bresp_push\ : STD_LOGIC;
signal bvalid_i_i_2_n_0 : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[1]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair121";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair121";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 ";
attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 ";
attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 ";
attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 ";
attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 ";
attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 ";
attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 ";
attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 ";
attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 ";
attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 ";
attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 ";
attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 ";
attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 ";
attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 ";
begin
bresp_push <= \^bresp_push\;
\cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\;
\cnt_read_reg[1]_rep__0_0\ <= \^cnt_read_reg[1]_rep__0_0\;
\bresp_cnt[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABAA"
)
port map (
I0 => areset_d1,
I1 => \bresp_cnt[7]_i_3_n_0\,
I2 => \bresp_cnt[7]_i_4_n_0\,
I3 => \bresp_cnt[7]_i_5_n_0\,
O => SR(0)
);
\bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEFEFFFFFFFFEEFE"
)
port map (
I0 => \bresp_cnt_reg[7]\(7),
I1 => \bresp_cnt_reg[7]\(6),
I2 => \bresp_cnt_reg[7]\(0),
I3 => \memory_reg[3][0]_srl4_n_0\,
I4 => \bresp_cnt_reg[7]\(3),
I5 => \memory_reg[3][3]_srl4_n_0\,
O => \bresp_cnt[7]_i_3_n_0\
);
\bresp_cnt[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFF6FFFF"
)
port map (
I0 => \bresp_cnt_reg[7]\(1),
I1 => \memory_reg[3][1]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(4),
I3 => \bresp_cnt_reg[7]\(5),
I4 => mhandshake_r,
O => \bresp_cnt[7]_i_4_n_0\
);
\bresp_cnt[7]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000D00DD00DD00D"
)
port map (
I0 => \memory_reg[3][0]_srl4_n_0\,
I1 => \bresp_cnt_reg[7]\(0),
I2 => \bresp_cnt_reg[7]\(2),
I3 => \memory_reg[3][2]_srl4_n_0\,
I4 => \^cnt_read_reg[1]_rep__0_0\,
I5 => \^cnt_read_reg[0]_rep__0_0\,
O => \bresp_cnt[7]_i_5_n_0\
);
bvalid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"0444"
)
port map (
I0 => areset_d1,
I1 => bvalid_i_i_2_n_0,
I2 => si_rs_bready,
I3 => bvalid_i_reg_0,
O => bvalid_i_reg
);
bvalid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00070707"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
I2 => shandshake_r,
I3 => Q(1),
I4 => Q(0),
I5 => bvalid_i_reg_0,
O => bvalid_i_i_2_n_0
);
\cnt_read[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^bresp_push\,
I1 => shandshake_r,
I2 => Q(0),
O => D(0)
);
\cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
O => \cnt_read[0]_i_1__2_n_0\
);
\cnt_read[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
I3 => \^cnt_read_reg[1]_rep__0_0\,
O => \cnt_read[1]_i_1_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \^cnt_read_reg[0]_rep__0_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \^cnt_read_reg[1]_rep__0_0\,
S => areset_d1
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(0),
Q => \memory_reg[3][0]_srl4_n_0\
);
\memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000041004141"
)
port map (
I0 => \memory_reg[3][0]_srl4_i_2__0_n_0\,
I1 => \memory_reg[3][2]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(2),
I3 => \bresp_cnt_reg[7]\(0),
I4 => \memory_reg[3][0]_srl4_n_0\,
I5 => \memory_reg[3][0]_srl4_i_3_n_0\,
O => \^bresp_push\
);
\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
O => \memory_reg[3][0]_srl4_i_2__0_n_0\
);
\memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFBFFFFFFFFFFFB"
)
port map (
I0 => \bresp_cnt[7]_i_3_n_0\,
I1 => mhandshake_r,
I2 => \bresp_cnt_reg[7]\(5),
I3 => \bresp_cnt_reg[7]\(4),
I4 => \memory_reg[3][1]_srl4_n_0\,
I5 => \bresp_cnt_reg[7]\(1),
O => \memory_reg[3][0]_srl4_i_3_n_0\
);
\memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(6),
Q => \out\(2)
);
\memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(7),
Q => \out\(3)
);
\memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(8),
Q => \out\(4)
);
\memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(9),
Q => \out\(5)
);
\memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(10),
Q => \out\(6)
);
\memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(11),
Q => \out\(7)
);
\memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(12),
Q => \out\(8)
);
\memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(13),
Q => \out\(9)
);
\memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(14),
Q => \out\(10)
);
\memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(15),
Q => \out\(11)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(1),
Q => \memory_reg[3][1]_srl4_n_0\
);
\memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(2),
Q => \memory_reg[3][2]_srl4_n_0\
);
\memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(3),
Q => \memory_reg[3][3]_srl4_n_0\
);
\memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(4),
Q => \out\(0)
);
\memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(5),
Q => \out\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
port (
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
mhandshake : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
shandshake_r : in STD_LOGIC;
sel : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
mhandshake_r : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair122";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair122";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 ";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A69A"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => shandshake_r,
I3 => sel,
O => \cnt_read[1]_i_1__0_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \^q\(0),
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__0_n_0\,
Q => \^q\(1),
S => areset_d1
);
m_axi_bready_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => mhandshake_r,
O => m_axi_bready
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[1]\(0)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[1]\(1)
);
mhandshake_r_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"2000"
)
port map (
I0 => m_axi_bvalid,
I1 => mhandshake_r,
I2 => \^q\(0),
I3 => \^q\(1),
O => mhandshake
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
port (
\cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_2\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
s_ready_i_reg : in STD_LOGIC;
\cnt_read_reg[4]_rep__0_0\ : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_5_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_2\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal wr_en0 : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair18";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__3\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__3\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__3\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]";
attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair19";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 ";
attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 ";
attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 ";
attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 ";
attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 ";
attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 ";
attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 ";
attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 ";
attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 ";
attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 ";
attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 ";
attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 ";
attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 ";
attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 ";
attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 ";
attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 ";
attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 ";
attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 ";
attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 ";
attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair19";
begin
\cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\;
\cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\;
\cnt_read_reg[4]_rep__2_2\ <= \^cnt_read_reg[4]_rep__2_2\;
\cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => s_ready_i_reg,
I2 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[0]_i_1__1_n_0\
);
\cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9AA6"
)
port map (
I0 => \cnt_read_reg[1]_rep__2_n_0\,
I1 => \cnt_read_reg[0]_rep__2_n_0\,
I2 => s_ready_i_reg,
I3 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[1]_i_1__2_n_0\
);
\cnt_read[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"A9AAAA6A"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[0]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
O => \cnt_read[2]_i_1_n_0\
);
\cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAA6AA9AAAAAA"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_0\,
I1 => \cnt_read_reg[2]_rep__2_n_0\,
I2 => \cnt_read_reg[1]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[3]_i_1__0_n_0\
);
\cnt_read[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"99AA99AA99AA55A6"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_1\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_2\,
I3 => \cnt_read[4]_i_3__0_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[4]_i_1_n_0\
);
\cnt_read[4]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[2]_rep__2_n_0\,
O => \^cnt_read_reg[4]_rep__2_2\
);
\cnt_read[4]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000100000"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read[4]_i_5_n_0\,
I3 => \cnt_read_reg[4]_rep__0_0\,
I4 => si_rs_rready,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[4]_i_3__0_n_0\
);
\cnt_read[4]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6000E000FFFFFFFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
I5 => m_axi_rvalid,
O => \cnt_read[4]_i_5_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__3\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__3_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \^cnt_read_reg[4]_rep__2_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \^cnt_read_reg[4]_rep__2_1\,
S => areset_d1
);
m_axi_rready_INST_0: unisim.vcomponents.LUT5
generic map(
INIT => X"9FFF1FFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
O => m_axi_rready
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(0),
Q => \out\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8AAA0AAA0AAAAAAA"
)
port map (
I0 => m_axi_rvalid,
I1 => \cnt_read_reg[0]_rep__3_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_0\,
I3 => \^cnt_read_reg[4]_rep__2_1\,
I4 => \cnt_read_reg[1]_rep__2_n_0\,
I5 => \cnt_read_reg[2]_rep__2_n_0\,
O => wr_en0
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(10),
Q => \out\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(11),
Q => \out\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(12),
Q => \out\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(13),
Q => \out\(13),
Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(14),
Q => \out\(14),
Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(15),
Q => \out\(15),
Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(16),
Q => \out\(16),
Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(17),
Q => \out\(17),
Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(18),
Q => \out\(18),
Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(19),
Q => \out\(19),
Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(1),
Q => \out\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(20),
Q => \out\(20),
Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(21),
Q => \out\(21),
Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(22),
Q => \out\(22),
Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(23),
Q => \out\(23),
Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(24),
Q => \out\(24),
Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(25),
Q => \out\(25),
Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(26),
Q => \out\(26),
Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(27),
Q => \out\(27),
Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(28),
Q => \out\(28),
Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(29),
Q => \out\(29),
Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(2),
Q => \out\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(30),
Q => \out\(30),
Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(31),
Q => \out\(31),
Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(32),
Q => \out\(32),
Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(33),
Q => \out\(33),
Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(3),
Q => \out\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(4),
Q => \out\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(5),
Q => \out\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(6),
Q => \out\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(7),
Q => \out\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(8),
Q => \out\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(9),
Q => \out\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"40C0C000"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \cnt_read_reg[1]_rep__2_n_0\,
I4 => \cnt_read_reg[2]_rep__2_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
s_ready_i_reg : in STD_LOGIC;
r_push_r : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
\cnt_read_reg[3]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3_0\ : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 12 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal m_valid_i_i_3_n_0 : STD_LOGIC;
signal \^m_valid_i_reg\ : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair20";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 ";
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => r_push_r,
I2 => s_ready_i_reg,
O => \cnt_read[0]_i_1__0_n_0\
);
\cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"DB24"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[1]_i_1__1_n_0\
);
\cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9AAAAAA6"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[0]_rep__0_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[2]_i_1__0_n_0\
);
\cnt_read[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF7F0080FEFF0100"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__0_n_0\,
I2 => r_push_r,
I3 => s_ready_i_reg,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[2]_rep__0_n_0\,
O => \cnt_read[3]_i_1_n_0\
);
\cnt_read[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9A999AAA"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read[4]_i_2_n_0\,
I2 => \cnt_read_reg[2]_rep__0_n_0\,
I3 => \cnt_read_reg[3]_rep__0_n_0\,
I4 => \cnt_read[4]_i_3_n_0\,
O => \cnt_read[4]_i_1__0_n_0\
);
\cnt_read[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"2AAAAAAA2AAA2AAA"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[1]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => r_push_r,
I4 => \^m_valid_i_reg\,
I5 => si_rs_rready,
O => \cnt_read[4]_i_2_n_0\
);
\cnt_read[4]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000004"
)
port map (
I0 => r_push_r,
I1 => si_rs_rready,
I2 => \^m_valid_i_reg\,
I3 => \cnt_read_reg[0]_rep__1_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[4]_i_3_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
m_valid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"80808080FF808080"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read_reg[3]_rep__0_n_0\,
I2 => m_valid_i_i_3_n_0,
I3 => \cnt_read_reg[3]_rep__2\,
I4 => \cnt_read_reg[4]_rep__2\,
I5 => \cnt_read_reg[0]_rep__3\,
O => \^m_valid_i_reg\
);
m_valid_i_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__1_n_0\,
I2 => \cnt_read_reg[1]_rep__0_n_0\,
O => m_valid_i_i_3_n_0
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[46]\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(10),
Q => \skid_buffer_reg[46]\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(11),
Q => \skid_buffer_reg[46]\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(12),
Q => \skid_buffer_reg[46]\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[46]\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(2),
Q => \skid_buffer_reg[46]\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(3),
Q => \skid_buffer_reg[46]\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(4),
Q => \skid_buffer_reg[46]\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(5),
Q => \skid_buffer_reg[46]\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(6),
Q => \skid_buffer_reg[46]\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(7),
Q => \skid_buffer_reg[46]\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(8),
Q => \skid_buffer_reg[46]\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(9),
Q => \skid_buffer_reg[46]\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"BFEEAAAAAAAAAAAA"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_0\,
I1 => \cnt_read_reg[2]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[4]_rep__0_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\axlen_cnt_reg[7]_1\ : out STD_LOGIC;
\next\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axburst_eq0_reg : out STD_LOGIC;
incr_next_pending : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
s_axburst_eq1_reg_0 : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
si_rs_awvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
wrap_next_pending : in STD_LOGIC;
next_pending_r_reg : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
sel_first : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first_0 : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
aclk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^axlen_cnt_reg[7]\ : STD_LOGIC;
signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC;
signal \^b_push\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \^next\ : STD_LOGIC;
signal \^sel_first_i\ : STD_LOGIC;
signal \state[0]_i_1_n_0\ : STD_LOGIC;
signal \state[0]_i_2_n_0\ : STD_LOGIC;
signal \state[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__0\ : label is "soft_lutpair109";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair109";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4\ : label is "soft_lutpair111";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6\ : label is "soft_lutpair111";
begin
E(0) <= \^e\(0);
Q(1 downto 0) <= \^q\(1 downto 0);
\axlen_cnt_reg[7]\ <= \^axlen_cnt_reg[7]\;
\axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\;
b_push <= \^b_push\;
incr_next_pending <= \^incr_next_pending\;
\next\ <= \^next\;
sel_first_i <= \^sel_first_i\;
\axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(2),
I2 => \^axlen_cnt_reg[7]_0\,
I3 => si_rs_awvalid,
I4 => \^axlen_cnt_reg[7]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0400FFFF04000400"
)
port map (
I0 => \^q\(1),
I1 => si_rs_awvalid,
I2 => \^q\(0),
I3 => \m_payload_i_reg[46]\(1),
I4 => \axlen_cnt_reg[0]_0\(0),
I5 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[0]\(0)
);
\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FF04"
)
port map (
I0 => \^q\(0),
I1 => si_rs_awvalid,
I2 => \^q\(1),
I3 => \^next\,
O => \axaddr_wrap_reg[11]\(0)
);
\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]\,
I3 => \^next\,
I4 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[7]_1\
);
m_axi_awvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
O => m_axi_awvalid
);
\m_payload_i[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^b_push\,
I1 => si_rs_awvalid,
O => \m_payload_i_reg[0]\(0)
);
\memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"88008888A800A8A8"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
I2 => m_axi_awready,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \cnt_read_reg[1]_rep__0\,
I5 => s_axburst_eq1_reg_0,
O => \^b_push\
);
next_pending_r_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFF404"
)
port map (
I0 => \^e\(0),
I1 => next_pending_r_reg,
I2 => \^next\,
I3 => \axlen_cnt_reg[7]_2\,
I4 => \m_payload_i_reg[47]\,
O => \^incr_next_pending\
);
next_pending_r_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"F3F3FFFF51000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \^next\
);
s_axburst_eq0_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => \^incr_next_pending\,
I1 => \^sel_first_i\,
I2 => \m_payload_i_reg[46]\(0),
I3 => wrap_next_pending,
O => s_axburst_eq0_reg
);
s_axburst_eq1_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FE02"
)
port map (
I0 => \^incr_next_pending\,
I1 => \m_payload_i_reg[46]\(0),
I2 => \^sel_first_i\,
I3 => wrap_next_pending,
O => s_axburst_eq1_reg
);
sel_first_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first_0,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF04FFFFFF04FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
I3 => areset_d1,
I4 => \^next\,
I5 => sel_first_reg_1,
O => \^sel_first_i\
);
\state[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"BBBA"
)
port map (
I0 => \state[0]_i_2_n_0\,
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
O => \state[0]_i_1_n_0\
);
\state[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00F000F055750000"
)
port map (
I0 => m_axi_awready,
I1 => s_axburst_eq1_reg_0,
I2 => \cnt_read_reg[1]_rep__0\,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \state[0]_i_2_n_0\
);
\state[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0CAE0000000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]\,
I5 => \^axlen_cnt_reg[7]_0\,
O => \state[1]_i_1__0_n_0\
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^axlen_cnt_reg[7]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^axlen_cnt_reg[7]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
O => \^e\(0)
);
\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
port (
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 10 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 );
signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__1_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 1 to 1 );
signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^wrap_next_pending\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
wrap_next_pending <= \^wrap_next_pending\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(0),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(0),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(0),
O => \axaddr_wrap[0]_i_1_n_0\
);
\axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(10),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(10),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(10),
O => \axaddr_wrap[10]_i_1_n_0\
);
\axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(11),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(11),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(11),
O => \axaddr_wrap[11]_i_1_n_0\
);
\axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4_n_0\,
I1 => wrap_cnt_r(3),
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2_n_0\
);
\axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => wrap_cnt_r(0),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => wrap_cnt_r(1),
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => wrap_cnt_r(2),
O => \axaddr_wrap[11]_i_4_n_0\
);
\axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(1),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(1),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(1),
O => \axaddr_wrap[1]_i_1_n_0\
);
\axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(2),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(2),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(2),
O => \axaddr_wrap[2]_i_1_n_0\
);
\axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(3),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(3),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(3),
O => \axaddr_wrap[3]_i_1_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => axaddr_wrap(3),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(2),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(1),
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => axaddr_wrap(0),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(4),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(4),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(4),
O => \axaddr_wrap[4]_i_1_n_0\
);
\axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(5),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(5),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(5),
O => \axaddr_wrap[5]_i_1_n_0\
);
\axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(6),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(6),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(6),
O => \axaddr_wrap[6]_i_1_n_0\
);
\axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(7),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(7),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(7),
O => \axaddr_wrap[7]_i_1_n_0\
);
\axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(8),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(8),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(8),
O => \axaddr_wrap[8]_i_1_n_0\
);
\axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(9),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(9),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(9),
O => \axaddr_wrap[9]_i_1_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[0]_i_1_n_0\,
Q => axaddr_wrap(0),
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[10]_i_1_n_0\,
Q => axaddr_wrap(10),
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[11]_i_1_n_0\,
Q => axaddr_wrap(11),
R => '0'
);
\axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(11 downto 8),
S(3 downto 0) => axaddr_wrap(11 downto 8)
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[1]_i_1_n_0\,
Q => axaddr_wrap(1),
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[2]_i_1_n_0\,
Q => axaddr_wrap(2),
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[3]_i_1_n_0\,
Q => axaddr_wrap(3),
R => '0'
);
\axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => axaddr_wrap(3 downto 0),
O(3 downto 0) => axaddr_wrap0(3 downto 0),
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[4]_i_1_n_0\,
Q => axaddr_wrap(4),
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[5]_i_1_n_0\,
Q => axaddr_wrap(5),
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[6]_i_1_n_0\,
Q => axaddr_wrap(6),
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[7]_i_1_n_0\,
Q => axaddr_wrap(7),
R => '0'
);
\axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(7 downto 4),
S(3 downto 0) => axaddr_wrap(7 downto 4)
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[8]_i_1_n_0\,
Q => axaddr_wrap(8),
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[9]_i_1_n_0\,
Q => axaddr_wrap(9),
R => '0'
);
\axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => \m_payload_i_reg[47]\(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1_n_0\
);
\axlen_cnt[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => \m_payload_i_reg[47]\(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1_n_0\
);
\axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => \m_payload_i_reg[47]\(17),
O => \axlen_cnt[2]_i_1__0_n_0\
);
\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => \m_payload_i_reg[47]\(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[0]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(0),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_awaddr(0)
);
\m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(10),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(9),
O => m_axi_awaddr(10)
);
\m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(11),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(10),
O => m_axi_awaddr(11)
);
\m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(1),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(1),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_awaddr(1)
);
\m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(2),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(2),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_awaddr(2)
);
\m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(3),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(3),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_awaddr(3)
);
\m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(4),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_awaddr(4)
);
\m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \m_payload_i_reg[47]\(5),
I1 => \^sel_first_reg_0\,
I2 => axaddr_wrap(5),
I3 => \m_payload_i_reg[47]\(14),
I4 => sel_first_reg_3,
O => m_axi_awaddr(5)
);
\m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(6),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_awaddr(6)
);
\m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(7),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_awaddr(7)
);
\m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(8),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_awaddr(8)
);
\m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(9),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(8),
O => m_axi_awaddr(9)
);
\next_pending_r_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]_0\,
I1 => next_pending_r_reg_n_0,
I2 => \next\,
I3 => \next_pending_r_i_2__1_n_0\,
I4 => E(0),
O => \^wrap_next_pending\
);
\next_pending_r_i_2__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_awvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__1_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^wrap_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => wrap_boundary_axaddr_r(0),
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(10),
Q => wrap_boundary_axaddr_r(10),
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(11),
Q => wrap_boundary_axaddr_r(11),
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => wrap_boundary_axaddr_r(1),
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => wrap_boundary_axaddr_r(2),
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => wrap_boundary_axaddr_r(3),
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => wrap_boundary_axaddr_r(4),
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => wrap_boundary_axaddr_r(5),
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => wrap_boundary_axaddr_r(6),
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(7),
Q => wrap_boundary_axaddr_r(7),
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(8),
Q => wrap_boundary_axaddr_r(8),
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(9),
Q => wrap_boundary_axaddr_r(9),
R => '0'
);
\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => wrap_cnt(1)
);
\wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => wrap_cnt_r(0),
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cnt(1),
Q => wrap_cnt_r(1),
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => wrap_cnt_r(2),
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => wrap_cnt_r(3),
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
port (
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axburst_eq0_reg : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first_i : in STD_LOGIC;
incr_next_pending : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
sel_first_reg_4 : in STD_LOGIC;
sel_first_reg_5 : in STD_LOGIC;
sel_first_reg_6 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_17_b2s_wrap_cmd";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__2_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_cnt_r[1]_i_1__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC;
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair16";
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(0),
O => \axaddr_wrap[0]_i_1__0_n_0\
);
\axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(10),
O => \axaddr_wrap[10]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(11),
O => \axaddr_wrap[11]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4__0_n_0\,
I1 => \wrap_cnt_r_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2__0_n_0\
);
\axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => \wrap_cnt_r_reg_n_0_[0]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \wrap_cnt_r_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \wrap_cnt_r_reg_n_0_[1]\,
O => \axaddr_wrap[11]_i_4__0_n_0\
);
\axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(1),
O => \axaddr_wrap[1]_i_1__0_n_0\
);
\axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(2),
O => \axaddr_wrap[2]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(3),
O => \axaddr_wrap[3]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[3]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[2]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[1]\,
I1 => Q(13),
I2 => Q(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[0]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(4),
O => \axaddr_wrap[4]_i_1__0_n_0\
);
\axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(5),
O => \axaddr_wrap[5]_i_1__0_n_0\
);
\axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(6),
O => \axaddr_wrap[6]_i_1__0_n_0\
);
\axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(7),
O => \axaddr_wrap[7]_i_1__0_n_0\
);
\axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(8),
O => \axaddr_wrap[8]_i_1__0_n_0\
);
\axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(9),
O => \axaddr_wrap[9]_i_1__0_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[0]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[0]\,
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[10]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[10]\,
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[11]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[11]\,
R => '0'
);
\axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\,
O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\,
O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\,
O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[11]\,
S(2) => \axaddr_wrap_reg_n_0_[10]\,
S(1) => \axaddr_wrap_reg_n_0_[9]\,
S(0) => \axaddr_wrap_reg_n_0_[8]\
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[1]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[1]\,
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[2]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[2]\,
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[3]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[3]\,
R => '0'
);
\axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_wrap_reg_n_0_[3]\,
DI(2) => \axaddr_wrap_reg_n_0_[2]\,
DI(1) => \axaddr_wrap_reg_n_0_[1]\,
DI(0) => \axaddr_wrap_reg_n_0_[0]\,
O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\,
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[4]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[4]\,
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[5]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[5]\,
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[6]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[6]\,
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[7]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[7]\,
R => '0'
);
\axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[7]\,
S(2) => \axaddr_wrap_reg_n_0_[6]\,
S(1) => \axaddr_wrap_reg_n_0_[5]\,
S(0) => \axaddr_wrap_reg_n_0_[4]\
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[8]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[8]\,
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[9]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[9]\,
R => '0'
);
\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => Q(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1__1_n_0\
);
\axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => Q(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1__2_n_0\
);
\axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => Q(17),
O => \axlen_cnt[2]_i_1__2_n_0\
);
\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => Q(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1__1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[0]\,
I2 => Q(14),
I3 => Q(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_araddr(0)
);
\m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[10]\,
I2 => Q(14),
I3 => Q(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_araddr(10)
);
\m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[11]\,
I2 => Q(14),
I3 => Q(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_araddr(11)
);
\m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(1),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[1]\,
I3 => Q(14),
I4 => sel_first_reg_6,
O => m_axi_araddr(1)
);
\m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(2),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[2]\,
I3 => Q(14),
I4 => sel_first_reg_5,
O => m_axi_araddr(2)
);
\m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(3),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[3]\,
I3 => Q(14),
I4 => sel_first_reg_4,
O => m_axi_araddr(3)
);
\m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[4]\,
I2 => Q(14),
I3 => Q(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_araddr(4)
);
\m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(5),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[5]\,
I3 => Q(14),
I4 => sel_first_reg_3,
O => m_axi_araddr(5)
);
\m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[6]\,
I2 => Q(14),
I3 => Q(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_araddr(6)
);
\m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[7]\,
I2 => Q(14),
I3 => Q(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_araddr(7)
);
\m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[8]\,
I2 => Q(14),
I3 => Q(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_araddr(8)
);
\m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[9]\,
I2 => Q(14),
I3 => Q(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_araddr(9)
);
\next_pending_r_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => \next_pending_r_i_2__2_n_0\,
I4 => E(0),
O => wrap_next_pending
);
\next_pending_r_i_2__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_arvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__2_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_next_pending,
Q => next_pending_r_reg_n_0,
R => '0'
);
\s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq0_reg
);
\s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABA8"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq1_reg
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(10),
Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(11),
Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(7),
Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(8),
Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(9),
Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
R => '0'
);
\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => \wrap_cnt_r[1]_i_1__0_n_0\
);
\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => \wrap_cnt_r_reg_n_0_[0]\,
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_cnt_r[1]_i_1__0_n_0\,
Q => \wrap_cnt_r_reg_n_0_[1]\,
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => \wrap_cnt_r_reg_n_0_[2]\,
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => \wrap_cnt_r_reg_n_0_[3]\,
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice is
port (
s_axi_arready : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[0]\ : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
aclk : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
\aresetn_d_reg[0]_0\ : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \axaddr_incr[3]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \^axaddr_offset_0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[52]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_arready\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5__0_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3__0_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair21";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset_0(1 downto 0) <= \^axaddr_offset_0\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axaddr_offset_r_reg[3]\ <= \^axaddr_offset_r_reg[3]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_arready <= \^s_axi_arready\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \aresetn_d_reg[0]_0\,
Q => \^m_valid_i_reg_0\,
R => '0'
);
\axaddr_incr[3]_i_4__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4__0_n_0\
);
\axaddr_incr[3]_i_5__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5__0_n_0\
);
\axaddr_incr[3]_i_6__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6__0_n_0\
);
\axaddr_incr_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => O(3 downto 0),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4__0_n_0\,
DI(1) => \axaddr_incr[3]_i_5__0_n_0\,
DI(0) => \axaddr_incr[3]_i_6__0_n_0\,
O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0)
);
\axaddr_incr_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2__0_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2__0_n_0\
);
\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2__0_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(1),
O => \^axaddr_offset_0\(0)
);
\axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2__0_n_0\
);
\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2__0_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^axaddr_offset_0\(1)
);
\axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_3__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__0_n_0\
);
\m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__0_n_0\
);
\m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__0_n_0\
);
\m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(12),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__0_n_0\
);
\m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(13),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__1_n_0\
);
\m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(14),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__0_n_0\
);
\m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(15),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__0_n_0\
);
\m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(16),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__0_n_0\
);
\m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(17),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__0_n_0\
);
\m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(18),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__0_n_0\
);
\m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(19),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__0_n_0\
);
\m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__0_n_0\
);
\m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(20),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__0_n_0\
);
\m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(21),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__0_n_0\
);
\m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(22),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__0_n_0\
);
\m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(23),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__0_n_0\
);
\m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(24),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__0_n_0\
);
\m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(25),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__0_n_0\
);
\m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(26),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__0_n_0\
);
\m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(27),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__0_n_0\
);
\m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(28),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__0_n_0\
);
\m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(29),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__0_n_0\
);
\m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__0_n_0\
);
\m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(30),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__0_n_0\
);
\m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(31),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_2__0_n_0\
);
\m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__0_n_0\
);
\m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__0_n_0\
);
\m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__0_n_0\
);
\m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__0_n_0\
);
\m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__0_n_0\
);
\m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__0_n_0\
);
\m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__0_n_0\
);
\m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__0_n_0\
);
\m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__0_n_0\
);
\m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__0_n_0\
);
\m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_1__1_n_0\
);
\m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => \m_payload_i[47]_i_1__0_n_0\
);
\m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__0_n_0\
);
\m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => \m_payload_i[50]_i_1__0_n_0\
);
\m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => \m_payload_i[51]_i_1__0_n_0\
);
\m_payload_i[52]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => \m_payload_i[52]_i_1__0_n_0\
);
\m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => \m_payload_i[53]_i_1__0_n_0\
);
\m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => \m_payload_i[54]_i_1__0_n_0\
);
\m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => \m_payload_i[55]_i_1__0_n_0\
);
\m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => \m_payload_i[56]_i_1__0_n_0\
);
\m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => \m_payload_i[57]_i_1__0_n_0\
);
\m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => \m_payload_i[58]_i_1__0_n_0\
);
\m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => \m_payload_i[59]_i_1__0_n_0\
);
\m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__0_n_0\
);
\m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => \m_payload_i[60]_i_1__0_n_0\
);
\m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => \m_payload_i[61]_i_1__0_n_0\
);
\m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__0_n_0\
);
\m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__0_n_0\
);
\m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__0_n_0\
);
\m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__0_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[0]_i_1__0_n_0\,
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[10]_i_1__0_n_0\,
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[11]_i_1__0_n_0\,
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[12]_i_1__0_n_0\,
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[13]_i_1__1_n_0\,
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[14]_i_1__0_n_0\,
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[15]_i_1__0_n_0\,
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[16]_i_1__0_n_0\,
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[17]_i_1__0_n_0\,
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[18]_i_1__0_n_0\,
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[19]_i_1__0_n_0\,
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[1]_i_1__0_n_0\,
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[20]_i_1__0_n_0\,
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[21]_i_1__0_n_0\,
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[22]_i_1__0_n_0\,
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[23]_i_1__0_n_0\,
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[24]_i_1__0_n_0\,
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[25]_i_1__0_n_0\,
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[26]_i_1__0_n_0\,
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[27]_i_1__0_n_0\,
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[28]_i_1__0_n_0\,
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[29]_i_1__0_n_0\,
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[2]_i_1__0_n_0\,
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[30]_i_1__0_n_0\,
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[31]_i_2__0_n_0\,
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[32]_i_1__0_n_0\,
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[33]_i_1__0_n_0\,
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[34]_i_1__0_n_0\,
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[35]_i_1__0_n_0\,
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[36]_i_1__0_n_0\,
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[38]_i_1__0_n_0\,
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[39]_i_1__0_n_0\,
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[3]_i_1__0_n_0\,
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[44]_i_1__0_n_0\,
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[45]_i_1__0_n_0\,
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[46]_i_1__1_n_0\,
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[47]_i_1__0_n_0\,
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[4]_i_1__0_n_0\,
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[50]_i_1__0_n_0\,
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[51]_i_1__0_n_0\,
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[52]_i_1__0_n_0\,
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[53]_i_1__0_n_0\,
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[54]_i_1__0_n_0\,
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[55]_i_1__0_n_0\,
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[56]_i_1__0_n_0\,
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[57]_i_1__0_n_0\,
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[58]_i_1__0_n_0\,
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[59]_i_1__0_n_0\,
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[5]_i_1__0_n_0\,
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[60]_i_1__0_n_0\,
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[61]_i_1__0_n_0\,
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[6]_i_1__0_n_0\,
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[7]_i_1__0_n_0\,
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[8]_i_1__0_n_0\,
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[9]_i_1__0_n_0\,
Q => \^q\(9),
R => '0'
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^axaddr_offset_r_reg[3]\,
R => \^m_valid_i_reg_0\
);
next_pending_r_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_arready\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => \wrap_cnt_r_reg[3]\(0)
);
\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => \wrap_cnt_r_reg[3]\(1)
);
\wrap_cnt_r[3]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_1\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2__0_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5__0_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r[3]_i_5__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5__0_n_0\
);
\wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset_0\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_0\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2__0_n_0\
);
\wrap_second_len_r[3]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3__0_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0 is
port (
s_axi_awready : out STD_LOGIC;
s_ready_i_reg_0 : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[1]_inv\ : out STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[1]_inv_0\ : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0 : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0 is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_incr[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_awready\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^s_ready_i_reg_0\ : STD_LOGIC;
signal skid_buffer : STD_LOGIC_VECTOR ( 61 downto 0 );
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair49";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset(1 downto 0) <= \^axaddr_offset\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_awready <= \^s_axi_awready\;
s_ready_i_reg_0 <= \^s_ready_i_reg_0\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
I1 => aresetn,
O => \aresetn_d_reg[1]_inv\
);
\aresetn_d_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => aresetn,
Q => \aresetn_d_reg_n_0_[0]\,
R => '0'
);
\axaddr_incr[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4_n_0\
);
\axaddr_incr[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5_n_0\
);
\axaddr_incr[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6_n_0\
);
\axaddr_incr_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(11 downto 8),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4_n_0\,
DI(1) => \axaddr_incr[3]_i_5_n_0\,
DI(0) => \axaddr_incr[3]_i_6_n_0\,
O(3 downto 0) => axaddr_incr(3 downto 0),
S(3 downto 0) => S(3 downto 0)
);
\axaddr_incr_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(7 downto 4),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2_n_0\
);
\axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(1),
O => \^axaddr_offset\(0)
);
\axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2_n_0\
);
\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^axaddr_offset\(1)
);
\axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2_n_0\
);
\axlen_cnt[3]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => skid_buffer(0)
);
\m_payload_i[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => skid_buffer(10)
);
\m_payload_i[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => skid_buffer(11)
);
\m_payload_i[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(12),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => skid_buffer(12)
);
\m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(13),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => skid_buffer(13)
);
\m_payload_i[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(14),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => skid_buffer(14)
);
\m_payload_i[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(15),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => skid_buffer(15)
);
\m_payload_i[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(16),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => skid_buffer(16)
);
\m_payload_i[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(17),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => skid_buffer(17)
);
\m_payload_i[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(18),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => skid_buffer(18)
);
\m_payload_i[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(19),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => skid_buffer(19)
);
\m_payload_i[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => skid_buffer(1)
);
\m_payload_i[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(20),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => skid_buffer(20)
);
\m_payload_i[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(21),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => skid_buffer(21)
);
\m_payload_i[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(22),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => skid_buffer(22)
);
\m_payload_i[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(23),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => skid_buffer(23)
);
\m_payload_i[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(24),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => skid_buffer(24)
);
\m_payload_i[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(25),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => skid_buffer(25)
);
\m_payload_i[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(26),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => skid_buffer(26)
);
\m_payload_i[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(27),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => skid_buffer(27)
);
\m_payload_i[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(28),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => skid_buffer(28)
);
\m_payload_i[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(29),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => skid_buffer(29)
);
\m_payload_i[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => skid_buffer(2)
);
\m_payload_i[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(30),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => skid_buffer(30)
);
\m_payload_i[31]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(31),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => skid_buffer(31)
);
\m_payload_i[32]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => skid_buffer(32)
);
\m_payload_i[33]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => skid_buffer(33)
);
\m_payload_i[34]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => skid_buffer(34)
);
\m_payload_i[35]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => skid_buffer(35)
);
\m_payload_i[36]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => skid_buffer(36)
);
\m_payload_i[38]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => skid_buffer(38)
);
\m_payload_i[39]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => skid_buffer(39)
);
\m_payload_i[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => skid_buffer(3)
);
\m_payload_i[44]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => skid_buffer(44)
);
\m_payload_i[45]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => skid_buffer(45)
);
\m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => skid_buffer(46)
);
\m_payload_i[47]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => skid_buffer(47)
);
\m_payload_i[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => skid_buffer(4)
);
\m_payload_i[50]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => skid_buffer(50)
);
\m_payload_i[51]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => skid_buffer(51)
);
\m_payload_i[52]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => skid_buffer(52)
);
\m_payload_i[53]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => skid_buffer(53)
);
\m_payload_i[54]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => skid_buffer(54)
);
\m_payload_i[55]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => skid_buffer(55)
);
\m_payload_i[56]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => skid_buffer(56)
);
\m_payload_i[57]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => skid_buffer(57)
);
\m_payload_i[58]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => skid_buffer(58)
);
\m_payload_i[59]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => skid_buffer(59)
);
\m_payload_i[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => skid_buffer(5)
);
\m_payload_i[60]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => skid_buffer(60)
);
\m_payload_i[61]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => skid_buffer(61)
);
\m_payload_i[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => skid_buffer(6)
);
\m_payload_i[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => skid_buffer(7)
);
\m_payload_i[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => skid_buffer(8)
);
\m_payload_i[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => skid_buffer(9)
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(0),
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(10),
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(11),
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(12),
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(13),
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(14),
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(15),
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(16),
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(17),
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(18),
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(19),
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(1),
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(20),
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(21),
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(22),
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(23),
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(24),
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(25),
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(26),
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(27),
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(28),
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(29),
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(2),
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(30),
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(31),
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(32),
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(33),
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(34),
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(35),
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(36),
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(38),
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(39),
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(3),
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(44),
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(45),
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(46),
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(47),
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(4),
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(50),
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(51),
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(52),
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(53),
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(54),
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(55),
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(56),
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(57),
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(58),
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(59),
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(5),
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(60),
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(61),
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(6),
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(7),
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(8),
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(9),
Q => \^q\(9),
R => '0'
);
m_valid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => b_push,
I1 => \^m_valid_i_reg_0\,
I2 => s_axi_awvalid,
I3 => \^s_axi_awready\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^m_valid_i_reg_0\,
R => \aresetn_d_reg[1]_inv_0\
);
next_pending_r_i_4: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
\s_ready_i_i_1__1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
O => \^s_ready_i_reg_0\
);
s_ready_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_awvalid,
I1 => \^s_axi_awready\,
I2 => b_push,
I3 => \^m_valid_i_reg_0\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_awready\,
R => \^s_ready_i_reg_0\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => D(0)
);
\wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => D(1)
);
\wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5_n_0\
);
\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2_n_0\
);
\wrap_second_len_r[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
port (
s_axi_bvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_bvalid\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair79";
begin
s_axi_bvalid <= \^s_axi_bvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__1_n_0\
);
\m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__1_n_0\
);
\m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__1_n_0\
);
\m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__1_n_0\
);
\m_payload_i[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
O => p_1_in
);
\m_payload_i[13]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_2_n_0\
);
\m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__1_n_0\
);
\m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__1_n_0\
);
\m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__1_n_0\
);
\m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__1_n_0\
);
\m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__1_n_0\
);
\m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__1_n_0\
);
\m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__1_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_2_n_0\,
Q => \s_axi_bid[11]\(13),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(1),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(2),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(3),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(9),
R => '0'
);
\m_valid_i_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
I2 => si_rs_bvalid,
I3 => \^skid_buffer_reg[0]_0\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^s_axi_bvalid\,
R => \aresetn_d_reg[1]_inv\
);
s_ready_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => si_rs_bvalid,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_bready,
I3 => \^s_axi_bvalid\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(8),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(9),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(10),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(11),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(0),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(1),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(2),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(3),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(4),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(5),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(6),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(7),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
port (
s_axi_rvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\;
architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC;
signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_rvalid\ : STD_LOGIC;
signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[4]_i_4\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \s_ready_i_i_1__2\ : label is "soft_lutpair84";
begin
s_axi_rvalid <= \^s_axi_rvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\cnt_read[4]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^skid_buffer_reg[0]_0\,
I1 => \cnt_read_reg[4]_rep__0\,
O => \cnt_read_reg[2]_rep__0\
);
\m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__2_n_0\
);
\m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__2_n_0\
);
\m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__2_n_0\
);
\m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__2_n_0\
);
\m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(13),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__2_n_0\
);
\m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(14),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__1_n_0\
);
\m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(15),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__1_n_0\
);
\m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(16),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__1_n_0\
);
\m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(17),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__1_n_0\
);
\m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(18),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__1_n_0\
);
\m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(19),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__1_n_0\
);
\m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__2_n_0\
);
\m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(20),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__1_n_0\
);
\m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(21),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__1_n_0\
);
\m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(22),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__1_n_0\
);
\m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(23),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__1_n_0\
);
\m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(24),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__1_n_0\
);
\m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(25),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__1_n_0\
);
\m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(26),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__1_n_0\
);
\m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(27),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__1_n_0\
);
\m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(28),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__1_n_0\
);
\m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(29),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__2_n_0\
);
\m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(30),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__1_n_0\
);
\m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(31),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_1__1_n_0\
);
\m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(32),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__1_n_0\
);
\m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(33),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__1_n_0\
);
\m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__1_n_0\
);
\m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__1_n_0\
);
\m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__1_n_0\
);
\m_payload_i[37]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[37]\,
O => \m_payload_i[37]_i_1_n_0\
);
\m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__1_n_0\
);
\m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__2_n_0\
);
\m_payload_i[40]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[40]\,
O => \m_payload_i[40]_i_1_n_0\
);
\m_payload_i[41]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[41]\,
O => \m_payload_i[41]_i_1_n_0\
);
\m_payload_i[42]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[42]\,
O => \m_payload_i[42]_i_1_n_0\
);
\m_payload_i[43]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[43]\,
O => \m_payload_i[43]_i_1_n_0\
);
\m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__1_n_0\
);
\m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__1_n_0\
);
\m_payload_i[46]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
O => p_1_in
);
\m_payload_i[46]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_2_n_0\
);
\m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__2_n_0\
);
\m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__2_n_0\
);
\m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__2_n_0\
);
\m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__2_n_0\
);
\m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__2_n_0\
);
\m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__2_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[14]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[15]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[16]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[17]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[18]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[19]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[20]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[21]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[22]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[23]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[24]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[25]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[26]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[27]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[28]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[29]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[30]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[31]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[32]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[33]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[34]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[35]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[36]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(36),
R => '0'
);
\m_payload_i_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[37]_i_1_n_0\,
Q => \s_axi_rid[11]\(37),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[38]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(38),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[39]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(39),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(3),
R => '0'
);
\m_payload_i_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[40]_i_1_n_0\,
Q => \s_axi_rid[11]\(40),
R => '0'
);
\m_payload_i_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[41]_i_1_n_0\,
Q => \s_axi_rid[11]\(41),
R => '0'
);
\m_payload_i_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[42]_i_1_n_0\,
Q => \s_axi_rid[11]\(42),
R => '0'
);
\m_payload_i_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[43]_i_1_n_0\,
Q => \s_axi_rid[11]\(43),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[44]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(44),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[45]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(45),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[46]_i_2_n_0\,
Q => \s_axi_rid[11]\(46),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(9),
R => '0'
);
\m_valid_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"4FFF"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
I2 => \cnt_read_reg[4]_rep__0\,
I3 => \^skid_buffer_reg[0]_0\,
O => \m_valid_i_i_1__2_n_0\
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \m_valid_i_i_1__2_n_0\,
Q => \^s_axi_rvalid\,
R => \aresetn_d_reg[1]_inv\
);
\s_ready_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F8FF"
)
port map (
I0 => \cnt_read_reg[4]_rep__0\,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
O => \s_ready_i_i_1__2_n_0\
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \s_ready_i_i_1__2_n_0\,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(32),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(33),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(0),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(1),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(2),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(3),
Q => \skid_buffer_reg_n_0_[37]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(4),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(5),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(6),
Q => \skid_buffer_reg_n_0_[40]\,
R => '0'
);
\skid_buffer_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(7),
Q => \skid_buffer_reg_n_0_[41]\,
R => '0'
);
\skid_buffer_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(8),
Q => \skid_buffer_reg_n_0_[42]\,
R => '0'
);
\skid_buffer_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(9),
Q => \skid_buffer_reg_n_0_[43]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(10),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(11),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(12),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_b_channel is
port (
si_rs_bvalid : out STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
areset_d1 : in STD_LOGIC;
aclk : in STD_LOGIC;
b_push : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_b_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_b_channel is
signal bid_fifo_0_n_3 : STD_LOGIC;
signal bid_fifo_0_n_5 : STD_LOGIC;
signal \bresp_cnt[7]_i_6_n_0\ : STD_LOGIC;
signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal bresp_push : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mhandshake : STD_LOGIC;
signal mhandshake_r : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s_bresp_acc0 : STD_LOGIC;
signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC;
signal shandshake : STD_LOGIC;
signal shandshake_r : STD_LOGIC;
signal \^si_rs_bvalid\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair124";
attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair124";
begin
si_rs_bvalid <= \^si_rs_bvalid\;
bid_fifo_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
SR(0) => s_bresp_acc0,
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0),
bresp_push => bresp_push,
bvalid_i_reg => bid_fifo_0_n_5,
bvalid_i_reg_0 => \^si_rs_bvalid\,
\cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0\,
\in\(15 downto 0) => \in\(15 downto 0),
mhandshake_r => mhandshake_r,
\out\(11 downto 0) => \out\(11 downto 0),
shandshake_r => shandshake_r,
si_rs_bready => si_rs_bready
);
\bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \bresp_cnt_reg__0\(0),
O => p_0_in(0)
);
\bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(1),
I1 => \bresp_cnt_reg__0\(0),
O => p_0_in(1)
);
\bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(2),
I1 => \bresp_cnt_reg__0\(0),
I2 => \bresp_cnt_reg__0\(1),
O => p_0_in(2)
);
\bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \bresp_cnt_reg__0\(3),
I1 => \bresp_cnt_reg__0\(1),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(2),
O => p_0_in(3)
);
\bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(4),
I1 => \bresp_cnt_reg__0\(2),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(1),
I4 => \bresp_cnt_reg__0\(3),
O => p_0_in(4)
);
\bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => p_0_in(5)
);
\bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(6),
I1 => \bresp_cnt[7]_i_6_n_0\,
O => p_0_in(6)
);
\bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(7),
I1 => \bresp_cnt[7]_i_6_n_0\,
I2 => \bresp_cnt_reg__0\(6),
O => p_0_in(7)
);
\bresp_cnt[7]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => \bresp_cnt[7]_i_6_n_0\
);
\bresp_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(0),
Q => \bresp_cnt_reg__0\(0),
R => s_bresp_acc0
);
\bresp_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(1),
Q => \bresp_cnt_reg__0\(1),
R => s_bresp_acc0
);
\bresp_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(2),
Q => \bresp_cnt_reg__0\(2),
R => s_bresp_acc0
);
\bresp_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(3),
Q => \bresp_cnt_reg__0\(3),
R => s_bresp_acc0
);
\bresp_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(4),
Q => \bresp_cnt_reg__0\(4),
R => s_bresp_acc0
);
\bresp_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(5),
Q => \bresp_cnt_reg__0\(5),
R => s_bresp_acc0
);
\bresp_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(6),
Q => \bresp_cnt_reg__0\(6),
R => s_bresp_acc0
);
\bresp_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(7),
Q => \bresp_cnt_reg__0\(7),
R => s_bresp_acc0
);
bresp_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(1) => \s_bresp_acc_reg_n_0_[1]\,
\in\(0) => \s_bresp_acc_reg_n_0_[0]\,
m_axi_bready => m_axi_bready,
m_axi_bvalid => m_axi_bvalid,
mhandshake => mhandshake,
mhandshake_r => mhandshake_r,
sel => bresp_push,
shandshake_r => shandshake_r,
\skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0)
);
bvalid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => bid_fifo_0_n_5,
Q => \^si_rs_bvalid\,
R => '0'
);
mhandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => mhandshake,
Q => mhandshake_r,
R => areset_d1
);
\s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EACEAAAA"
)
port map (
I0 => \s_bresp_acc_reg_n_0_[0]\,
I1 => m_axi_bresp(0),
I2 => m_axi_bresp(1),
I3 => \s_bresp_acc_reg_n_0_[1]\,
I4 => mhandshake,
I5 => s_bresp_acc0,
O => \s_bresp_acc[0]_i_1_n_0\
);
\s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00EC"
)
port map (
I0 => m_axi_bresp(1),
I1 => \s_bresp_acc_reg_n_0_[1]\,
I2 => mhandshake,
I3 => s_bresp_acc0,
O => \s_bresp_acc[1]_i_1_n_0\
);
\s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[0]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[0]\,
R => '0'
);
\s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[1]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[1]\,
R => '0'
);
shandshake_r_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^si_rs_bvalid\,
I1 => si_rs_bready,
O => shandshake
);
shandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => shandshake,
Q => shandshake_r,
R => areset_d1
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
port (
next_pending_r_reg : out STD_LOGIC;
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC;
\m_payload_i_reg[39]_0\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\m_payload_i_reg[47]_1\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_16 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
begin
incr_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd
port map (
E(0) => E(0),
Q(0) => Q(0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[0]_0\ => sel_first_0,
\axaddr_incr_reg[11]_0\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_14,
\axlen_cnt_reg[2]_0\ => \axlen_cnt_reg[2]\,
incr_next_pending => incr_next_pending,
\m_axi_awaddr[11]\ => incr_cmd_0_n_15,
\m_axi_awaddr[5]\ => incr_cmd_0_n_16,
\m_payload_i_reg[46]\(9 downto 8) => \m_payload_i_reg[47]\(18 downto 17),
\m_payload_i_reg[46]\(7 downto 5) => \m_payload_i_reg[47]\(14 downto 12),
\m_payload_i_reg[46]\(4) => \m_payload_i_reg[47]\(5),
\m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\next\ => \next\,
next_pending_r_reg_0 => next_pending_r_reg,
sel_first_reg_0 => sel_first_reg_1,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(0) => \state_reg[1]_0\(0)
);
\memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => \m_payload_i_reg[47]\(15),
I2 => s_axburst_eq0,
O => \state_reg[1]_rep\
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]\,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]_0\,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
wrap_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
aclk => aclk,
\axaddr_incr_reg[11]\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_14,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[47]\(19 downto 15),
\m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[47]\(13 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_1\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
sel_first_reg_0 => sel_first,
sel_first_reg_1 => sel_first_reg_2,
sel_first_reg_2 => incr_cmd_0_n_15,
sel_first_reg_3 => incr_cmd_0_n_16,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
port (
sel_first_reg_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
sel_first_reg_1 : out STD_LOGIC;
\axlen_cnt_reg[0]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
r_rlast : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
sel_first_reg_3 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]_rep_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 );
sel_first_reg_4 : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_17_b2s_cmd_translator";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_3 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
signal wrap_cmd_0_n_6 : STD_LOGIC;
signal wrap_cmd_0_n_7 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair17";
begin
incr_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2
port map (
E(0) => E(0),
O(3 downto 0) => O(3 downto 0),
Q(10 downto 8) => Q(18 downto 16),
Q(7 downto 5) => Q(14 downto 12),
Q(4) => Q(5),
Q(3 downto 0) => Q(3 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_incr_reg[0]_0\ => sel_first,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_10,
\axlen_cnt_reg[0]_0\ => \axlen_cnt_reg[0]\,
incr_next_pending => incr_next_pending,
\m_axi_araddr[11]\ => incr_cmd_0_n_11,
\m_axi_araddr[1]\ => incr_cmd_0_n_15,
\m_axi_araddr[2]\ => incr_cmd_0_n_14,
\m_axi_araddr[3]\ => incr_cmd_0_n_13,
\m_axi_araddr[5]\ => incr_cmd_0_n_12,
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
sel_first_reg_0 => sel_first_reg_2,
sel_first_reg_1(0) => sel_first_reg_4(0),
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\ => \state_reg[1]_0\,
\state_reg[1]_0\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
r_rlast_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => s_axburst_eq0,
I1 => Q(15),
I2 => s_axburst_eq1,
O => r_rlast
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_6,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_7,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
\state[1]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => Q(15),
I2 => s_axburst_eq0,
O => \state_reg[0]_rep\
);
wrap_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
Q(18 downto 14) => Q(19 downto 15),
Q(13 downto 0) => Q(13 downto 0),
aclk => aclk,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_10,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
incr_next_pending => incr_next_pending,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
s_axburst_eq0_reg => wrap_cmd_0_n_6,
s_axburst_eq1_reg => wrap_cmd_0_n_7,
sel_first_i => sel_first_i,
sel_first_reg_0 => sel_first_reg_1,
sel_first_reg_1 => sel_first_reg_3,
sel_first_reg_2 => incr_cmd_0_n_11,
sel_first_reg_3 => incr_cmd_0_n_12,
sel_first_reg_4 => incr_cmd_0_n_13,
sel_first_reg_5 => incr_cmd_0_n_14,
sel_first_reg_6 => incr_cmd_0_n_15,
si_rs_arvalid => si_rs_arvalid,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_r_channel is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
\state_reg[1]_rep_0\ : in STD_LOGIC;
aclk : in STD_LOGIC;
r_rlast : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_r_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_r_channel is
signal \^m_valid_i_reg\ : STD_LOGIC;
signal r_push_r : STD_LOGIC;
signal rd_data_fifo_0_n_0 : STD_LOGIC;
signal rd_data_fifo_0_n_1 : STD_LOGIC;
signal rd_data_fifo_0_n_2 : STD_LOGIC;
signal rd_data_fifo_0_n_4 : STD_LOGIC;
signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 );
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\r_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => trans_in(1),
R => '0'
);
\r_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(10),
Q => trans_in(11),
R => '0'
);
\r_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(11),
Q => trans_in(12),
R => '0'
);
\r_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => trans_in(2),
R => '0'
);
\r_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => trans_in(3),
R => '0'
);
\r_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => trans_in(4),
R => '0'
);
\r_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(4),
Q => trans_in(5),
R => '0'
);
\r_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(5),
Q => trans_in(6),
R => '0'
);
\r_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(6),
Q => trans_in(7),
R => '0'
);
\r_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(7),
Q => trans_in(8),
R => '0'
);
\r_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(8),
Q => trans_in(9),
R => '0'
);
\r_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(9),
Q => trans_in(10),
R => '0'
);
r_push_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \state_reg[1]_rep_0\,
Q => r_push_r,
R => '0'
);
r_rlast_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => r_rlast,
Q => trans_in(0),
R => '0'
);
rd_data_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[4]_rep__0_0\ => \^m_valid_i_reg\,
\cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_1,
\cnt_read_reg[4]_rep__2_2\ => rd_data_fifo_0_n_2,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
\out\(33 downto 0) => \out\(33 downto 0),
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\state_reg[1]_rep\ => rd_data_fifo_0_n_4
);
transaction_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[0]_rep__3\ => rd_data_fifo_0_n_2,
\cnt_read_reg[0]_rep__3_0\ => rd_data_fifo_0_n_4,
\cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2\ => rd_data_fifo_0_n_1,
\in\(12 downto 0) => trans_in(12 downto 0),
m_valid_i_reg => \^m_valid_i_reg\,
r_push_r => r_push_r,
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axi_register_slice is
port (
s_axi_awready : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
si_rs_awvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
si_rs_bready : out STD_LOGIC;
si_rs_arvalid : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
si_rs_rready : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axlen_cnt_reg[3]_0\ : out STD_LOGIC;
\s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]_0\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : out STD_LOGIC;
next_pending_r_reg_0 : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
aclk : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_2\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_4\ : in STD_LOGIC;
\state_reg[0]_rep_0\ : in STD_LOGIC;
\state_reg[1]_rep_2\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axi_register_slice;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axi_register_slice is
signal \ar.ar_pipe_n_2\ : STD_LOGIC;
signal \aw.aw_pipe_n_1\ : STD_LOGIC;
signal \aw.aw_pipe_n_90\ : STD_LOGIC;
begin
\ar.ar_pipe\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice
port map (
O(3 downto 0) => O(3 downto 0),
Q(54 downto 0) => \s_arid_r_reg[11]\(54 downto 0),
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[0]_0\ => \aw.aw_pipe_n_90\,
\axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
\axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
axaddr_offset_0(1 downto 0) => axaddr_offset_0(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset_0(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]_0\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_3\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_4\,
\axaddr_offset_r_reg[3]\ => si_rs_arvalid,
\axaddr_offset_r_reg[3]_0\(2 downto 0) => \axaddr_offset_r_reg[3]_1\(2 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_2\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\,
\m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
m_valid_i0 => m_valid_i0,
m_valid_i_reg_0 => \ar.ar_pipe_n_2\,
m_valid_i_reg_1(0) => m_valid_i_reg(0),
next_pending_r_reg => next_pending_r_reg_0,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_ready_i0 => s_ready_i0,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\(1 downto 0) => \state_reg[1]_0\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep_1\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_2\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]_0\,
\wrap_cnt_r_reg[3]\(1 downto 0) => \wrap_cnt_r_reg[3]_0\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]_0\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_2\(3 downto 0)
);
\aw.aw_pipe\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice_0
port map (
D(1 downto 0) => D(1 downto 0),
E(0) => E(0),
Q(54 downto 0) => Q(54 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
aresetn => aresetn,
\aresetn_d_reg[1]_inv\ => \aw.aw_pipe_n_90\,
\aresetn_d_reg[1]_inv_0\ => \ar.ar_pipe_n_2\,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(1 downto 0) => axaddr_offset(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_1\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_2\,
\axaddr_offset_r_reg[3]\(2 downto 0) => \axaddr_offset_r_reg[3]\(2 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\,
b_push => b_push,
m_valid_i_reg_0 => si_rs_awvalid,
next_pending_r_reg => next_pending_r_reg,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_ready_i_reg_0 => \aw.aw_pipe_n_1\,
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_0\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0)
);
\b.b_pipe\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\out\(11 downto 0) => \out\(11 downto 0),
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0),
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[0]_0\ => si_rs_bready
);
\r.r_pipe\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
\cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0),
\cnt_read_reg[4]_rep__0\ => \cnt_read_reg[4]_rep__0\,
r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0),
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\skid_buffer_reg[0]_0\ => si_rs_rready
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_ar_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
r_rlast : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_ar_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_ar_channel is
signal ar_cmd_fsm_0_n_0 : STD_LOGIC;
signal ar_cmd_fsm_0_n_10 : STD_LOGIC;
signal ar_cmd_fsm_0_n_16 : STD_LOGIC;
signal ar_cmd_fsm_0_n_6 : STD_LOGIC;
signal ar_cmd_fsm_0_n_8 : STD_LOGIC;
signal ar_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_10 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_3 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \^r_push_r_reg\ : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
r_push_r_reg <= \^r_push_r_reg\;
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
ar_cmd_fsm_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm
port map (
D(0) => ar_cmd_fsm_0_n_6,
E(0) => ar_cmd_fsm_0_n_8,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\axaddr_incr_reg[0]\(0) => ar_cmd_fsm_0_n_16,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axlen_cnt_reg[7]\ => ar_cmd_fsm_0_n_0,
\axlen_cnt_reg[7]_0\ => cmd_translator_0_n_3,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \m_payload_i_reg[0]\,
\m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]_0\,
\m_payload_i_reg[0]_1\(0) => E(0),
\m_payload_i_reg[46]\(0) => Q(18),
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
m_valid_i0 => m_valid_i0,
r_push_r_reg => \^r_push_r_reg\,
s_axburst_eq1_reg => cmd_translator_0_n_10,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => s_ready_i0,
s_ready_i_reg => s_ready_i_reg,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => ar_cmd_fsm_0_n_9,
sel_first_reg_0 => ar_cmd_fsm_0_n_10,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => cmd_translator_0_n_0,
si_rs_arvalid => si_rs_arvalid,
\wrap_boundary_axaddr_r_reg[11]\(0) => \^wrap_boundary_axaddr_r_reg[11]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
O(3 downto 0) => O(3 downto 0),
Q(19 downto 0) => Q(19 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[0]\ => cmd_translator_0_n_3,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => ar_cmd_fsm_0_n_8,
r_rlast => r_rlast,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_0,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => ar_cmd_fsm_0_n_10,
sel_first_reg_3 => ar_cmd_fsm_0_n_9,
sel_first_reg_4(0) => ar_cmd_fsm_0_n_16,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => cmd_translator_0_n_10,
\state_reg[0]_rep_0\ => \^m_payload_i_reg[0]_0\,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\ => ar_cmd_fsm_0_n_0,
\state_reg[1]_rep\ => \^r_push_r_reg\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 1) => D(2 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[3]_1\(2 downto 1) => \wrap_second_len_r_reg[3]_0\(1 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_6
);
\s_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \r_arid_r_reg[11]\(0),
R => '0'
);
\s_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \r_arid_r_reg[11]\(10),
R => '0'
);
\s_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \r_arid_r_reg[11]\(11),
R => '0'
);
\s_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \r_arid_r_reg[11]\(1),
R => '0'
);
\s_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \r_arid_r_reg[11]\(2),
R => '0'
);
\s_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \r_arid_r_reg[11]\(3),
R => '0'
);
\s_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \r_arid_r_reg[11]\(4),
R => '0'
);
\s_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \r_arid_r_reg[11]\(5),
R => '0'
);
\s_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \r_arid_r_reg[11]\(6),
R => '0'
);
\s_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \r_arid_r_reg[11]\(7),
R => '0'
);
\s_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \r_arid_r_reg[11]\(8),
R => '0'
);
\s_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \r_arid_r_reg[11]\(9),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_aw_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\in\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_aw_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_aw_channel is
signal aw_cmd_fsm_0_n_12 : STD_LOGIC;
signal aw_cmd_fsm_0_n_14 : STD_LOGIC;
signal aw_cmd_fsm_0_n_15 : STD_LOGIC;
signal aw_cmd_fsm_0_n_16 : STD_LOGIC;
signal aw_cmd_fsm_0_n_2 : STD_LOGIC;
signal aw_cmd_fsm_0_n_8 : STD_LOGIC;
signal aw_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_12 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_5 : STD_LOGIC;
signal cmd_translator_0_n_6 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal \next\ : STD_LOGIC;
signal sel_first : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
aw_cmd_fsm_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm
port map (
D(0) => wrap_cnt(0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_wrap_reg[11]\(0) => aw_cmd_fsm_0_n_14,
\axlen_cnt_reg[0]\(0) => aw_cmd_fsm_0_n_8,
\axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_5,
\axlen_cnt_reg[7]\ => \axlen_cnt_reg[7]\,
\axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]_0\,
\axlen_cnt_reg[7]_1\ => aw_cmd_fsm_0_n_2,
\axlen_cnt_reg[7]_2\ => cmd_translator_0_n_6,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
incr_next_pending => incr_next_pending,
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[0]\(0) => E(0),
\m_payload_i_reg[46]\(2) => Q(18),
\m_payload_i_reg[46]\(1 downto 0) => Q(16 downto 15),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
s_axburst_eq0_reg => aw_cmd_fsm_0_n_9,
s_axburst_eq1_reg => aw_cmd_fsm_0_n_12,
s_axburst_eq1_reg_0 => cmd_translator_0_n_12,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => aw_cmd_fsm_0_n_15,
sel_first_reg_0 => aw_cmd_fsm_0_n_16,
sel_first_reg_1 => cmd_translator_0_n_2,
si_rs_awvalid => si_rs_awvalid,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_cmd_translator
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(0) => cmd_translator_0_n_5,
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[2]\ => cmd_translator_0_n_6,
incr_next_pending => incr_next_pending,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_9,
\m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_12,
\m_payload_i_reg[47]\(19 downto 0) => Q(19 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_1\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_2,
sel_first_reg_1 => aw_cmd_fsm_0_n_16,
sel_first_reg_2 => aw_cmd_fsm_0_n_15,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => aw_cmd_fsm_0_n_14,
\state_reg[0]_rep\ => aw_cmd_fsm_0_n_2,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\(0) => aw_cmd_fsm_0_n_8,
\state_reg[1]_rep\ => cmd_translator_0_n_12,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 1) => D(1 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => wrap_cnt(0),
\wrap_second_len_r_reg[3]_1\(3 downto 1) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => \wrap_cmd_0/wrap_second_len\(0)
);
\s_awid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \in\(4),
R => '0'
);
\s_awid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \in\(14),
R => '0'
);
\s_awid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \in\(15),
R => '0'
);
\s_awid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \in\(5),
R => '0'
);
\s_awid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \in\(6),
R => '0'
);
\s_awid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \in\(7),
R => '0'
);
\s_awid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \in\(8),
R => '0'
);
\s_awid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \in\(9),
R => '0'
);
\s_awid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \in\(10),
R => '0'
);
\s_awid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \in\(11),
R => '0'
);
\s_awid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \in\(12),
R => '0'
);
\s_awid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \in\(13),
R => '0'
);
\s_awlen_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(16),
Q => \in\(0),
R => '0'
);
\s_awlen_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(17),
Q => \in\(1),
R => '0'
);
\s_awlen_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(18),
Q => \in\(2),
R => '0'
);
\s_awlen_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(19),
Q => \in\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s is
port (
s_axi_rvalid : out STD_LOGIC;
s_axi_awready : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_arready : out STD_LOGIC;
\m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_bvalid : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awready : in STD_LOGIC;
m_axi_arready : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
aclk : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awvalid : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
aresetn : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s is
signal \RD.ar_channel_0_n_0\ : STD_LOGIC;
signal \RD.ar_channel_0_n_10\ : STD_LOGIC;
signal \RD.ar_channel_0_n_11\ : STD_LOGIC;
signal \RD.ar_channel_0_n_16\ : STD_LOGIC;
signal \RD.ar_channel_0_n_3\ : STD_LOGIC;
signal \RD.ar_channel_0_n_4\ : STD_LOGIC;
signal \RD.ar_channel_0_n_46\ : STD_LOGIC;
signal \RD.ar_channel_0_n_47\ : STD_LOGIC;
signal \RD.ar_channel_0_n_48\ : STD_LOGIC;
signal \RD.ar_channel_0_n_49\ : STD_LOGIC;
signal \RD.ar_channel_0_n_5\ : STD_LOGIC;
signal \RD.r_channel_0_n_0\ : STD_LOGIC;
signal \RD.r_channel_0_n_1\ : STD_LOGIC;
signal SI_REG_n_132 : STD_LOGIC;
signal SI_REG_n_133 : STD_LOGIC;
signal SI_REG_n_134 : STD_LOGIC;
signal SI_REG_n_135 : STD_LOGIC;
signal SI_REG_n_136 : STD_LOGIC;
signal SI_REG_n_137 : STD_LOGIC;
signal SI_REG_n_138 : STD_LOGIC;
signal SI_REG_n_139 : STD_LOGIC;
signal SI_REG_n_140 : STD_LOGIC;
signal SI_REG_n_141 : STD_LOGIC;
signal SI_REG_n_142 : STD_LOGIC;
signal SI_REG_n_143 : STD_LOGIC;
signal SI_REG_n_149 : STD_LOGIC;
signal SI_REG_n_153 : STD_LOGIC;
signal SI_REG_n_154 : STD_LOGIC;
signal SI_REG_n_155 : STD_LOGIC;
signal SI_REG_n_156 : STD_LOGIC;
signal SI_REG_n_157 : STD_LOGIC;
signal SI_REG_n_161 : STD_LOGIC;
signal SI_REG_n_165 : STD_LOGIC;
signal SI_REG_n_166 : STD_LOGIC;
signal SI_REG_n_167 : STD_LOGIC;
signal SI_REG_n_168 : STD_LOGIC;
signal SI_REG_n_169 : STD_LOGIC;
signal SI_REG_n_170 : STD_LOGIC;
signal SI_REG_n_171 : STD_LOGIC;
signal SI_REG_n_172 : STD_LOGIC;
signal SI_REG_n_173 : STD_LOGIC;
signal SI_REG_n_174 : STD_LOGIC;
signal SI_REG_n_175 : STD_LOGIC;
signal SI_REG_n_176 : STD_LOGIC;
signal SI_REG_n_177 : STD_LOGIC;
signal SI_REG_n_178 : STD_LOGIC;
signal SI_REG_n_179 : STD_LOGIC;
signal SI_REG_n_180 : STD_LOGIC;
signal SI_REG_n_181 : STD_LOGIC;
signal SI_REG_n_182 : STD_LOGIC;
signal SI_REG_n_26 : STD_LOGIC;
signal SI_REG_n_64 : STD_LOGIC;
signal SI_REG_n_8 : STD_LOGIC;
signal SI_REG_n_82 : STD_LOGIC;
signal \WR.aw_channel_0_n_0\ : STD_LOGIC;
signal \WR.aw_channel_0_n_10\ : STD_LOGIC;
signal \WR.aw_channel_0_n_15\ : STD_LOGIC;
signal \WR.aw_channel_0_n_3\ : STD_LOGIC;
signal \WR.aw_channel_0_n_4\ : STD_LOGIC;
signal \WR.aw_channel_0_n_47\ : STD_LOGIC;
signal \WR.aw_channel_0_n_48\ : STD_LOGIC;
signal \WR.aw_channel_0_n_49\ : STD_LOGIC;
signal \WR.aw_channel_0_n_50\ : STD_LOGIC;
signal \WR.aw_channel_0_n_9\ : STD_LOGIC;
signal \WR.b_channel_0_n_1\ : STD_LOGIC;
signal \WR.b_channel_0_n_2\ : STD_LOGIC;
signal \ar.ar_pipe/m_valid_i0\ : STD_LOGIC;
signal \ar.ar_pipe/p_1_in\ : STD_LOGIC;
signal \ar.ar_pipe/s_ready_i0\ : STD_LOGIC;
signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal areset_d1 : STD_LOGIC;
signal areset_d1_i_1_n_0 : STD_LOGIC;
signal \aw.aw_pipe/p_1_in\ : STD_LOGIC;
signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axaddr_incr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal b_push : STD_LOGIC;
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal r_rlast : STD_LOGIC;
signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \^s_axi_arready\ : STD_LOGIC;
signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_arvalid : STD_LOGIC;
signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_awvalid : STD_LOGIC;
signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_bready : STD_LOGIC;
signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_bvalid : STD_LOGIC;
signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 );
signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_rlast : STD_LOGIC;
signal si_rs_rready : STD_LOGIC;
signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 2 );
begin
s_axi_arready <= \^s_axi_arready\;
\RD.ar_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_ar_channel
port map (
D(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
E(0) => \ar.ar_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(31 downto 20) => s_arid(11 downto 0),
Q(19 downto 16) => si_rs_arlen(3 downto 0),
Q(15) => si_rs_arburst(1),
Q(14) => SI_REG_n_82,
Q(13 downto 12) => si_rs_arsize(1 downto 0),
Q(11 downto 0) => si_rs_araddr(11 downto 0),
S(3) => \RD.ar_channel_0_n_46\,
S(2) => \RD.ar_channel_0_n_47\,
S(1) => \RD.ar_channel_0_n_48\,
S(0) => \RD.ar_channel_0_n_49\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_161,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_165,
\cnt_read_reg[2]_rep__0\ => \RD.r_channel_0_n_1\,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \RD.ar_channel_0_n_4\,
\m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_5\,
\m_payload_i_reg[3]\(3) => SI_REG_n_132,
\m_payload_i_reg[3]\(2) => SI_REG_n_133,
\m_payload_i_reg[3]\(1) => SI_REG_n_134,
\m_payload_i_reg[3]\(0) => SI_REG_n_135,
\m_payload_i_reg[47]\ => SI_REG_n_64,
\m_payload_i_reg[47]_0\ => SI_REG_n_167,
\m_payload_i_reg[5]\ => SI_REG_n_166,
\m_payload_i_reg[6]\(6) => SI_REG_n_176,
\m_payload_i_reg[6]\(5) => SI_REG_n_177,
\m_payload_i_reg[6]\(4) => SI_REG_n_178,
\m_payload_i_reg[6]\(3) => SI_REG_n_179,
\m_payload_i_reg[6]\(2) => SI_REG_n_180,
\m_payload_i_reg[6]\(1) => SI_REG_n_181,
\m_payload_i_reg[6]\(0) => SI_REG_n_182,
\m_payload_i_reg[7]\(3) => SI_REG_n_136,
\m_payload_i_reg[7]\(2) => SI_REG_n_137,
\m_payload_i_reg[7]\(1) => SI_REG_n_138,
\m_payload_i_reg[7]\(0) => SI_REG_n_139,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
\r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0),
r_push_r_reg => \RD.ar_channel_0_n_3\,
r_rlast => r_rlast,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
s_ready_i_reg => \^s_axi_arready\,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \RD.ar_channel_0_n_10\,
\wrap_cnt_r_reg[3]_0\ => \RD.ar_channel_0_n_11\,
\wrap_cnt_r_reg[3]_1\ => \RD.ar_channel_0_n_16\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_157
);
\RD.r_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_r_channel
port map (
D(11 downto 0) => s_arid_r(11 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
m_valid_i_reg => \RD.r_channel_0_n_0\,
\out\(33 downto 32) => si_rs_rresp(1 downto 0),
\out\(31 downto 0) => si_rs_rdata(31 downto 0),
r_rlast => r_rlast,
s_ready_i_reg => SI_REG_n_168,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0),
\skid_buffer_reg[46]\(0) => si_rs_rlast,
\state_reg[1]_rep\ => \RD.r_channel_0_n_1\,
\state_reg[1]_rep_0\ => \RD.ar_channel_0_n_3\
);
SI_REG: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_17_axi_register_slice
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(54 downto 43) => s_awid(11 downto 0),
Q(42 downto 39) => si_rs_awlen(3 downto 0),
Q(38) => si_rs_awburst(1),
Q(37) => SI_REG_n_26,
Q(36 downto 35) => si_rs_awsize(1 downto 0),
Q(34 downto 12) => Q(22 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
aresetn => aresetn,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[3]\(3) => SI_REG_n_132,
\axaddr_incr_reg[3]\(2) => SI_REG_n_133,
\axaddr_incr_reg[3]\(1) => SI_REG_n_134,
\axaddr_incr_reg[3]\(0) => SI_REG_n_135,
\axaddr_incr_reg[7]\(3) => SI_REG_n_136,
\axaddr_incr_reg[7]\(2) => SI_REG_n_137,
\axaddr_incr_reg[7]\(1) => SI_REG_n_138,
\axaddr_incr_reg[7]\(0) => SI_REG_n_139,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
axaddr_offset_0(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset_0(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\ => SI_REG_n_154,
\axaddr_offset_r_reg[2]_0\ => SI_REG_n_166,
\axaddr_offset_r_reg[2]_1\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[2]_2\ => \WR.aw_channel_0_n_15\,
\axaddr_offset_r_reg[2]_3\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[2]_4\ => \RD.ar_channel_0_n_16\,
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\axaddr_offset_r_reg[3]_1\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]_1\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_2\ => \RD.ar_channel_0_n_11\,
\axlen_cnt_reg[3]\ => SI_REG_n_8,
\axlen_cnt_reg[3]_0\ => SI_REG_n_64,
b_push => b_push,
\cnt_read_reg[2]_rep__0\ => SI_REG_n_168,
\cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0),
\cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0),
\cnt_read_reg[4]_rep__0\ => \RD.r_channel_0_n_0\,
\m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_46\,
\m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_47\,
\m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_48\,
\m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_49\,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
m_valid_i_reg(0) => \ar.ar_pipe/p_1_in\,
next_pending_r_reg => SI_REG_n_155,
next_pending_r_reg_0 => SI_REG_n_167,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0),
r_push_r_reg(0) => si_rs_rlast,
\s_arid_r_reg[11]\(54 downto 43) => s_arid(11 downto 0),
\s_arid_r_reg[11]\(42 downto 39) => si_rs_arlen(3 downto 0),
\s_arid_r_reg[11]\(38) => si_rs_arburst(1),
\s_arid_r_reg[11]\(37) => SI_REG_n_82,
\s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0),
\s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0),
\s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0),
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => \^s_axi_arready\,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0),
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
si_rs_arvalid => si_rs_arvalid,
si_rs_awvalid => si_rs_awvalid,
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
si_rs_rready => si_rs_rready,
\state_reg[0]_rep\ => \WR.aw_channel_0_n_4\,
\state_reg[0]_rep_0\ => \RD.ar_channel_0_n_5\,
\state_reg[1]\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_0\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_rep\ => \WR.aw_channel_0_n_0\,
\state_reg[1]_rep_0\ => \WR.aw_channel_0_n_3\,
\state_reg[1]_rep_1\ => \RD.ar_channel_0_n_0\,
\state_reg[1]_rep_2\ => \RD.ar_channel_0_n_4\,
\wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_169,
\wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_170,
\wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_171,
\wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_172,
\wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_173,
\wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_174,
\wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_175,
\wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_176,
\wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_177,
\wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_178,
\wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_179,
\wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_180,
\wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_181,
\wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_182,
\wrap_cnt_r_reg[2]\ => SI_REG_n_149,
\wrap_cnt_r_reg[2]_0\ => SI_REG_n_161,
\wrap_cnt_r_reg[3]\ => SI_REG_n_153,
\wrap_cnt_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_cnt_r_reg[3]_0\(0) => SI_REG_n_157,
\wrap_cnt_r_reg[3]_1\ => SI_REG_n_165,
\wrap_second_len_r_reg[1]\ => \WR.aw_channel_0_n_9\,
\wrap_second_len_r_reg[1]_0\ => \RD.ar_channel_0_n_10\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0)
);
\WR.aw_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_aw_channel
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
Q(31 downto 20) => s_awid(11 downto 0),
Q(19 downto 16) => si_rs_awlen(3 downto 0),
Q(15) => si_rs_awburst(1),
Q(14) => SI_REG_n_26,
Q(13 downto 12) => si_rs_awsize(1 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_149,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_153,
\axlen_cnt_reg[7]\ => \WR.aw_channel_0_n_3\,
\axlen_cnt_reg[7]_0\ => \WR.aw_channel_0_n_4\,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[47]\ => SI_REG_n_8,
\m_payload_i_reg[47]_0\ => SI_REG_n_155,
\m_payload_i_reg[5]\ => SI_REG_n_154,
\m_payload_i_reg[6]\(6) => SI_REG_n_169,
\m_payload_i_reg[6]\(5) => SI_REG_n_170,
\m_payload_i_reg[6]\(4) => SI_REG_n_171,
\m_payload_i_reg[6]\(3) => SI_REG_n_172,
\m_payload_i_reg[6]\(2) => SI_REG_n_173,
\m_payload_i_reg[6]\(1) => SI_REG_n_174,
\m_payload_i_reg[6]\(0) => SI_REG_n_175,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]_rep\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \WR.aw_channel_0_n_9\,
\wrap_cnt_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\wrap_cnt_r_reg[3]_1\ => \WR.aw_channel_0_n_15\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1)
);
\WR.b_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s_b_channel
port map (
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0)
);
areset_d1_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aresetn,
O => areset_d1_i_1_n_0
);
areset_d1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => areset_d1_i_1_n_0,
Q => areset_d1,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_DECERR : string;
attribute P_DECERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b11";
attribute P_INCR : string;
attribute P_INCR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b10";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axi_wready\ : STD_LOGIC;
signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^s_axi_wvalid\ : STD_LOGIC;
begin
\^m_axi_wready\ <= m_axi_wready;
\^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0);
\^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0);
\^s_axi_wvalid\ <= s_axi_wvalid;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const1>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(11) <= \<const0>\;
m_axi_arid(10) <= \<const0>\;
m_axi_arid(9) <= \<const0>\;
m_axi_arid(8) <= \<const0>\;
m_axi_arid(7) <= \<const0>\;
m_axi_arid(6) <= \<const0>\;
m_axi_arid(5) <= \<const0>\;
m_axi_arid(4) <= \<const0>\;
m_axi_arid(3) <= \<const0>\;
m_axi_arid(2) <= \<const0>\;
m_axi_arid(1) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const1>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const1>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(11) <= \<const0>\;
m_axi_awid(10) <= \<const0>\;
m_axi_awid(9) <= \<const0>\;
m_axi_awid(8) <= \<const0>\;
m_axi_awid(7) <= \<const0>\;
m_axi_awid(6) <= \<const0>\;
m_axi_awid(5) <= \<const0>\;
m_axi_awid(4) <= \<const0>\;
m_axi_awid(3) <= \<const0>\;
m_axi_awid(2) <= \<const0>\;
m_axi_awid(1) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const1>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0);
m_axi_wid(11) <= \<const0>\;
m_axi_wid(10) <= \<const0>\;
m_axi_wid(9) <= \<const0>\;
m_axi_wid(8) <= \<const0>\;
m_axi_wid(7) <= \<const0>\;
m_axi_wid(6) <= \<const0>\;
m_axi_wid(5) <= \<const0>\;
m_axi_wid(4) <= \<const0>\;
m_axi_wid(3) <= \<const0>\;
m_axi_wid(2) <= \<const0>\;
m_axi_wid(1) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const1>\;
m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0);
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \^s_axi_wvalid\;
s_axi_buser(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_wready <= \^m_axi_wready\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_b2s
port map (
Q(22 downto 20) => m_axi_awprot(2 downto 0),
Q(19 downto 0) => m_axi_awaddr(31 downto 12),
aclk => aclk,
aresetn => aresetn,
\in\(33 downto 32) => m_axi_rresp(1 downto 0),
\in\(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0),
\m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0),
\s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0),
\s_axi_rid[11]\(34) => s_axi_rlast,
\s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0),
\s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "gcd_block_design_auto_pc_1,axi_protocol_converter_v2_1_17_axi_protocol_converter,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "axi_protocol_converter_v2_1_17_axi_protocol_converter,Vivado 2018.2";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of inst : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of inst : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of inst : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of inst : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of inst : label is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of inst : label is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of inst : label is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of inst : label is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of inst : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of inst : label is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of inst : label is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of inst : label is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of inst : label is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of inst : label is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of inst : label is 2;
attribute DowngradeIPIdentifiedWarnings of inst : label is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of inst : label is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of inst : label is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of inst : label is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of inst : label is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of inst : label is 2;
attribute P_DECERR : string;
attribute P_DECERR of inst : label is "2'b11";
attribute P_INCR : string;
attribute P_INCR of inst : label is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of inst : label is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of inst : label is "2'b10";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of aclk : signal is "xilinx.com:signal:clock:1.0 CLK CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of aclk : signal is "XIL_INTERFACENAME CLK, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0, ASSOCIATED_BUSIF S_AXI:M_AXI, ASSOCIATED_RESET ARESETN";
attribute X_INTERFACE_INFO of aresetn : signal is "xilinx.com:signal:reset:1.0 RST RST";
attribute X_INTERFACE_PARAMETER of aresetn : signal is "XIL_INTERFACENAME RST, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
attribute X_INTERFACE_INFO of m_axi_arready : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARREADY";
attribute X_INTERFACE_INFO of m_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARVALID";
attribute X_INTERFACE_INFO of m_axi_awready : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWREADY";
attribute X_INTERFACE_INFO of m_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWVALID";
attribute X_INTERFACE_INFO of m_axi_bready : signal is "xilinx.com:interface:aximm:1.0 M_AXI BREADY";
attribute X_INTERFACE_INFO of m_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI BVALID";
attribute X_INTERFACE_INFO of m_axi_rready : signal is "xilinx.com:interface:aximm:1.0 M_AXI RREADY";
attribute X_INTERFACE_PARAMETER of m_axi_rready : signal is "XIL_INTERFACENAME M_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of m_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI RVALID";
attribute X_INTERFACE_INFO of m_axi_wready : signal is "xilinx.com:interface:aximm:1.0 M_AXI WREADY";
attribute X_INTERFACE_INFO of m_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI WVALID";
attribute X_INTERFACE_INFO of s_axi_arready : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
attribute X_INTERFACE_INFO of s_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
attribute X_INTERFACE_INFO of s_axi_awready : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
attribute X_INTERFACE_INFO of s_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
attribute X_INTERFACE_INFO of s_axi_bready : signal is "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
attribute X_INTERFACE_INFO of s_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
attribute X_INTERFACE_INFO of s_axi_rlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI RLAST";
attribute X_INTERFACE_INFO of s_axi_rready : signal is "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_rready : signal is "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 100000000, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
attribute X_INTERFACE_INFO of s_axi_wlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI WLAST";
attribute X_INTERFACE_INFO of s_axi_wready : signal is "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
attribute X_INTERFACE_INFO of s_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
attribute X_INTERFACE_INFO of m_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARADDR";
attribute X_INTERFACE_INFO of m_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARPROT";
attribute X_INTERFACE_INFO of m_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWADDR";
attribute X_INTERFACE_INFO of m_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWPROT";
attribute X_INTERFACE_INFO of m_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI BRESP";
attribute X_INTERFACE_INFO of m_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI RDATA";
attribute X_INTERFACE_INFO of m_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI RRESP";
attribute X_INTERFACE_INFO of m_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI WDATA";
attribute X_INTERFACE_INFO of m_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 M_AXI WSTRB";
attribute X_INTERFACE_INFO of s_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
attribute X_INTERFACE_INFO of s_axi_arburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARBURST";
attribute X_INTERFACE_INFO of s_axi_arcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE";
attribute X_INTERFACE_INFO of s_axi_arid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARID";
attribute X_INTERFACE_INFO of s_axi_arlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLEN";
attribute X_INTERFACE_INFO of s_axi_arlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK";
attribute X_INTERFACE_INFO of s_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARPROT";
attribute X_INTERFACE_INFO of s_axi_arqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARQOS";
attribute X_INTERFACE_INFO of s_axi_arsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE";
attribute X_INTERFACE_INFO of s_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
attribute X_INTERFACE_INFO of s_axi_awburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWBURST";
attribute X_INTERFACE_INFO of s_axi_awcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE";
attribute X_INTERFACE_INFO of s_axi_awid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWID";
attribute X_INTERFACE_INFO of s_axi_awlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLEN";
attribute X_INTERFACE_INFO of s_axi_awlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK";
attribute X_INTERFACE_INFO of s_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWPROT";
attribute X_INTERFACE_INFO of s_axi_awqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWQOS";
attribute X_INTERFACE_INFO of s_axi_awsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE";
attribute X_INTERFACE_INFO of s_axi_bid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BID";
attribute X_INTERFACE_INFO of s_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
attribute X_INTERFACE_INFO of s_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
attribute X_INTERFACE_INFO of s_axi_rid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RID";
attribute X_INTERFACE_INFO of s_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
attribute X_INTERFACE_INFO of s_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
attribute X_INTERFACE_INFO of s_axi_wid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WID";
attribute X_INTERFACE_INFO of s_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_17_axi_protocol_converter
port map (
aclk => aclk,
aresetn => aresetn,
m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0),
m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0),
m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => m_axi_awvalid,
m_axi_bid(11 downto 0) => B"000000000000",
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_buser(0) => '0',
m_axi_bvalid => m_axi_bvalid,
m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_rid(11 downto 0) => B"000000000000",
m_axi_rlast => '1',
m_axi_rready => m_axi_rready,
m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0),
m_axi_ruser(0) => '0',
m_axi_rvalid => m_axi_rvalid,
m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0),
m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0),
m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED,
m_axi_wready => m_axi_wready,
m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0),
m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => m_axi_wvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0),
s_axi_aruser(0) => '0',
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0),
s_axi_awuser(0) => '0',
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0),
s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wuser(0) => '0',
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
| mit | cf6d816e4f9e8ca05fc02e207bc977f1 | 0.536415 | 2.550777 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd/gcd.cache/ip/2018.2/5aea95b49c8de87e/gcd_block_design_rst_ps7_0_50M_0_sim_netlist.vhdl | 1 | 35,480 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Tue Sep 17 15:49:28 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ gcd_block_design_rst_ps7_0_50M_0_sim_netlist.vhdl
-- Design : gcd_block_design_rst_ps7_0_50M_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync is
port (
lpf_asr_reg : out STD_LOGIC;
scndry_out : out STD_LOGIC;
lpf_asr : in STD_LOGIC;
p_1_in : in STD_LOGIC;
p_2_in : in STD_LOGIC;
asr_lpf : in STD_LOGIC_VECTOR ( 0 to 0 );
aux_reset_in : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync is
signal asr_d1 : STD_LOGIC;
signal s_level_out_d1_cdc_to : STD_LOGIC;
signal s_level_out_d2 : STD_LOGIC;
signal s_level_out_d3 : STD_LOGIC;
signal \^scndry_out\ : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR";
attribute box_type : string;
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE";
begin
scndry_out <= \^scndry_out\;
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => asr_d1,
Q => s_level_out_d1_cdc_to,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aux_reset_in,
O => asr_d1
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d1_cdc_to,
Q => s_level_out_d2,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d2,
Q => s_level_out_d3,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d3,
Q => \^scndry_out\,
R => '0'
);
lpf_asr_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EAAAAAA8"
)
port map (
I0 => lpf_asr,
I1 => p_1_in,
I2 => p_2_in,
I3 => \^scndry_out\,
I4 => asr_lpf(0),
O => lpf_asr_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 is
port (
lpf_exr_reg : out STD_LOGIC;
scndry_out : out STD_LOGIC;
lpf_exr : in STD_LOGIC;
p_3_out : in STD_LOGIC_VECTOR ( 2 downto 0 );
mb_debug_sys_rst : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 : entity is "cdc_sync";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 is
signal exr_d1 : STD_LOGIC;
signal s_level_out_d1_cdc_to : STD_LOGIC;
signal s_level_out_d2 : STD_LOGIC;
signal s_level_out_d3 : STD_LOGIC;
signal \^scndry_out\ : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR";
attribute box_type : string;
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE";
begin
scndry_out <= \^scndry_out\;
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => exr_d1,
Q => s_level_out_d1_cdc_to,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => mb_debug_sys_rst,
I1 => ext_reset_in,
O => exr_d1
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d1_cdc_to,
Q => s_level_out_d2,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d2,
Q => s_level_out_d3,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d3,
Q => \^scndry_out\,
R => '0'
);
lpf_exr_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EAAAAAA8"
)
port map (
I0 => lpf_exr,
I1 => p_3_out(1),
I2 => p_3_out(2),
I3 => \^scndry_out\,
I4 => p_3_out(0),
O => lpf_exr_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n is
port (
Q : out STD_LOGIC_VECTOR ( 5 downto 0 );
seq_clr : in STD_LOGIC;
seq_cnt_en : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n is
signal \^q\ : STD_LOGIC_VECTOR ( 5 downto 0 );
signal clear : STD_LOGIC;
signal q_int0 : STD_LOGIC_VECTOR ( 5 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \q_int[1]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \q_int[2]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \q_int[3]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \q_int[4]_i_1\ : label is "soft_lutpair0";
begin
Q(5 downto 0) <= \^q\(5 downto 0);
\q_int[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => q_int0(0)
);
\q_int[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => q_int0(1)
);
\q_int[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
I2 => \^q\(2),
O => q_int0(2)
);
\q_int[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => \^q\(2),
I3 => \^q\(3),
O => q_int0(3)
);
\q_int[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
I3 => \^q\(3),
I4 => \^q\(4),
O => q_int0(4)
);
\q_int[5]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => seq_clr,
O => clear
);
\q_int[5]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => \^q\(3),
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \^q\(2),
I4 => \^q\(4),
I5 => \^q\(5),
O => q_int0(5)
);
\q_int_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(0),
Q => \^q\(0),
R => clear
);
\q_int_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(1),
Q => \^q\(1),
R => clear
);
\q_int_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(2),
Q => \^q\(2),
R => clear
);
\q_int_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(3),
Q => \^q\(3),
R => clear
);
\q_int_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(4),
Q => \^q\(4),
R => clear
);
\q_int_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(5),
Q => \^q\(5),
R => clear
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf is
port (
lpf_int : out STD_LOGIC;
slowest_sync_clk : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf is
signal \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\ : STD_LOGIC;
signal \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\ : STD_LOGIC;
signal Q : STD_LOGIC;
signal asr_lpf : STD_LOGIC_VECTOR ( 0 to 0 );
signal lpf_asr : STD_LOGIC;
signal lpf_exr : STD_LOGIC;
signal \lpf_int0__0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal p_2_in : STD_LOGIC;
signal p_3_in1_in : STD_LOGIC;
signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of POR_SRL_I : label is "SRL16";
attribute box_type : string;
attribute box_type of POR_SRL_I : label is "PRIMITIVE";
attribute srl_name : string;
attribute srl_name of POR_SRL_I : label is "U0/\EXT_LPF/POR_SRL_I ";
begin
\ACTIVE_LOW_AUX.ACT_LO_AUX\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync
port map (
asr_lpf(0) => asr_lpf(0),
aux_reset_in => aux_reset_in,
lpf_asr => lpf_asr,
lpf_asr_reg => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\,
p_1_in => p_1_in,
p_2_in => p_2_in,
scndry_out => p_3_in1_in,
slowest_sync_clk => slowest_sync_clk
);
\ACTIVE_LOW_EXT.ACT_LO_EXT\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0
port map (
ext_reset_in => ext_reset_in,
lpf_exr => lpf_exr,
lpf_exr_reg => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\,
mb_debug_sys_rst => mb_debug_sys_rst,
p_3_out(2 downto 0) => p_3_out(2 downto 0),
scndry_out => p_3_out(3),
slowest_sync_clk => slowest_sync_clk
);
\AUX_LPF[1].asr_lpf_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_in1_in,
Q => p_2_in,
R => '0'
);
\AUX_LPF[2].asr_lpf_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_2_in,
Q => p_1_in,
R => '0'
);
\AUX_LPF[3].asr_lpf_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_1_in,
Q => asr_lpf(0),
R => '0'
);
\EXT_LPF[1].exr_lpf_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(3),
Q => p_3_out(2),
R => '0'
);
\EXT_LPF[2].exr_lpf_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(2),
Q => p_3_out(1),
R => '0'
);
\EXT_LPF[3].exr_lpf_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(1),
Q => p_3_out(0),
R => '0'
);
POR_SRL_I: unisim.vcomponents.SRL16E
generic map(
INIT => X"FFFF"
)
port map (
A0 => '1',
A1 => '1',
A2 => '1',
A3 => '1',
CE => '1',
CLK => slowest_sync_clk,
D => '0',
Q => Q
);
lpf_asr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\,
Q => lpf_asr,
R => '0'
);
lpf_exr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\,
Q => lpf_exr,
R => '0'
);
lpf_int0: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFD"
)
port map (
I0 => dcm_locked,
I1 => lpf_exr,
I2 => lpf_asr,
I3 => Q,
O => \lpf_int0__0\
);
lpf_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \lpf_int0__0\,
Q => lpf_int,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr is
port (
MB_out : out STD_LOGIC;
Bsr_out : out STD_LOGIC;
Pr_out : out STD_LOGIC;
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\ : out STD_LOGIC;
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\ : out STD_LOGIC;
lpf_int : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr is
signal \^bsr_out\ : STD_LOGIC;
signal Core_i_1_n_0 : STD_LOGIC;
signal \^mb_out\ : STD_LOGIC;
signal \^pr_out\ : STD_LOGIC;
signal \bsr_dec_reg_n_0_[0]\ : STD_LOGIC;
signal \bsr_dec_reg_n_0_[2]\ : STD_LOGIC;
signal bsr_i_1_n_0 : STD_LOGIC;
signal \core_dec[0]_i_1_n_0\ : STD_LOGIC;
signal \core_dec[2]_i_1_n_0\ : STD_LOGIC;
signal \core_dec_reg_n_0_[0]\ : STD_LOGIC;
signal \core_dec_reg_n_0_[1]\ : STD_LOGIC;
signal from_sys_i_1_n_0 : STD_LOGIC;
signal p_0_in : STD_LOGIC;
signal p_3_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal p_5_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \pr_dec0__0\ : STD_LOGIC;
signal \pr_dec_reg_n_0_[0]\ : STD_LOGIC;
signal \pr_dec_reg_n_0_[2]\ : STD_LOGIC;
signal pr_i_1_n_0 : STD_LOGIC;
signal seq_clr : STD_LOGIC;
signal seq_cnt : STD_LOGIC_VECTOR ( 5 downto 0 );
signal seq_cnt_en : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of Core_i_1 : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \bsr_dec[2]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of bsr_i_1 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \core_dec[0]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \core_dec[2]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of from_sys_i_1 : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \pr_dec[0]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of pr_i_1 : label is "soft_lutpair4";
begin
Bsr_out <= \^bsr_out\;
MB_out <= \^mb_out\;
Pr_out <= \^pr_out\;
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^bsr_out\,
O => \ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\
);
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^pr_out\,
O => \ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\
);
Core_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^mb_out\,
I1 => p_0_in,
O => Core_i_1_n_0
);
Core_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => Core_i_1_n_0,
Q => \^mb_out\,
S => lpf_int
);
SEQ_COUNTER: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n
port map (
Q(5 downto 0) => seq_cnt(5 downto 0),
seq_clr => seq_clr,
seq_cnt_en => seq_cnt_en,
slowest_sync_clk => slowest_sync_clk
);
\bsr_dec[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0090"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(4),
I2 => seq_cnt(3),
I3 => seq_cnt(5),
O => p_5_out(0)
);
\bsr_dec[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \core_dec_reg_n_0_[1]\,
I1 => \bsr_dec_reg_n_0_[0]\,
O => p_5_out(2)
);
\bsr_dec_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_5_out(0),
Q => \bsr_dec_reg_n_0_[0]\,
R => '0'
);
\bsr_dec_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_5_out(2),
Q => \bsr_dec_reg_n_0_[2]\,
R => '0'
);
bsr_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^bsr_out\,
I1 => \bsr_dec_reg_n_0_[2]\,
O => bsr_i_1_n_0
);
bsr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => bsr_i_1_n_0,
Q => \^bsr_out\,
S => lpf_int
);
\core_dec[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9000"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(4),
I2 => seq_cnt(3),
I3 => seq_cnt(5),
O => \core_dec[0]_i_1_n_0\
);
\core_dec[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \core_dec_reg_n_0_[1]\,
I1 => \core_dec_reg_n_0_[0]\,
O => \core_dec[2]_i_1_n_0\
);
\core_dec_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \core_dec[0]_i_1_n_0\,
Q => \core_dec_reg_n_0_[0]\,
R => '0'
);
\core_dec_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \pr_dec0__0\,
Q => \core_dec_reg_n_0_[1]\,
R => '0'
);
\core_dec_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \core_dec[2]_i_1_n_0\,
Q => p_0_in,
R => '0'
);
from_sys_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^mb_out\,
I1 => seq_cnt_en,
O => from_sys_i_1_n_0
);
from_sys_reg: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => from_sys_i_1_n_0,
Q => seq_cnt_en,
S => lpf_int
);
pr_dec0: unisim.vcomponents.LUT4
generic map(
INIT => X"0018"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(0),
I2 => seq_cnt(2),
I3 => seq_cnt(1),
O => \pr_dec0__0\
);
\pr_dec[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0480"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(3),
I2 => seq_cnt(5),
I3 => seq_cnt(4),
O => p_3_out(0)
);
\pr_dec[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \core_dec_reg_n_0_[1]\,
I1 => \pr_dec_reg_n_0_[0]\,
O => p_3_out(2)
);
\pr_dec_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(0),
Q => \pr_dec_reg_n_0_[0]\,
R => '0'
);
\pr_dec_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(2),
Q => \pr_dec_reg_n_0_[2]\,
R => '0'
);
pr_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^pr_out\,
I1 => \pr_dec_reg_n_0_[2]\,
O => pr_i_1_n_0
);
pr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => pr_i_1_n_0,
Q => \^pr_out\,
S => lpf_int
);
seq_clr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => '1',
Q => seq_clr,
R => lpf_int
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset is
port (
slowest_sync_clk : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_reset : out STD_LOGIC;
bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute C_AUX_RESET_HIGH : string;
attribute C_AUX_RESET_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "1'b0";
attribute C_AUX_RST_WIDTH : integer;
attribute C_AUX_RST_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 4;
attribute C_EXT_RESET_HIGH : string;
attribute C_EXT_RESET_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "1'b0";
attribute C_EXT_RST_WIDTH : integer;
attribute C_EXT_RST_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 4;
attribute C_FAMILY : string;
attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "zynq";
attribute C_NUM_BUS_RST : integer;
attribute C_NUM_BUS_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
attribute C_NUM_INTERCONNECT_ARESETN : integer;
attribute C_NUM_INTERCONNECT_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
attribute C_NUM_PERP_ARESETN : integer;
attribute C_NUM_PERP_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
attribute C_NUM_PERP_RST : integer;
attribute C_NUM_PERP_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset is
signal Bsr_out : STD_LOGIC;
signal MB_out : STD_LOGIC;
signal Pr_out : STD_LOGIC;
signal SEQ_n_3 : STD_LOGIC;
signal SEQ_n_4 : STD_LOGIC;
signal lpf_int : STD_LOGIC;
attribute box_type : string;
attribute box_type of \ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\ : label is "PRIMITIVE";
attribute box_type of \ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\ : label is "PRIMITIVE";
attribute box_type of \BSR_OUT_DFF[0].FDRE_BSR\ : label is "PRIMITIVE";
attribute box_type of FDRE_inst : label is "PRIMITIVE";
attribute box_type of \PR_OUT_DFF[0].FDRE_PER\ : label is "PRIMITIVE";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of bus_struct_reset : signal is "no";
attribute equivalent_register_removal of interconnect_aresetn : signal is "no";
attribute equivalent_register_removal of peripheral_aresetn : signal is "no";
attribute equivalent_register_removal of peripheral_reset : signal is "no";
begin
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => SEQ_n_3,
Q => interconnect_aresetn(0),
R => '0'
);
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => SEQ_n_4,
Q => peripheral_aresetn(0),
R => '0'
);
\BSR_OUT_DFF[0].FDRE_BSR\: unisim.vcomponents.FDRE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => Bsr_out,
Q => bus_struct_reset(0),
R => '0'
);
EXT_LPF: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf
port map (
aux_reset_in => aux_reset_in,
dcm_locked => dcm_locked,
ext_reset_in => ext_reset_in,
lpf_int => lpf_int,
mb_debug_sys_rst => mb_debug_sys_rst,
slowest_sync_clk => slowest_sync_clk
);
FDRE_inst: unisim.vcomponents.FDRE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => MB_out,
Q => mb_reset,
R => '0'
);
\PR_OUT_DFF[0].FDRE_PER\: unisim.vcomponents.FDRE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => Pr_out,
Q => peripheral_reset(0),
R => '0'
);
SEQ: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr
port map (
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\ => SEQ_n_3,
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\ => SEQ_n_4,
Bsr_out => Bsr_out,
MB_out => MB_out,
Pr_out => Pr_out,
lpf_int => lpf_int,
slowest_sync_clk => slowest_sync_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
slowest_sync_clk : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_reset : out STD_LOGIC;
bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "gcd_block_design_rst_ps7_0_50M_0,proc_sys_reset,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "proc_sys_reset,Vivado 2018.2";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute C_AUX_RESET_HIGH : string;
attribute C_AUX_RESET_HIGH of U0 : label is "1'b0";
attribute C_AUX_RST_WIDTH : integer;
attribute C_AUX_RST_WIDTH of U0 : label is 4;
attribute C_EXT_RESET_HIGH : string;
attribute C_EXT_RESET_HIGH of U0 : label is "1'b0";
attribute C_EXT_RST_WIDTH : integer;
attribute C_EXT_RST_WIDTH of U0 : label is 4;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_NUM_BUS_RST : integer;
attribute C_NUM_BUS_RST of U0 : label is 1;
attribute C_NUM_INTERCONNECT_ARESETN : integer;
attribute C_NUM_INTERCONNECT_ARESETN of U0 : label is 1;
attribute C_NUM_PERP_ARESETN : integer;
attribute C_NUM_PERP_ARESETN of U0 : label is 1;
attribute C_NUM_PERP_RST : integer;
attribute C_NUM_PERP_RST of U0 : label is 1;
attribute x_interface_info : string;
attribute x_interface_info of aux_reset_in : signal is "xilinx.com:signal:reset:1.0 aux_reset RST";
attribute x_interface_parameter : string;
attribute x_interface_parameter of aux_reset_in : signal is "XIL_INTERFACENAME aux_reset, POLARITY ACTIVE_LOW";
attribute x_interface_info of ext_reset_in : signal is "xilinx.com:signal:reset:1.0 ext_reset RST";
attribute x_interface_parameter of ext_reset_in : signal is "XIL_INTERFACENAME ext_reset, BOARD.ASSOCIATED_PARAM RESET_BOARD_INTERFACE, POLARITY ACTIVE_LOW";
attribute x_interface_info of mb_debug_sys_rst : signal is "xilinx.com:signal:reset:1.0 dbg_reset RST";
attribute x_interface_parameter of mb_debug_sys_rst : signal is "XIL_INTERFACENAME dbg_reset, POLARITY ACTIVE_HIGH";
attribute x_interface_info of mb_reset : signal is "xilinx.com:signal:reset:1.0 mb_rst RST";
attribute x_interface_parameter of mb_reset : signal is "XIL_INTERFACENAME mb_rst, POLARITY ACTIVE_HIGH, TYPE PROCESSOR";
attribute x_interface_info of slowest_sync_clk : signal is "xilinx.com:signal:clock:1.0 clock CLK";
attribute x_interface_parameter of slowest_sync_clk : signal is "XIL_INTERFACENAME clock, ASSOCIATED_RESET mb_reset:bus_struct_reset:interconnect_aresetn:peripheral_aresetn:peripheral_reset, FREQ_HZ 50000000, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_0_FCLK_CLK0";
attribute x_interface_info of bus_struct_reset : signal is "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
attribute x_interface_parameter of bus_struct_reset : signal is "XIL_INTERFACENAME bus_struct_reset, POLARITY ACTIVE_HIGH, TYPE INTERCONNECT";
attribute x_interface_info of interconnect_aresetn : signal is "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
attribute x_interface_parameter of interconnect_aresetn : signal is "XIL_INTERFACENAME interconnect_low_rst, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
attribute x_interface_info of peripheral_aresetn : signal is "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
attribute x_interface_parameter of peripheral_aresetn : signal is "XIL_INTERFACENAME peripheral_low_rst, POLARITY ACTIVE_LOW, TYPE PERIPHERAL";
attribute x_interface_info of peripheral_reset : signal is "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
attribute x_interface_parameter of peripheral_reset : signal is "XIL_INTERFACENAME peripheral_high_rst, POLARITY ACTIVE_HIGH, TYPE PERIPHERAL";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset
port map (
aux_reset_in => aux_reset_in,
bus_struct_reset(0) => bus_struct_reset(0),
dcm_locked => dcm_locked,
ext_reset_in => ext_reset_in,
interconnect_aresetn(0) => interconnect_aresetn(0),
mb_debug_sys_rst => mb_debug_sys_rst,
mb_reset => mb_reset,
peripheral_aresetn(0) => peripheral_aresetn(0),
peripheral_reset(0) => peripheral_reset(0),
slowest_sync_clk => slowest_sync_clk
);
end STRUCTURE;
| mit | 0d76a34d402373c573ab9582a0d09b55 | 0.588895 | 2.919204 | false | false | false | false |
jakubcabal/uart-for-fpga | examples/common/rst_sync.vhd | 2 | 1,663 | --------------------------------------------------------------------------------
-- PROJECT: SIMPLE UART FOR FPGA
--------------------------------------------------------------------------------
-- AUTHORS: Jakub Cabal <[email protected]>
-- LICENSE: The MIT License, please read LICENSE file
-- WEBSITE: https://github.com/jakubcabal/uart-for-fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity RST_SYNC is
Port (
CLK : in std_logic;
ASYNC_RST : in std_logic;
SYNCED_RST : out std_logic
);
end entity;
architecture RTL of RST_SYNC is
attribute ALTERA_ATTRIBUTE : string;
attribute PRESERVE : boolean;
signal meta_reg : std_logic;
signal reset_reg : std_logic;
attribute ALTERA_ATTRIBUTE of RTL : architecture is "-name SDC_STATEMENT ""set_false_path -to [get_registers {*RST_SYNC:*|meta_reg}] """;
attribute ALTERA_ATTRIBUTE of meta_reg : signal is "-name SYNCHRONIZER_IDENTIFICATION ""FORCED IF ASYNCHRONOUS""";
attribute ALTERA_ATTRIBUTE of reset_reg : signal is "-name SYNCHRONIZER_IDENTIFICATION ""FORCED IF ASYNCHRONOUS""";
attribute PRESERVE of meta_reg : signal is TRUE;
attribute PRESERVE of reset_reg : signal is TRUE;
begin
process (CLK, ASYNC_RST)
begin
if (ASYNC_RST = '1') then
meta_reg <= '1';
reset_reg <= '1';
elsif (rising_edge(CLK)) then
meta_reg <= '0';
reset_reg <= meta_reg;
end if;
end process;
SYNCED_RST <= reset_reg;
end architecture;
| mit | 6440e571f1b685afa227c422742f9050 | 0.546001 | 4.319481 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/proj_pointer_basic_hls_ip_integ/proj_pointer_basic_hls_ip_integ.cache/ip/2018.2/6e90fda3cffff716/design_1_pointer_basic_0_1_sim_netlist.vhdl | 1 | 113,796 | -- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Mon Sep 16 05:33:22 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_pointer_basic_0_1_sim_netlist.vhdl
-- Design : design_1_pointer_basic_0_1
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic_pointer_basic_io_s_axi is
port (
\out\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_pointer_basic_io_RVALID : out STD_LOGIC_VECTOR ( 1 downto 0 );
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
\d_read_reg_52_reg[31]\ : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
interrupt : out STD_LOGIC;
\ap_CS_fsm_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
ap_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
ap_rst_n : in STD_LOGIC;
s_axi_pointer_basic_io_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_pointer_basic_io_ARADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_pointer_basic_io_AWADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
D : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_ARVALID : in STD_LOGIC;
s_axi_pointer_basic_io_RREADY : in STD_LOGIC;
s_axi_pointer_basic_io_AWVALID : in STD_LOGIC;
s_axi_pointer_basic_io_WVALID : in STD_LOGIC;
s_axi_pointer_basic_io_BREADY : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic_pointer_basic_io_s_axi;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic_pointer_basic_io_s_axi is
signal \FSM_onehot_rstate[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_rstate[2]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_rstate_reg_n_0_[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \FSM_onehot_rstate_reg_n_0_[0]\ : signal is "yes";
signal \FSM_onehot_wstate[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate[2]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate[3]_i_2_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate_reg_n_0_[0]\ : STD_LOGIC;
attribute RTL_KEEP of \FSM_onehot_wstate_reg_n_0_[0]\ : signal is "yes";
signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal ap_idle : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ar_hs : STD_LOGIC;
signal \^d_read_reg_52_reg[31]\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal int_ap_done : STD_LOGIC;
signal int_ap_done_i_1_n_0 : STD_LOGIC;
signal int_ap_done_i_2_n_0 : STD_LOGIC;
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_start_i_1_n_0 : STD_LOGIC;
signal int_ap_start_i_2_n_0 : STD_LOGIC;
signal int_ap_start_i_3_n_0 : STD_LOGIC;
signal int_auto_restart : STD_LOGIC;
signal int_auto_restart_i_1_n_0 : STD_LOGIC;
signal \int_d_i[0]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[10]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[11]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[12]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[13]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[14]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[15]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[16]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[17]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[18]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[19]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[1]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[20]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[21]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[22]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[23]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[24]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[25]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[26]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[27]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[28]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[29]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[2]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[30]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[31]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[31]_i_2_n_0\ : STD_LOGIC;
signal \int_d_i[31]_i_3_n_0\ : STD_LOGIC;
signal \int_d_i[3]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[4]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[5]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[6]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[7]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[8]_i_1_n_0\ : STD_LOGIC;
signal \int_d_i[9]_i_1_n_0\ : STD_LOGIC;
signal int_d_o : STD_LOGIC_VECTOR ( 31 downto 0 );
signal int_d_o_ap_vld : STD_LOGIC;
signal int_d_o_ap_vld_i_1_n_0 : STD_LOGIC;
signal int_gie_i_1_n_0 : STD_LOGIC;
signal int_gie_reg_n_0 : STD_LOGIC;
signal \int_ier[0]_i_1_n_0\ : STD_LOGIC;
signal \int_ier[1]_i_1_n_0\ : STD_LOGIC;
signal \int_ier[1]_i_2_n_0\ : STD_LOGIC;
signal \int_ier_reg_n_0_[0]\ : STD_LOGIC;
signal \int_ier_reg_n_0_[1]\ : STD_LOGIC;
signal int_isr : STD_LOGIC;
signal int_isr7_out : STD_LOGIC;
signal \int_isr[0]_i_1_n_0\ : STD_LOGIC;
signal \int_isr[1]_i_1_n_0\ : STD_LOGIC;
signal \int_isr_reg_n_0_[0]\ : STD_LOGIC;
signal \^out\ : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute RTL_KEEP of \^out\ : signal is "yes";
signal p_1_in : STD_LOGIC;
signal rdata : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \rdata[0]_i_2_n_0\ : STD_LOGIC;
signal \rdata[0]_i_3_n_0\ : STD_LOGIC;
signal \rdata[10]_i_1_n_0\ : STD_LOGIC;
signal \rdata[11]_i_1_n_0\ : STD_LOGIC;
signal \rdata[12]_i_1_n_0\ : STD_LOGIC;
signal \rdata[13]_i_1_n_0\ : STD_LOGIC;
signal \rdata[14]_i_1_n_0\ : STD_LOGIC;
signal \rdata[15]_i_1_n_0\ : STD_LOGIC;
signal \rdata[16]_i_1_n_0\ : STD_LOGIC;
signal \rdata[17]_i_1_n_0\ : STD_LOGIC;
signal \rdata[18]_i_1_n_0\ : STD_LOGIC;
signal \rdata[19]_i_1_n_0\ : STD_LOGIC;
signal \rdata[1]_i_2_n_0\ : STD_LOGIC;
signal \rdata[1]_i_3_n_0\ : STD_LOGIC;
signal \rdata[1]_i_4_n_0\ : STD_LOGIC;
signal \rdata[20]_i_1_n_0\ : STD_LOGIC;
signal \rdata[21]_i_1_n_0\ : STD_LOGIC;
signal \rdata[22]_i_1_n_0\ : STD_LOGIC;
signal \rdata[23]_i_1_n_0\ : STD_LOGIC;
signal \rdata[24]_i_1_n_0\ : STD_LOGIC;
signal \rdata[25]_i_1_n_0\ : STD_LOGIC;
signal \rdata[26]_i_1_n_0\ : STD_LOGIC;
signal \rdata[27]_i_1_n_0\ : STD_LOGIC;
signal \rdata[28]_i_1_n_0\ : STD_LOGIC;
signal \rdata[29]_i_1_n_0\ : STD_LOGIC;
signal \rdata[30]_i_1_n_0\ : STD_LOGIC;
signal \rdata[31]_i_1_n_0\ : STD_LOGIC;
signal \rdata[31]_i_3_n_0\ : STD_LOGIC;
signal \rdata[4]_i_1_n_0\ : STD_LOGIC;
signal \rdata[5]_i_1_n_0\ : STD_LOGIC;
signal \rdata[6]_i_1_n_0\ : STD_LOGIC;
signal \rdata[7]_i_2_n_0\ : STD_LOGIC;
signal \rdata[7]_i_3_n_0\ : STD_LOGIC;
signal \rdata[8]_i_1_n_0\ : STD_LOGIC;
signal \rdata[9]_i_1_n_0\ : STD_LOGIC;
signal \^s_axi_pointer_basic_io_rvalid\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \^s_axi_pointer_basic_io_rvalid\ : signal is "yes";
signal waddr : STD_LOGIC;
signal \waddr_reg_n_0_[0]\ : STD_LOGIC;
signal \waddr_reg_n_0_[1]\ : STD_LOGIC;
signal \waddr_reg_n_0_[2]\ : STD_LOGIC;
signal \waddr_reg_n_0_[3]\ : STD_LOGIC;
signal \waddr_reg_n_0_[4]\ : STD_LOGIC;
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[0]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP : string;
attribute KEEP of \FSM_onehot_rstate_reg[0]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[1]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP of \FSM_onehot_rstate_reg[1]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[2]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP of \FSM_onehot_rstate_reg[2]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[0]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[0]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[1]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[1]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[2]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[2]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[3]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[3]\ : label is "yes";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \ap_CS_fsm[0]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \ap_CS_fsm[1]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \d_read_reg_52[31]_i_1\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of int_ap_idle_i_1 : label is "soft_lutpair33";
attribute SOFT_HLUTNM of int_ap_start_i_2 : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \int_d_i[0]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \int_d_i[10]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \int_d_i[11]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \int_d_i[12]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \int_d_i[13]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \int_d_i[14]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \int_d_i[15]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \int_d_i[16]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \int_d_i[17]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \int_d_i[18]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \int_d_i[19]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \int_d_i[1]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \int_d_i[20]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \int_d_i[21]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \int_d_i[22]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \int_d_i[23]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \int_d_i[24]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \int_d_i[25]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \int_d_i[26]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \int_d_i[27]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \int_d_i[28]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \int_d_i[29]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \int_d_i[2]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \int_d_i[30]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \int_d_i[31]_i_2\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \int_d_i[3]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \int_d_i[4]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \int_d_i[5]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \int_d_i[6]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \int_d_i[7]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \int_d_i[8]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \int_d_i[9]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \int_ier[0]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \int_isr[1]_i_2\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rdata[0]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rdata[10]_i_1\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \rdata[11]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \rdata[12]_i_1\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \rdata[13]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \rdata[14]_i_1\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \rdata[15]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \rdata[16]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \rdata[17]_i_1\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \rdata[18]_i_1\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \rdata[19]_i_1\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \rdata[1]_i_3\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rdata[20]_i_1\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \rdata[21]_i_1\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \rdata[22]_i_1\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \rdata[23]_i_1\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \rdata[24]_i_1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \rdata[25]_i_1\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \rdata[26]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \rdata[27]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \rdata[28]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \rdata[29]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \rdata[30]_i_1\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \rdata[31]_i_3\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \rdata[5]_i_1\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \rdata[6]_i_1\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \rdata[7]_i_2\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rdata[8]_i_1\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \rdata[9]_i_1\ : label is "soft_lutpair31";
begin
SR(0) <= \^sr\(0);
\d_read_reg_52_reg[31]\(31 downto 0) <= \^d_read_reg_52_reg[31]\(31 downto 0);
\out\(2 downto 0) <= \^out\(2 downto 0);
s_axi_pointer_basic_io_RVALID(1 downto 0) <= \^s_axi_pointer_basic_io_rvalid\(1 downto 0);
\FSM_onehot_rstate[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8BFB"
)
port map (
I0 => s_axi_pointer_basic_io_RREADY,
I1 => \^s_axi_pointer_basic_io_rvalid\(1),
I2 => \^s_axi_pointer_basic_io_rvalid\(0),
I3 => s_axi_pointer_basic_io_ARVALID,
O => \FSM_onehot_rstate[1]_i_1_n_0\
);
\FSM_onehot_rstate[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_pointer_basic_io_ARVALID,
I1 => \^s_axi_pointer_basic_io_rvalid\(0),
I2 => s_axi_pointer_basic_io_RREADY,
I3 => \^s_axi_pointer_basic_io_rvalid\(1),
O => \FSM_onehot_rstate[2]_i_1_n_0\
);
\FSM_onehot_rstate_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => '0',
Q => \FSM_onehot_rstate_reg_n_0_[0]\,
S => \^sr\(0)
);
\FSM_onehot_rstate_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_rstate[1]_i_1_n_0\,
Q => \^s_axi_pointer_basic_io_rvalid\(0),
R => \^sr\(0)
);
\FSM_onehot_rstate_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_rstate[2]_i_1_n_0\,
Q => \^s_axi_pointer_basic_io_rvalid\(1),
R => \^sr\(0)
);
\FSM_onehot_wstate[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF272227"
)
port map (
I0 => \^out\(0),
I1 => s_axi_pointer_basic_io_AWVALID,
I2 => \^out\(1),
I3 => \^out\(2),
I4 => s_axi_pointer_basic_io_BREADY,
O => \FSM_onehot_wstate[1]_i_1_n_0\
);
\FSM_onehot_wstate[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_pointer_basic_io_AWVALID,
I1 => \^out\(0),
I2 => s_axi_pointer_basic_io_WVALID,
I3 => \^out\(1),
O => \FSM_onehot_wstate[2]_i_1_n_0\
);
\FSM_onehot_wstate[3]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ap_rst_n,
O => \^sr\(0)
);
\FSM_onehot_wstate[3]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_pointer_basic_io_WVALID,
I1 => \^out\(1),
I2 => s_axi_pointer_basic_io_BREADY,
I3 => \^out\(2),
O => \FSM_onehot_wstate[3]_i_2_n_0\
);
\FSM_onehot_wstate_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => '0',
Q => \FSM_onehot_wstate_reg_n_0_[0]\,
S => \^sr\(0)
);
\FSM_onehot_wstate_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[1]_i_1_n_0\,
Q => \^out\(0),
R => \^sr\(0)
);
\FSM_onehot_wstate_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[2]_i_1_n_0\,
Q => \^out\(1),
R => \^sr\(0)
);
\FSM_onehot_wstate_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[3]_i_2_n_0\,
Q => \^out\(2),
R => \^sr\(0)
);
\ap_CS_fsm[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"5515"
)
port map (
I0 => Q(1),
I1 => Q(0),
I2 => ap_start,
I3 => Q(2),
O => \ap_CS_fsm_reg[1]\(0)
);
\ap_CS_fsm[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0008"
)
port map (
I0 => Q(0),
I1 => ap_start,
I2 => Q(2),
I3 => Q(1),
O => \ap_CS_fsm_reg[1]\(1)
);
\d_read_reg_52[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => ap_start,
I1 => Q(0),
O => E(0)
);
int_ap_done_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFEFFFFAAAAAAAA"
)
port map (
I0 => Q(2),
I1 => s_axi_pointer_basic_io_ARADDR(4),
I2 => s_axi_pointer_basic_io_ARADDR(2),
I3 => s_axi_pointer_basic_io_ARADDR(3),
I4 => int_ap_done_i_2_n_0,
I5 => int_ap_done,
O => int_ap_done_i_1_n_0
);
int_ap_done_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"0008"
)
port map (
I0 => s_axi_pointer_basic_io_ARVALID,
I1 => \^s_axi_pointer_basic_io_rvalid\(0),
I2 => s_axi_pointer_basic_io_ARADDR(0),
I3 => s_axi_pointer_basic_io_ARADDR(1),
O => int_ap_done_i_2_n_0
);
int_ap_done_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_ap_done_i_1_n_0,
Q => int_ap_done,
R => \^sr\(0)
);
int_ap_idle_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => Q(0),
I1 => ap_start,
O => ap_idle
);
int_ap_idle_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => ap_idle,
Q => int_ap_idle,
R => \^sr\(0)
);
int_ap_ready_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => Q(2),
Q => int_ap_ready,
R => \^sr\(0)
);
int_ap_start_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FBBBBBBBF8888888"
)
port map (
I0 => int_auto_restart,
I1 => Q(2),
I2 => int_ap_start_i_2_n_0,
I3 => int_ap_start_i_3_n_0,
I4 => s_axi_pointer_basic_io_WDATA(0),
I5 => ap_start,
O => int_ap_start_i_1_n_0
);
int_ap_start_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => s_axi_pointer_basic_io_WSTRB(0),
I1 => \waddr_reg_n_0_[2]\,
O => int_ap_start_i_2_n_0
);
int_ap_start_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000001000"
)
port map (
I0 => \waddr_reg_n_0_[0]\,
I1 => \waddr_reg_n_0_[1]\,
I2 => s_axi_pointer_basic_io_WVALID,
I3 => \^out\(1),
I4 => \waddr_reg_n_0_[3]\,
I5 => \waddr_reg_n_0_[4]\,
O => int_ap_start_i_3_n_0
);
int_ap_start_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_ap_start_i_1_n_0,
Q => ap_start,
R => \^sr\(0)
);
int_auto_restart_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EFFF2000"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(7),
I1 => \waddr_reg_n_0_[2]\,
I2 => s_axi_pointer_basic_io_WSTRB(0),
I3 => int_ap_start_i_3_n_0,
I4 => int_auto_restart,
O => int_auto_restart_i_1_n_0
);
int_auto_restart_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_auto_restart_i_1_n_0,
Q => int_auto_restart,
R => \^sr\(0)
);
\int_d_i[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(0),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(0),
O => \int_d_i[0]_i_1_n_0\
);
\int_d_i[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(10),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(10),
O => \int_d_i[10]_i_1_n_0\
);
\int_d_i[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(11),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(11),
O => \int_d_i[11]_i_1_n_0\
);
\int_d_i[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(12),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(12),
O => \int_d_i[12]_i_1_n_0\
);
\int_d_i[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(13),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(13),
O => \int_d_i[13]_i_1_n_0\
);
\int_d_i[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(14),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(14),
O => \int_d_i[14]_i_1_n_0\
);
\int_d_i[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(15),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(15),
O => \int_d_i[15]_i_1_n_0\
);
\int_d_i[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(16),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(16),
O => \int_d_i[16]_i_1_n_0\
);
\int_d_i[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(17),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(17),
O => \int_d_i[17]_i_1_n_0\
);
\int_d_i[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(18),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(18),
O => \int_d_i[18]_i_1_n_0\
);
\int_d_i[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(19),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(19),
O => \int_d_i[19]_i_1_n_0\
);
\int_d_i[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(1),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(1),
O => \int_d_i[1]_i_1_n_0\
);
\int_d_i[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(20),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(20),
O => \int_d_i[20]_i_1_n_0\
);
\int_d_i[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(21),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(21),
O => \int_d_i[21]_i_1_n_0\
);
\int_d_i[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(22),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(22),
O => \int_d_i[22]_i_1_n_0\
);
\int_d_i[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(23),
I1 => s_axi_pointer_basic_io_WSTRB(2),
I2 => \^d_read_reg_52_reg[31]\(23),
O => \int_d_i[23]_i_1_n_0\
);
\int_d_i[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(24),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(24),
O => \int_d_i[24]_i_1_n_0\
);
\int_d_i[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(25),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(25),
O => \int_d_i[25]_i_1_n_0\
);
\int_d_i[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(26),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(26),
O => \int_d_i[26]_i_1_n_0\
);
\int_d_i[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(27),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(27),
O => \int_d_i[27]_i_1_n_0\
);
\int_d_i[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(28),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(28),
O => \int_d_i[28]_i_1_n_0\
);
\int_d_i[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(29),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(29),
O => \int_d_i[29]_i_1_n_0\
);
\int_d_i[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(2),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(2),
O => \int_d_i[2]_i_1_n_0\
);
\int_d_i[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(30),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(30),
O => \int_d_i[30]_i_1_n_0\
);
\int_d_i[31]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \int_d_i[31]_i_3_n_0\,
I1 => \waddr_reg_n_0_[4]\,
I2 => \waddr_reg_n_0_[2]\,
O => \int_d_i[31]_i_1_n_0\
);
\int_d_i[31]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(31),
I1 => s_axi_pointer_basic_io_WSTRB(3),
I2 => \^d_read_reg_52_reg[31]\(31),
O => \int_d_i[31]_i_2_n_0\
);
\int_d_i[31]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000040"
)
port map (
I0 => \waddr_reg_n_0_[3]\,
I1 => \^out\(1),
I2 => s_axi_pointer_basic_io_WVALID,
I3 => \waddr_reg_n_0_[1]\,
I4 => \waddr_reg_n_0_[0]\,
O => \int_d_i[31]_i_3_n_0\
);
\int_d_i[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(3),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(3),
O => \int_d_i[3]_i_1_n_0\
);
\int_d_i[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(4),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(4),
O => \int_d_i[4]_i_1_n_0\
);
\int_d_i[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(5),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(5),
O => \int_d_i[5]_i_1_n_0\
);
\int_d_i[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(6),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(6),
O => \int_d_i[6]_i_1_n_0\
);
\int_d_i[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(7),
I1 => s_axi_pointer_basic_io_WSTRB(0),
I2 => \^d_read_reg_52_reg[31]\(7),
O => \int_d_i[7]_i_1_n_0\
);
\int_d_i[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(8),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(8),
O => \int_d_i[8]_i_1_n_0\
);
\int_d_i[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(9),
I1 => s_axi_pointer_basic_io_WSTRB(1),
I2 => \^d_read_reg_52_reg[31]\(9),
O => \int_d_i[9]_i_1_n_0\
);
\int_d_i_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[0]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(0),
R => \^sr\(0)
);
\int_d_i_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[10]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(10),
R => \^sr\(0)
);
\int_d_i_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[11]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(11),
R => \^sr\(0)
);
\int_d_i_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[12]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(12),
R => \^sr\(0)
);
\int_d_i_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[13]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(13),
R => \^sr\(0)
);
\int_d_i_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[14]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(14),
R => \^sr\(0)
);
\int_d_i_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[15]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(15),
R => \^sr\(0)
);
\int_d_i_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[16]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(16),
R => \^sr\(0)
);
\int_d_i_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[17]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(17),
R => \^sr\(0)
);
\int_d_i_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[18]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(18),
R => \^sr\(0)
);
\int_d_i_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[19]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(19),
R => \^sr\(0)
);
\int_d_i_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[1]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(1),
R => \^sr\(0)
);
\int_d_i_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[20]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(20),
R => \^sr\(0)
);
\int_d_i_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[21]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(21),
R => \^sr\(0)
);
\int_d_i_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[22]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(22),
R => \^sr\(0)
);
\int_d_i_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[23]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(23),
R => \^sr\(0)
);
\int_d_i_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[24]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(24),
R => \^sr\(0)
);
\int_d_i_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[25]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(25),
R => \^sr\(0)
);
\int_d_i_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[26]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(26),
R => \^sr\(0)
);
\int_d_i_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[27]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(27),
R => \^sr\(0)
);
\int_d_i_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[28]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(28),
R => \^sr\(0)
);
\int_d_i_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[29]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(29),
R => \^sr\(0)
);
\int_d_i_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[2]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(2),
R => \^sr\(0)
);
\int_d_i_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[30]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(30),
R => \^sr\(0)
);
\int_d_i_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[31]_i_2_n_0\,
Q => \^d_read_reg_52_reg[31]\(31),
R => \^sr\(0)
);
\int_d_i_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[3]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(3),
R => \^sr\(0)
);
\int_d_i_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[4]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(4),
R => \^sr\(0)
);
\int_d_i_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[5]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(5),
R => \^sr\(0)
);
\int_d_i_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[6]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(6),
R => \^sr\(0)
);
\int_d_i_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[7]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(7),
R => \^sr\(0)
);
\int_d_i_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[8]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(8),
R => \^sr\(0)
);
\int_d_i_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_d_i[31]_i_1_n_0\,
D => \int_d_i[9]_i_1_n_0\,
Q => \^d_read_reg_52_reg[31]\(9),
R => \^sr\(0)
);
int_d_o_ap_vld_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"BFFFFFFFAAAAAAAA"
)
port map (
I0 => Q(2),
I1 => s_axi_pointer_basic_io_ARADDR(2),
I2 => s_axi_pointer_basic_io_ARADDR(4),
I3 => s_axi_pointer_basic_io_ARADDR(3),
I4 => int_ap_done_i_2_n_0,
I5 => int_d_o_ap_vld,
O => int_d_o_ap_vld_i_1_n_0
);
int_d_o_ap_vld_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => int_d_o_ap_vld_i_1_n_0,
Q => int_d_o_ap_vld,
R => \^sr\(0)
);
\int_d_o_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(0),
Q => int_d_o(0),
R => \^sr\(0)
);
\int_d_o_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(10),
Q => int_d_o(10),
R => \^sr\(0)
);
\int_d_o_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(11),
Q => int_d_o(11),
R => \^sr\(0)
);
\int_d_o_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(12),
Q => int_d_o(12),
R => \^sr\(0)
);
\int_d_o_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(13),
Q => int_d_o(13),
R => \^sr\(0)
);
\int_d_o_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(14),
Q => int_d_o(14),
R => \^sr\(0)
);
\int_d_o_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(15),
Q => int_d_o(15),
R => \^sr\(0)
);
\int_d_o_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(16),
Q => int_d_o(16),
R => \^sr\(0)
);
\int_d_o_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(17),
Q => int_d_o(17),
R => \^sr\(0)
);
\int_d_o_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(18),
Q => int_d_o(18),
R => \^sr\(0)
);
\int_d_o_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(19),
Q => int_d_o(19),
R => \^sr\(0)
);
\int_d_o_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(1),
Q => int_d_o(1),
R => \^sr\(0)
);
\int_d_o_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(20),
Q => int_d_o(20),
R => \^sr\(0)
);
\int_d_o_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(21),
Q => int_d_o(21),
R => \^sr\(0)
);
\int_d_o_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(22),
Q => int_d_o(22),
R => \^sr\(0)
);
\int_d_o_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(23),
Q => int_d_o(23),
R => \^sr\(0)
);
\int_d_o_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(24),
Q => int_d_o(24),
R => \^sr\(0)
);
\int_d_o_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(25),
Q => int_d_o(25),
R => \^sr\(0)
);
\int_d_o_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(26),
Q => int_d_o(26),
R => \^sr\(0)
);
\int_d_o_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(27),
Q => int_d_o(27),
R => \^sr\(0)
);
\int_d_o_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(28),
Q => int_d_o(28),
R => \^sr\(0)
);
\int_d_o_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(29),
Q => int_d_o(29),
R => \^sr\(0)
);
\int_d_o_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(2),
Q => int_d_o(2),
R => \^sr\(0)
);
\int_d_o_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(30),
Q => int_d_o(30),
R => \^sr\(0)
);
\int_d_o_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(31),
Q => int_d_o(31),
R => \^sr\(0)
);
\int_d_o_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(3),
Q => int_d_o(3),
R => \^sr\(0)
);
\int_d_o_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(4),
Q => int_d_o(4),
R => \^sr\(0)
);
\int_d_o_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(5),
Q => int_d_o(5),
R => \^sr\(0)
);
\int_d_o_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(6),
Q => int_d_o(6),
R => \^sr\(0)
);
\int_d_o_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(7),
Q => int_d_o(7),
R => \^sr\(0)
);
\int_d_o_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(8),
Q => int_d_o(8),
R => \^sr\(0)
);
\int_d_o_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => Q(2),
D => D(9),
Q => int_d_o(9),
R => \^sr\(0)
);
int_gie_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"BFFF8000"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(0),
I1 => int_ap_start_i_3_n_0,
I2 => \waddr_reg_n_0_[2]\,
I3 => s_axi_pointer_basic_io_WSTRB(0),
I4 => int_gie_reg_n_0,
O => int_gie_i_1_n_0
);
int_gie_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_gie_i_1_n_0,
Q => int_gie_reg_n_0,
R => \^sr\(0)
);
\int_ier[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFEF0020"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(0),
I1 => \waddr_reg_n_0_[2]\,
I2 => s_axi_pointer_basic_io_WSTRB(0),
I3 => \int_ier[1]_i_2_n_0\,
I4 => \int_ier_reg_n_0_[0]\,
O => \int_ier[0]_i_1_n_0\
);
\int_ier[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFEF0020"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(1),
I1 => \waddr_reg_n_0_[2]\,
I2 => s_axi_pointer_basic_io_WSTRB(0),
I3 => \int_ier[1]_i_2_n_0\,
I4 => \int_ier_reg_n_0_[1]\,
O => \int_ier[1]_i_1_n_0\
);
\int_ier[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFF7FFFF"
)
port map (
I0 => \^out\(1),
I1 => s_axi_pointer_basic_io_WVALID,
I2 => \waddr_reg_n_0_[1]\,
I3 => \waddr_reg_n_0_[0]\,
I4 => \waddr_reg_n_0_[3]\,
I5 => \waddr_reg_n_0_[4]\,
O => \int_ier[1]_i_2_n_0\
);
\int_ier_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_ier[0]_i_1_n_0\,
Q => \int_ier_reg_n_0_[0]\,
R => \^sr\(0)
);
\int_ier_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_ier[1]_i_1_n_0\,
Q => \int_ier_reg_n_0_[1]\,
R => \^sr\(0)
);
\int_isr[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDFFFFFFF2000"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(0),
I1 => \int_ier[1]_i_2_n_0\,
I2 => s_axi_pointer_basic_io_WSTRB(0),
I3 => \waddr_reg_n_0_[2]\,
I4 => int_isr7_out,
I5 => \int_isr_reg_n_0_[0]\,
O => \int_isr[0]_i_1_n_0\
);
\int_isr[0]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => Q(2),
I1 => \int_ier_reg_n_0_[0]\,
O => int_isr7_out
);
\int_isr[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFDFFFFFFF2000"
)
port map (
I0 => s_axi_pointer_basic_io_WDATA(1),
I1 => \int_ier[1]_i_2_n_0\,
I2 => s_axi_pointer_basic_io_WSTRB(0),
I3 => \waddr_reg_n_0_[2]\,
I4 => int_isr,
I5 => p_1_in,
O => \int_isr[1]_i_1_n_0\
);
\int_isr[1]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => Q(2),
I1 => \int_ier_reg_n_0_[1]\,
O => int_isr
);
\int_isr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_isr[0]_i_1_n_0\,
Q => \int_isr_reg_n_0_[0]\,
R => \^sr\(0)
);
\int_isr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_isr[1]_i_1_n_0\,
Q => p_1_in,
R => \^sr\(0)
);
interrupt_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"A8"
)
port map (
I0 => int_gie_reg_n_0,
I1 => p_1_in,
I2 => \int_isr_reg_n_0_[0]\,
O => interrupt
);
\rdata[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"000000B8"
)
port map (
I0 => \rdata[0]_i_2_n_0\,
I1 => s_axi_pointer_basic_io_ARADDR(4),
I2 => \rdata[0]_i_3_n_0\,
I3 => s_axi_pointer_basic_io_ARADDR(0),
I4 => s_axi_pointer_basic_io_ARADDR(1),
O => rdata(0)
);
\rdata[0]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"A0A0CFC0"
)
port map (
I0 => int_d_o_ap_vld,
I1 => int_d_o(0),
I2 => s_axi_pointer_basic_io_ARADDR(3),
I3 => \^d_read_reg_52_reg[31]\(0),
I4 => s_axi_pointer_basic_io_ARADDR(2),
O => \rdata[0]_i_2_n_0\
);
\rdata[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \int_isr_reg_n_0_[0]\,
I1 => \int_ier_reg_n_0_[0]\,
I2 => s_axi_pointer_basic_io_ARADDR(3),
I3 => int_gie_reg_n_0,
I4 => s_axi_pointer_basic_io_ARADDR(2),
I5 => ap_start,
O => \rdata[0]_i_3_n_0\
);
\rdata[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(10),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(10),
O => \rdata[10]_i_1_n_0\
);
\rdata[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(11),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(11),
O => \rdata[11]_i_1_n_0\
);
\rdata[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(12),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(12),
O => \rdata[12]_i_1_n_0\
);
\rdata[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(13),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(13),
O => \rdata[13]_i_1_n_0\
);
\rdata[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(14),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(14),
O => \rdata[14]_i_1_n_0\
);
\rdata[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(15),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(15),
O => \rdata[15]_i_1_n_0\
);
\rdata[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(16),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(16),
O => \rdata[16]_i_1_n_0\
);
\rdata[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(17),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(17),
O => \rdata[17]_i_1_n_0\
);
\rdata[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(18),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(18),
O => \rdata[18]_i_1_n_0\
);
\rdata[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(19),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(19),
O => \rdata[19]_i_1_n_0\
);
\rdata[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"E0E0E0E0E0E0E0EE"
)
port map (
I0 => \rdata[1]_i_2_n_0\,
I1 => \rdata[1]_i_3_n_0\,
I2 => \rdata[1]_i_4_n_0\,
I3 => s_axi_pointer_basic_io_ARADDR(4),
I4 => s_axi_pointer_basic_io_ARADDR(0),
I5 => s_axi_pointer_basic_io_ARADDR(1),
O => rdata(1)
);
\rdata[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"F2F2F2F2F2F2FFF2"
)
port map (
I0 => s_axi_pointer_basic_io_ARADDR(4),
I1 => s_axi_pointer_basic_io_ARADDR(0),
I2 => s_axi_pointer_basic_io_ARADDR(1),
I3 => int_ap_done,
I4 => s_axi_pointer_basic_io_ARADDR(3),
I5 => s_axi_pointer_basic_io_ARADDR(2),
O => \rdata[1]_i_2_n_0\
);
\rdata[1]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"A808"
)
port map (
I0 => s_axi_pointer_basic_io_ARADDR(3),
I1 => \int_ier_reg_n_0_[1]\,
I2 => s_axi_pointer_basic_io_ARADDR(2),
I3 => p_1_in,
O => \rdata[1]_i_3_n_0\
);
\rdata[1]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000000000E2"
)
port map (
I0 => \^d_read_reg_52_reg[31]\(1),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => int_d_o(1),
I3 => s_axi_pointer_basic_io_ARADDR(1),
I4 => s_axi_pointer_basic_io_ARADDR(0),
I5 => s_axi_pointer_basic_io_ARADDR(2),
O => \rdata[1]_i_4_n_0\
);
\rdata[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(20),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(20),
O => \rdata[20]_i_1_n_0\
);
\rdata[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(21),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(21),
O => \rdata[21]_i_1_n_0\
);
\rdata[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(22),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(22),
O => \rdata[22]_i_1_n_0\
);
\rdata[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(23),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(23),
O => \rdata[23]_i_1_n_0\
);
\rdata[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(24),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(24),
O => \rdata[24]_i_1_n_0\
);
\rdata[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(25),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(25),
O => \rdata[25]_i_1_n_0\
);
\rdata[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(26),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(26),
O => \rdata[26]_i_1_n_0\
);
\rdata[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(27),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(27),
O => \rdata[27]_i_1_n_0\
);
\rdata[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(28),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(28),
O => \rdata[28]_i_1_n_0\
);
\rdata[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(29),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(29),
O => \rdata[29]_i_1_n_0\
);
\rdata[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000000AFC0A0C"
)
port map (
I0 => int_d_o(2),
I1 => \^d_read_reg_52_reg[31]\(2),
I2 => \rdata[7]_i_2_n_0\,
I3 => s_axi_pointer_basic_io_ARADDR(3),
I4 => int_ap_idle,
I5 => \rdata[7]_i_3_n_0\,
O => rdata(2)
);
\rdata[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(30),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(30),
O => \rdata[30]_i_1_n_0\
);
\rdata[31]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFD000000000000"
)
port map (
I0 => s_axi_pointer_basic_io_ARADDR(4),
I1 => s_axi_pointer_basic_io_ARADDR(2),
I2 => s_axi_pointer_basic_io_ARADDR(0),
I3 => s_axi_pointer_basic_io_ARADDR(1),
I4 => s_axi_pointer_basic_io_ARVALID,
I5 => \^s_axi_pointer_basic_io_rvalid\(0),
O => \rdata[31]_i_1_n_0\
);
\rdata[31]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^s_axi_pointer_basic_io_rvalid\(0),
I1 => s_axi_pointer_basic_io_ARVALID,
O => ar_hs
);
\rdata[31]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(31),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(31),
O => \rdata[31]_i_3_n_0\
);
\rdata[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000000AFC0A0C"
)
port map (
I0 => int_d_o(3),
I1 => \^d_read_reg_52_reg[31]\(3),
I2 => \rdata[7]_i_2_n_0\,
I3 => s_axi_pointer_basic_io_ARADDR(3),
I4 => int_ap_ready,
I5 => \rdata[7]_i_3_n_0\,
O => rdata(3)
);
\rdata[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(4),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(4),
O => \rdata[4]_i_1_n_0\
);
\rdata[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(5),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(5),
O => \rdata[5]_i_1_n_0\
);
\rdata[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(6),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(6),
O => \rdata[6]_i_1_n_0\
);
\rdata[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000000AFC0A0C"
)
port map (
I0 => int_d_o(7),
I1 => \^d_read_reg_52_reg[31]\(7),
I2 => \rdata[7]_i_2_n_0\,
I3 => s_axi_pointer_basic_io_ARADDR(3),
I4 => int_auto_restart,
I5 => \rdata[7]_i_3_n_0\,
O => rdata(7)
);
\rdata[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"45"
)
port map (
I0 => s_axi_pointer_basic_io_ARADDR(1),
I1 => s_axi_pointer_basic_io_ARADDR(0),
I2 => s_axi_pointer_basic_io_ARADDR(4),
O => \rdata[7]_i_2_n_0\
);
\rdata[7]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => s_axi_pointer_basic_io_ARADDR(2),
I1 => s_axi_pointer_basic_io_ARADDR(0),
I2 => s_axi_pointer_basic_io_ARADDR(1),
O => \rdata[7]_i_3_n_0\
);
\rdata[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(8),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(8),
O => \rdata[8]_i_1_n_0\
);
\rdata[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => int_d_o(9),
I1 => s_axi_pointer_basic_io_ARADDR(3),
I2 => \^d_read_reg_52_reg[31]\(9),
O => \rdata[9]_i_1_n_0\
);
\rdata_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => rdata(0),
Q => s_axi_pointer_basic_io_RDATA(0),
R => '0'
);
\rdata_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[10]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(10),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[11]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(11),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[12]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(12),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[13]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(13),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[14]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(14),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[15]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(15),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[16]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[16]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(16),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[17]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[17]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(17),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[18]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[18]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(18),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[19]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[19]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(19),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => rdata(1),
Q => s_axi_pointer_basic_io_RDATA(1),
R => '0'
);
\rdata_reg[20]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[20]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(20),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[21]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[21]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(21),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[22]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[22]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(22),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[23]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[23]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(23),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[24]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[24]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(24),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[25]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[25]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(25),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[26]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[26]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(26),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[27]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[27]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(27),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[28]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[28]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(28),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[29]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[29]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(29),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => rdata(2),
Q => s_axi_pointer_basic_io_RDATA(2),
R => '0'
);
\rdata_reg[30]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[30]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(30),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[31]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[31]_i_3_n_0\,
Q => s_axi_pointer_basic_io_RDATA(31),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => rdata(3),
Q => s_axi_pointer_basic_io_RDATA(3),
R => '0'
);
\rdata_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[4]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(4),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[5]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(5),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[6]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(6),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => rdata(7),
Q => s_axi_pointer_basic_io_RDATA(7),
R => '0'
);
\rdata_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[8]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(8),
R => \rdata[31]_i_1_n_0\
);
\rdata_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[9]_i_1_n_0\,
Q => s_axi_pointer_basic_io_RDATA(9),
R => \rdata[31]_i_1_n_0\
);
\waddr[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^out\(0),
I1 => s_axi_pointer_basic_io_AWVALID,
O => waddr
);
\waddr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_pointer_basic_io_AWADDR(0),
Q => \waddr_reg_n_0_[0]\,
R => '0'
);
\waddr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_pointer_basic_io_AWADDR(1),
Q => \waddr_reg_n_0_[1]\,
R => '0'
);
\waddr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_pointer_basic_io_AWADDR(2),
Q => \waddr_reg_n_0_[2]\,
R => '0'
);
\waddr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_pointer_basic_io_AWADDR(3),
Q => \waddr_reg_n_0_[3]\,
R => '0'
);
\waddr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_pointer_basic_io_AWADDR(4),
Q => \waddr_reg_n_0_[4]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic is
port (
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
s_axi_pointer_basic_io_AWVALID : in STD_LOGIC;
s_axi_pointer_basic_io_AWREADY : out STD_LOGIC;
s_axi_pointer_basic_io_AWADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_pointer_basic_io_WVALID : in STD_LOGIC;
s_axi_pointer_basic_io_WREADY : out STD_LOGIC;
s_axi_pointer_basic_io_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_pointer_basic_io_ARVALID : in STD_LOGIC;
s_axi_pointer_basic_io_ARREADY : out STD_LOGIC;
s_axi_pointer_basic_io_ARADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_pointer_basic_io_RVALID : out STD_LOGIC;
s_axi_pointer_basic_io_RREADY : in STD_LOGIC;
s_axi_pointer_basic_io_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_pointer_basic_io_BVALID : out STD_LOGIC;
s_axi_pointer_basic_io_BREADY : in STD_LOGIC;
s_axi_pointer_basic_io_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
interrupt : out STD_LOGIC
);
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic : entity is 32;
attribute C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH : integer;
attribute C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic : entity is 5;
attribute C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH : integer;
attribute C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic : entity is 32;
attribute C_S_AXI_POINTER_BASIC_IO_WSTRB_WIDTH : integer;
attribute C_S_AXI_POINTER_BASIC_IO_WSTRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic : entity is 4;
attribute C_S_AXI_WSTRB_WIDTH : integer;
attribute C_S_AXI_WSTRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic : entity is 4;
attribute ap_ST_fsm_state1 : string;
attribute ap_ST_fsm_state1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic : entity is "3'b001";
attribute ap_ST_fsm_state2 : string;
attribute ap_ST_fsm_state2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic : entity is "3'b010";
attribute ap_ST_fsm_state3 : string;
attribute ap_ST_fsm_state3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic : entity is "3'b100";
attribute hls_module : string;
attribute hls_module of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic is
signal \<const0>\ : STD_LOGIC;
signal \acc[0]_i_2_n_0\ : STD_LOGIC;
signal \acc[0]_i_3_n_0\ : STD_LOGIC;
signal \acc[0]_i_4_n_0\ : STD_LOGIC;
signal \acc[0]_i_5_n_0\ : STD_LOGIC;
signal \acc[12]_i_2_n_0\ : STD_LOGIC;
signal \acc[12]_i_3_n_0\ : STD_LOGIC;
signal \acc[12]_i_4_n_0\ : STD_LOGIC;
signal \acc[12]_i_5_n_0\ : STD_LOGIC;
signal \acc[16]_i_2_n_0\ : STD_LOGIC;
signal \acc[16]_i_3_n_0\ : STD_LOGIC;
signal \acc[16]_i_4_n_0\ : STD_LOGIC;
signal \acc[16]_i_5_n_0\ : STD_LOGIC;
signal \acc[20]_i_2_n_0\ : STD_LOGIC;
signal \acc[20]_i_3_n_0\ : STD_LOGIC;
signal \acc[20]_i_4_n_0\ : STD_LOGIC;
signal \acc[20]_i_5_n_0\ : STD_LOGIC;
signal \acc[24]_i_2_n_0\ : STD_LOGIC;
signal \acc[24]_i_3_n_0\ : STD_LOGIC;
signal \acc[24]_i_4_n_0\ : STD_LOGIC;
signal \acc[24]_i_5_n_0\ : STD_LOGIC;
signal \acc[28]_i_2_n_0\ : STD_LOGIC;
signal \acc[28]_i_3_n_0\ : STD_LOGIC;
signal \acc[28]_i_4_n_0\ : STD_LOGIC;
signal \acc[28]_i_5_n_0\ : STD_LOGIC;
signal \acc[4]_i_2_n_0\ : STD_LOGIC;
signal \acc[4]_i_3_n_0\ : STD_LOGIC;
signal \acc[4]_i_4_n_0\ : STD_LOGIC;
signal \acc[4]_i_5_n_0\ : STD_LOGIC;
signal \acc[8]_i_2_n_0\ : STD_LOGIC;
signal \acc[8]_i_3_n_0\ : STD_LOGIC;
signal \acc[8]_i_4_n_0\ : STD_LOGIC;
signal \acc[8]_i_5_n_0\ : STD_LOGIC;
signal acc_reg : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \acc_reg[0]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[0]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[12]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[16]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[20]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[24]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[28]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[4]_i_1_n_7\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_0\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_1\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_2\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_3\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_4\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_5\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_6\ : STD_LOGIC;
signal \acc_reg[8]_i_1_n_7\ : STD_LOGIC;
signal \ap_CS_fsm_reg_n_0_[0]\ : STD_LOGIC;
signal ap_CS_fsm_state2 : STD_LOGIC;
signal ap_CS_fsm_state3 : STD_LOGIC;
signal ap_NS_fsm : STD_LOGIC_VECTOR ( 1 downto 0 );
signal ap_NS_fsm1 : STD_LOGIC;
signal ap_rst_n_inv : STD_LOGIC;
signal d_i : STD_LOGIC_VECTOR ( 31 downto 0 );
signal d_read_reg_52 : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \NLW_acc_reg[28]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute FSM_ENCODING : string;
attribute FSM_ENCODING of \ap_CS_fsm_reg[0]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[1]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[2]\ : label is "none";
begin
s_axi_pointer_basic_io_BRESP(1) <= \<const0>\;
s_axi_pointer_basic_io_BRESP(0) <= \<const0>\;
s_axi_pointer_basic_io_RRESP(1) <= \<const0>\;
s_axi_pointer_basic_io_RRESP(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\acc[0]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(3),
I1 => acc_reg(3),
O => \acc[0]_i_2_n_0\
);
\acc[0]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(2),
I1 => acc_reg(2),
O => \acc[0]_i_3_n_0\
);
\acc[0]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(1),
I1 => acc_reg(1),
O => \acc[0]_i_4_n_0\
);
\acc[0]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(0),
I1 => acc_reg(0),
O => \acc[0]_i_5_n_0\
);
\acc[12]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(15),
I1 => acc_reg(15),
O => \acc[12]_i_2_n_0\
);
\acc[12]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(14),
I1 => acc_reg(14),
O => \acc[12]_i_3_n_0\
);
\acc[12]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(13),
I1 => acc_reg(13),
O => \acc[12]_i_4_n_0\
);
\acc[12]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(12),
I1 => acc_reg(12),
O => \acc[12]_i_5_n_0\
);
\acc[16]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(19),
I1 => acc_reg(19),
O => \acc[16]_i_2_n_0\
);
\acc[16]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(18),
I1 => acc_reg(18),
O => \acc[16]_i_3_n_0\
);
\acc[16]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(17),
I1 => acc_reg(17),
O => \acc[16]_i_4_n_0\
);
\acc[16]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(16),
I1 => acc_reg(16),
O => \acc[16]_i_5_n_0\
);
\acc[20]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(23),
I1 => acc_reg(23),
O => \acc[20]_i_2_n_0\
);
\acc[20]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(22),
I1 => acc_reg(22),
O => \acc[20]_i_3_n_0\
);
\acc[20]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(21),
I1 => acc_reg(21),
O => \acc[20]_i_4_n_0\
);
\acc[20]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(20),
I1 => acc_reg(20),
O => \acc[20]_i_5_n_0\
);
\acc[24]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(27),
I1 => acc_reg(27),
O => \acc[24]_i_2_n_0\
);
\acc[24]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(26),
I1 => acc_reg(26),
O => \acc[24]_i_3_n_0\
);
\acc[24]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(25),
I1 => acc_reg(25),
O => \acc[24]_i_4_n_0\
);
\acc[24]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(24),
I1 => acc_reg(24),
O => \acc[24]_i_5_n_0\
);
\acc[28]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => acc_reg(31),
I1 => d_read_reg_52(31),
O => \acc[28]_i_2_n_0\
);
\acc[28]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(30),
I1 => acc_reg(30),
O => \acc[28]_i_3_n_0\
);
\acc[28]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(29),
I1 => acc_reg(29),
O => \acc[28]_i_4_n_0\
);
\acc[28]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(28),
I1 => acc_reg(28),
O => \acc[28]_i_5_n_0\
);
\acc[4]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(7),
I1 => acc_reg(7),
O => \acc[4]_i_2_n_0\
);
\acc[4]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(6),
I1 => acc_reg(6),
O => \acc[4]_i_3_n_0\
);
\acc[4]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(5),
I1 => acc_reg(5),
O => \acc[4]_i_4_n_0\
);
\acc[4]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(4),
I1 => acc_reg(4),
O => \acc[4]_i_5_n_0\
);
\acc[8]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(11),
I1 => acc_reg(11),
O => \acc[8]_i_2_n_0\
);
\acc[8]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(10),
I1 => acc_reg(10),
O => \acc[8]_i_3_n_0\
);
\acc[8]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(9),
I1 => acc_reg(9),
O => \acc[8]_i_4_n_0\
);
\acc[8]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => d_read_reg_52(8),
I1 => acc_reg(8),
O => \acc[8]_i_5_n_0\
);
\acc_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[0]_i_1_n_7\,
Q => acc_reg(0),
R => '0'
);
\acc_reg[0]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \acc_reg[0]_i_1_n_0\,
CO(2) => \acc_reg[0]_i_1_n_1\,
CO(1) => \acc_reg[0]_i_1_n_2\,
CO(0) => \acc_reg[0]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(3 downto 0),
O(3) => \acc_reg[0]_i_1_n_4\,
O(2) => \acc_reg[0]_i_1_n_5\,
O(1) => \acc_reg[0]_i_1_n_6\,
O(0) => \acc_reg[0]_i_1_n_7\,
S(3) => \acc[0]_i_2_n_0\,
S(2) => \acc[0]_i_3_n_0\,
S(1) => \acc[0]_i_4_n_0\,
S(0) => \acc[0]_i_5_n_0\
);
\acc_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[8]_i_1_n_5\,
Q => acc_reg(10),
R => '0'
);
\acc_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[8]_i_1_n_4\,
Q => acc_reg(11),
R => '0'
);
\acc_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[12]_i_1_n_7\,
Q => acc_reg(12),
R => '0'
);
\acc_reg[12]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[8]_i_1_n_0\,
CO(3) => \acc_reg[12]_i_1_n_0\,
CO(2) => \acc_reg[12]_i_1_n_1\,
CO(1) => \acc_reg[12]_i_1_n_2\,
CO(0) => \acc_reg[12]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(15 downto 12),
O(3) => \acc_reg[12]_i_1_n_4\,
O(2) => \acc_reg[12]_i_1_n_5\,
O(1) => \acc_reg[12]_i_1_n_6\,
O(0) => \acc_reg[12]_i_1_n_7\,
S(3) => \acc[12]_i_2_n_0\,
S(2) => \acc[12]_i_3_n_0\,
S(1) => \acc[12]_i_4_n_0\,
S(0) => \acc[12]_i_5_n_0\
);
\acc_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[12]_i_1_n_6\,
Q => acc_reg(13),
R => '0'
);
\acc_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[12]_i_1_n_5\,
Q => acc_reg(14),
R => '0'
);
\acc_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[12]_i_1_n_4\,
Q => acc_reg(15),
R => '0'
);
\acc_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[16]_i_1_n_7\,
Q => acc_reg(16),
R => '0'
);
\acc_reg[16]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[12]_i_1_n_0\,
CO(3) => \acc_reg[16]_i_1_n_0\,
CO(2) => \acc_reg[16]_i_1_n_1\,
CO(1) => \acc_reg[16]_i_1_n_2\,
CO(0) => \acc_reg[16]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(19 downto 16),
O(3) => \acc_reg[16]_i_1_n_4\,
O(2) => \acc_reg[16]_i_1_n_5\,
O(1) => \acc_reg[16]_i_1_n_6\,
O(0) => \acc_reg[16]_i_1_n_7\,
S(3) => \acc[16]_i_2_n_0\,
S(2) => \acc[16]_i_3_n_0\,
S(1) => \acc[16]_i_4_n_0\,
S(0) => \acc[16]_i_5_n_0\
);
\acc_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[16]_i_1_n_6\,
Q => acc_reg(17),
R => '0'
);
\acc_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[16]_i_1_n_5\,
Q => acc_reg(18),
R => '0'
);
\acc_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[16]_i_1_n_4\,
Q => acc_reg(19),
R => '0'
);
\acc_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[0]_i_1_n_6\,
Q => acc_reg(1),
R => '0'
);
\acc_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[20]_i_1_n_7\,
Q => acc_reg(20),
R => '0'
);
\acc_reg[20]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[16]_i_1_n_0\,
CO(3) => \acc_reg[20]_i_1_n_0\,
CO(2) => \acc_reg[20]_i_1_n_1\,
CO(1) => \acc_reg[20]_i_1_n_2\,
CO(0) => \acc_reg[20]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(23 downto 20),
O(3) => \acc_reg[20]_i_1_n_4\,
O(2) => \acc_reg[20]_i_1_n_5\,
O(1) => \acc_reg[20]_i_1_n_6\,
O(0) => \acc_reg[20]_i_1_n_7\,
S(3) => \acc[20]_i_2_n_0\,
S(2) => \acc[20]_i_3_n_0\,
S(1) => \acc[20]_i_4_n_0\,
S(0) => \acc[20]_i_5_n_0\
);
\acc_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[20]_i_1_n_6\,
Q => acc_reg(21),
R => '0'
);
\acc_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[20]_i_1_n_5\,
Q => acc_reg(22),
R => '0'
);
\acc_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[20]_i_1_n_4\,
Q => acc_reg(23),
R => '0'
);
\acc_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[24]_i_1_n_7\,
Q => acc_reg(24),
R => '0'
);
\acc_reg[24]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[20]_i_1_n_0\,
CO(3) => \acc_reg[24]_i_1_n_0\,
CO(2) => \acc_reg[24]_i_1_n_1\,
CO(1) => \acc_reg[24]_i_1_n_2\,
CO(0) => \acc_reg[24]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(27 downto 24),
O(3) => \acc_reg[24]_i_1_n_4\,
O(2) => \acc_reg[24]_i_1_n_5\,
O(1) => \acc_reg[24]_i_1_n_6\,
O(0) => \acc_reg[24]_i_1_n_7\,
S(3) => \acc[24]_i_2_n_0\,
S(2) => \acc[24]_i_3_n_0\,
S(1) => \acc[24]_i_4_n_0\,
S(0) => \acc[24]_i_5_n_0\
);
\acc_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[24]_i_1_n_6\,
Q => acc_reg(25),
R => '0'
);
\acc_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[24]_i_1_n_5\,
Q => acc_reg(26),
R => '0'
);
\acc_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[24]_i_1_n_4\,
Q => acc_reg(27),
R => '0'
);
\acc_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[28]_i_1_n_7\,
Q => acc_reg(28),
R => '0'
);
\acc_reg[28]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[24]_i_1_n_0\,
CO(3) => \NLW_acc_reg[28]_i_1_CO_UNCONNECTED\(3),
CO(2) => \acc_reg[28]_i_1_n_1\,
CO(1) => \acc_reg[28]_i_1_n_2\,
CO(0) => \acc_reg[28]_i_1_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => d_read_reg_52(30 downto 28),
O(3) => \acc_reg[28]_i_1_n_4\,
O(2) => \acc_reg[28]_i_1_n_5\,
O(1) => \acc_reg[28]_i_1_n_6\,
O(0) => \acc_reg[28]_i_1_n_7\,
S(3) => \acc[28]_i_2_n_0\,
S(2) => \acc[28]_i_3_n_0\,
S(1) => \acc[28]_i_4_n_0\,
S(0) => \acc[28]_i_5_n_0\
);
\acc_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[28]_i_1_n_6\,
Q => acc_reg(29),
R => '0'
);
\acc_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[0]_i_1_n_5\,
Q => acc_reg(2),
R => '0'
);
\acc_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[28]_i_1_n_5\,
Q => acc_reg(30),
R => '0'
);
\acc_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[28]_i_1_n_4\,
Q => acc_reg(31),
R => '0'
);
\acc_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[0]_i_1_n_4\,
Q => acc_reg(3),
R => '0'
);
\acc_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[4]_i_1_n_7\,
Q => acc_reg(4),
R => '0'
);
\acc_reg[4]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[0]_i_1_n_0\,
CO(3) => \acc_reg[4]_i_1_n_0\,
CO(2) => \acc_reg[4]_i_1_n_1\,
CO(1) => \acc_reg[4]_i_1_n_2\,
CO(0) => \acc_reg[4]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(7 downto 4),
O(3) => \acc_reg[4]_i_1_n_4\,
O(2) => \acc_reg[4]_i_1_n_5\,
O(1) => \acc_reg[4]_i_1_n_6\,
O(0) => \acc_reg[4]_i_1_n_7\,
S(3) => \acc[4]_i_2_n_0\,
S(2) => \acc[4]_i_3_n_0\,
S(1) => \acc[4]_i_4_n_0\,
S(0) => \acc[4]_i_5_n_0\
);
\acc_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[4]_i_1_n_6\,
Q => acc_reg(5),
R => '0'
);
\acc_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[4]_i_1_n_5\,
Q => acc_reg(6),
R => '0'
);
\acc_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[4]_i_1_n_4\,
Q => acc_reg(7),
R => '0'
);
\acc_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[8]_i_1_n_7\,
Q => acc_reg(8),
R => '0'
);
\acc_reg[8]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \acc_reg[4]_i_1_n_0\,
CO(3) => \acc_reg[8]_i_1_n_0\,
CO(2) => \acc_reg[8]_i_1_n_1\,
CO(1) => \acc_reg[8]_i_1_n_2\,
CO(0) => \acc_reg[8]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => d_read_reg_52(11 downto 8),
O(3) => \acc_reg[8]_i_1_n_4\,
O(2) => \acc_reg[8]_i_1_n_5\,
O(1) => \acc_reg[8]_i_1_n_6\,
O(0) => \acc_reg[8]_i_1_n_7\,
S(3) => \acc[8]_i_2_n_0\,
S(2) => \acc[8]_i_3_n_0\,
S(1) => \acc[8]_i_4_n_0\,
S(0) => \acc[8]_i_5_n_0\
);
\acc_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_CS_fsm_state2,
D => \acc_reg[8]_i_1_n_6\,
Q => acc_reg(9),
R => '0'
);
\ap_CS_fsm_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(0),
Q => \ap_CS_fsm_reg_n_0_[0]\,
S => ap_rst_n_inv
);
\ap_CS_fsm_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(1),
Q => ap_CS_fsm_state2,
R => ap_rst_n_inv
);
\ap_CS_fsm_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => ap_CS_fsm_state2,
Q => ap_CS_fsm_state3,
R => ap_rst_n_inv
);
\d_read_reg_52_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(0),
Q => d_read_reg_52(0),
R => '0'
);
\d_read_reg_52_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(10),
Q => d_read_reg_52(10),
R => '0'
);
\d_read_reg_52_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(11),
Q => d_read_reg_52(11),
R => '0'
);
\d_read_reg_52_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(12),
Q => d_read_reg_52(12),
R => '0'
);
\d_read_reg_52_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(13),
Q => d_read_reg_52(13),
R => '0'
);
\d_read_reg_52_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(14),
Q => d_read_reg_52(14),
R => '0'
);
\d_read_reg_52_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(15),
Q => d_read_reg_52(15),
R => '0'
);
\d_read_reg_52_reg[16]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(16),
Q => d_read_reg_52(16),
R => '0'
);
\d_read_reg_52_reg[17]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(17),
Q => d_read_reg_52(17),
R => '0'
);
\d_read_reg_52_reg[18]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(18),
Q => d_read_reg_52(18),
R => '0'
);
\d_read_reg_52_reg[19]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(19),
Q => d_read_reg_52(19),
R => '0'
);
\d_read_reg_52_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(1),
Q => d_read_reg_52(1),
R => '0'
);
\d_read_reg_52_reg[20]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(20),
Q => d_read_reg_52(20),
R => '0'
);
\d_read_reg_52_reg[21]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(21),
Q => d_read_reg_52(21),
R => '0'
);
\d_read_reg_52_reg[22]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(22),
Q => d_read_reg_52(22),
R => '0'
);
\d_read_reg_52_reg[23]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(23),
Q => d_read_reg_52(23),
R => '0'
);
\d_read_reg_52_reg[24]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(24),
Q => d_read_reg_52(24),
R => '0'
);
\d_read_reg_52_reg[25]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(25),
Q => d_read_reg_52(25),
R => '0'
);
\d_read_reg_52_reg[26]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(26),
Q => d_read_reg_52(26),
R => '0'
);
\d_read_reg_52_reg[27]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(27),
Q => d_read_reg_52(27),
R => '0'
);
\d_read_reg_52_reg[28]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(28),
Q => d_read_reg_52(28),
R => '0'
);
\d_read_reg_52_reg[29]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(29),
Q => d_read_reg_52(29),
R => '0'
);
\d_read_reg_52_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(2),
Q => d_read_reg_52(2),
R => '0'
);
\d_read_reg_52_reg[30]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(30),
Q => d_read_reg_52(30),
R => '0'
);
\d_read_reg_52_reg[31]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(31),
Q => d_read_reg_52(31),
R => '0'
);
\d_read_reg_52_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(3),
Q => d_read_reg_52(3),
R => '0'
);
\d_read_reg_52_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(4),
Q => d_read_reg_52(4),
R => '0'
);
\d_read_reg_52_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(5),
Q => d_read_reg_52(5),
R => '0'
);
\d_read_reg_52_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(6),
Q => d_read_reg_52(6),
R => '0'
);
\d_read_reg_52_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(7),
Q => d_read_reg_52(7),
R => '0'
);
\d_read_reg_52_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(8),
Q => d_read_reg_52(8),
R => '0'
);
\d_read_reg_52_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => d_i(9),
Q => d_read_reg_52(9),
R => '0'
);
pointer_basic_pointer_basic_io_s_axi_U: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic_pointer_basic_io_s_axi
port map (
D(31 downto 0) => acc_reg(31 downto 0),
E(0) => ap_NS_fsm1,
Q(2) => ap_CS_fsm_state3,
Q(1) => ap_CS_fsm_state2,
Q(0) => \ap_CS_fsm_reg_n_0_[0]\,
SR(0) => ap_rst_n_inv,
\ap_CS_fsm_reg[1]\(1 downto 0) => ap_NS_fsm(1 downto 0),
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
\d_read_reg_52_reg[31]\(31 downto 0) => d_i(31 downto 0),
interrupt => interrupt,
\out\(2) => s_axi_pointer_basic_io_BVALID,
\out\(1) => s_axi_pointer_basic_io_WREADY,
\out\(0) => s_axi_pointer_basic_io_AWREADY,
s_axi_pointer_basic_io_ARADDR(4 downto 0) => s_axi_pointer_basic_io_ARADDR(4 downto 0),
s_axi_pointer_basic_io_ARVALID => s_axi_pointer_basic_io_ARVALID,
s_axi_pointer_basic_io_AWADDR(4 downto 0) => s_axi_pointer_basic_io_AWADDR(4 downto 0),
s_axi_pointer_basic_io_AWVALID => s_axi_pointer_basic_io_AWVALID,
s_axi_pointer_basic_io_BREADY => s_axi_pointer_basic_io_BREADY,
s_axi_pointer_basic_io_RDATA(31 downto 0) => s_axi_pointer_basic_io_RDATA(31 downto 0),
s_axi_pointer_basic_io_RREADY => s_axi_pointer_basic_io_RREADY,
s_axi_pointer_basic_io_RVALID(1) => s_axi_pointer_basic_io_RVALID,
s_axi_pointer_basic_io_RVALID(0) => s_axi_pointer_basic_io_ARREADY,
s_axi_pointer_basic_io_WDATA(31 downto 0) => s_axi_pointer_basic_io_WDATA(31 downto 0),
s_axi_pointer_basic_io_WSTRB(3 downto 0) => s_axi_pointer_basic_io_WSTRB(3 downto 0),
s_axi_pointer_basic_io_WVALID => s_axi_pointer_basic_io_WVALID
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
s_axi_pointer_basic_io_AWADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_pointer_basic_io_AWVALID : in STD_LOGIC;
s_axi_pointer_basic_io_AWREADY : out STD_LOGIC;
s_axi_pointer_basic_io_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_pointer_basic_io_WVALID : in STD_LOGIC;
s_axi_pointer_basic_io_WREADY : out STD_LOGIC;
s_axi_pointer_basic_io_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_pointer_basic_io_BVALID : out STD_LOGIC;
s_axi_pointer_basic_io_BREADY : in STD_LOGIC;
s_axi_pointer_basic_io_ARADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_pointer_basic_io_ARVALID : in STD_LOGIC;
s_axi_pointer_basic_io_ARREADY : out STD_LOGIC;
s_axi_pointer_basic_io_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_pointer_basic_io_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_pointer_basic_io_RVALID : out STD_LOGIC;
s_axi_pointer_basic_io_RREADY : in STD_LOGIC;
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
interrupt : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "design_1_pointer_basic_0_1,pointer_basic,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute IP_DEFINITION_SOURCE : string;
attribute IP_DEFINITION_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "HLS";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "pointer_basic,Vivado 2018.2";
attribute hls_module : string;
attribute hls_module of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of inst : label is 32;
attribute C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH : integer;
attribute C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH of inst : label is 5;
attribute C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH : integer;
attribute C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH of inst : label is 32;
attribute C_S_AXI_POINTER_BASIC_IO_WSTRB_WIDTH : integer;
attribute C_S_AXI_POINTER_BASIC_IO_WSTRB_WIDTH of inst : label is 4;
attribute C_S_AXI_WSTRB_WIDTH : integer;
attribute C_S_AXI_WSTRB_WIDTH of inst : label is 4;
attribute ap_ST_fsm_state1 : string;
attribute ap_ST_fsm_state1 of inst : label is "3'b001";
attribute ap_ST_fsm_state2 : string;
attribute ap_ST_fsm_state2 of inst : label is "3'b010";
attribute ap_ST_fsm_state3 : string;
attribute ap_ST_fsm_state3 of inst : label is "3'b100";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of ap_clk : signal is "xilinx.com:signal:clock:1.0 ap_clk CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of ap_clk : signal is "XIL_INTERFACENAME ap_clk, ASSOCIATED_BUSIF s_axi_pointer_basic_io, ASSOCIATED_RESET ap_rst_n, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 50000000, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_2_FCLK_CLK0";
attribute X_INTERFACE_INFO of ap_rst_n : signal is "xilinx.com:signal:reset:1.0 ap_rst_n RST";
attribute X_INTERFACE_PARAMETER of ap_rst_n : signal is "XIL_INTERFACENAME ap_rst_n, POLARITY ACTIVE_LOW, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {RST {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}";
attribute X_INTERFACE_INFO of interrupt : signal is "xilinx.com:signal:interrupt:1.0 interrupt INTERRUPT";
attribute X_INTERFACE_PARAMETER of interrupt : signal is "XIL_INTERFACENAME interrupt, SENSITIVITY LEVEL_HIGH, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {INTERRUPT {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, PortWidth 1";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_ARREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io ARREADY";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_ARVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io ARVALID";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_AWREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io AWREADY";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_AWVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io AWVALID";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_BREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io BREADY";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_BVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io BVALID";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_RREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_pointer_basic_io_RREADY : signal is "XIL_INTERFACENAME s_axi_pointer_basic_io, ADDR_WIDTH 5, DATA_WIDTH 32, PROTOCOL AXI4LITE, READ_WRITE_MODE READ_WRITE, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 50000000, ID_WIDTH 0, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 1, NUM_WRITE_OUTSTANDING 1, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_2_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_RVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io RVALID";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_WREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io WREADY";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_WVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io WVALID";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_ARADDR : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io ARADDR";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_AWADDR : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io AWADDR";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_BRESP : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io BRESP";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_RDATA : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io RDATA";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_RRESP : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io RRESP";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_WDATA : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io WDATA";
attribute X_INTERFACE_INFO of s_axi_pointer_basic_io_WSTRB : signal is "xilinx.com:interface:aximm:1.0 s_axi_pointer_basic_io WSTRB";
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pointer_basic
port map (
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
interrupt => interrupt,
s_axi_pointer_basic_io_ARADDR(4 downto 0) => s_axi_pointer_basic_io_ARADDR(4 downto 0),
s_axi_pointer_basic_io_ARREADY => s_axi_pointer_basic_io_ARREADY,
s_axi_pointer_basic_io_ARVALID => s_axi_pointer_basic_io_ARVALID,
s_axi_pointer_basic_io_AWADDR(4 downto 0) => s_axi_pointer_basic_io_AWADDR(4 downto 0),
s_axi_pointer_basic_io_AWREADY => s_axi_pointer_basic_io_AWREADY,
s_axi_pointer_basic_io_AWVALID => s_axi_pointer_basic_io_AWVALID,
s_axi_pointer_basic_io_BREADY => s_axi_pointer_basic_io_BREADY,
s_axi_pointer_basic_io_BRESP(1 downto 0) => s_axi_pointer_basic_io_BRESP(1 downto 0),
s_axi_pointer_basic_io_BVALID => s_axi_pointer_basic_io_BVALID,
s_axi_pointer_basic_io_RDATA(31 downto 0) => s_axi_pointer_basic_io_RDATA(31 downto 0),
s_axi_pointer_basic_io_RREADY => s_axi_pointer_basic_io_RREADY,
s_axi_pointer_basic_io_RRESP(1 downto 0) => s_axi_pointer_basic_io_RRESP(1 downto 0),
s_axi_pointer_basic_io_RVALID => s_axi_pointer_basic_io_RVALID,
s_axi_pointer_basic_io_WDATA(31 downto 0) => s_axi_pointer_basic_io_WDATA(31 downto 0),
s_axi_pointer_basic_io_WREADY => s_axi_pointer_basic_io_WREADY,
s_axi_pointer_basic_io_WSTRB(3 downto 0) => s_axi_pointer_basic_io_WSTRB(3 downto 0),
s_axi_pointer_basic_io_WVALID => s_axi_pointer_basic_io_WVALID
);
end STRUCTURE;
| mit | ad0aa712dc7e6dfcf65ccbcdddc12184 | 0.513911 | 2.561127 | false | false | false | false |
Feuerwerk/fpgaNES | nes.vhd | 1 | 32,960 | /*
This file is part of fpgaNES.
fpgaNES is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation, either version 3 of the License, or
(at your option) any later version.
fpgaNES is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with fpgaNES. If not, see <http://www.gnu.org/licenses/>.
*/
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.common.all;
entity nes is
port
(
CLOCK_125_p : in std_logic;
CLOCK_50_B5B : in std_logic;
CLOCK_50_B6A : in std_logic;
CLOCK_50_B7A : in std_logic;
CLOCK_50_B8A : in std_logic;
CPU_RESET_n : in std_logic;
KEY : in std_logic_vector(3 downto 0);
SW: in std_logic_vector(9 downto 0);
I2C_SCL : inout std_logic;
I2C_SDA : inout std_logic;
GPIO : inout std_logic_vector(21 downto 0);
LEDG : out std_logic_vector(7 downto 0);
LEDR : out std_logic_vector(9 downto 0);
HEX0 : out std_logic_vector(6 downto 0);
HEX1 : out std_logic_vector(6 downto 0);
HEX2 : out std_logic_vector(6 downto 0);
HEX3 : out std_logic_vector(6 downto 0);
UART_RX: in std_logic;
UART_TX: out std_logic;
CPU_ADDR : out std_logic_vector(14 downto 0);
CPU_DATA : inout std_logic_vector(7 downto 0);
CPU_DIR : out std_logic;
CPU_RW : out std_logic;
PPU_ADDR : out std_logic_vector(13 downto 0);
PPU_A13_N : out std_logic;
PPU_DATA : inout std_logic_vector(7 downto 0);
PPU_DIR : out std_logic;
PPU_RD_N : out std_logic;
PPU_WR_N : out std_logic;
ROMSEL_N : out std_logic;
CIRAM_CE_N : in std_logic;
CIRAM_A10 : in std_logic;
M2 : out std_logic;
SYS_CLK : out std_logic;
IRQ_N : in std_logic;
CIC_CLK : out std_logic;
CIC_TOPAK : out std_logic;
CIC_RST_N : out std_logic;
CIC_TOMB : in std_logic;
HDMI_TX_CLK : out std_logic;
HDMI_TX_D : out std_logic_vector(23 downto 0);
HDMI_TX_DE : out std_logic;
HDMI_TX_HS : out std_logic;
HDMI_TX_INT : in std_logic;
HDMI_TX_VS : out std_logic;
AUD_ADCDAT : in std_logic;
AUD_ADCLRCK : inout std_logic;
AUD_BCLK : inout std_logic;
AUD_DACDAT : out std_logic;
AUD_DACLRCK : inout std_logic;
AUD_XCK : out std_logic;
SD_CLK : out std_logic;
SD_CMD : inout std_logic;
SD_DAT : inout std_logic_vector(3 downto 0)
);
end nes;
architecture behavioral of nes is
component master_pll is
port
(
refclk : in std_logic := '0';
rst : in std_logic := '0';
outclk_0 : out std_logic;
locked : out std_logic;
reconfig_to_pll : in std_logic_vector(63 downto 0) := (others => '0');
reconfig_from_pll : out std_logic_vector(63 downto 0)
);
end component;
component audio_pll is
port
(
refclk : in std_logic := '0';
rst : in std_logic := '0';
outclk_0 : out std_logic;
locked : out std_logic
);
end component;
component vga_pll is
port
(
refclk : in std_logic := '0';
rst : in std_logic := '0';
outclk_0 : out std_logic;
locked : out std_logic
);
end component;
component nescore is
port
(
i_clk : in std_logic;
i_reset_n : in std_logic := '1';
i_ready : in std_logic := '1';
i_ctrl_a_data : in std_logic := '1';
i_ctrl_b_data : in std_logic := '1';
i_video_mode : in video_mode_t := ntsc;
i_ciram_ce_n : in std_logic;
i_ciram_a10 : in std_logic;
i_prg_q : in std_logic_vector(7 downto 0);
i_chr_q : in std_logic_vector(7 downto 0);
i_irq_n : in std_logic := '1';
i_rx : in std_logic := '1';
o_tx : out std_logic;
o_prg_addr : out std_logic_vector(14 downto 0);
o_prg_data : out std_logic_vector(7 downto 0);
o_prg_write_enable : out std_logic;
o_prg_cs_n : out std_logic;
o_chr_addr : out std_logic_vector(13 downto 0);
o_chr_data : out std_logic_vector(7 downto 0);
o_chr_read_enable : out std_logic;
o_chr_write_enable : out std_logic;
o_ctrl_strobe : out std_logic;
o_ctrl_a_clk : out std_logic;
o_ctrl_b_clk : out std_logic;
o_cpu_clk_enable : out std_logic;
o_cpu_sync : out std_logic;
o_vga_addr : out std_logic_vector(15 downto 0);
o_vga_data : out std_logic_vector(8 downto 0);
o_vga_write_enable : out std_logic;
o_vga_clk_enable : out std_logic;
o_audio_q : out std_logic_vector(15 downto 0)
);
end component;
component vga is
generic
(
HFP : natural := 88;
HSYNC : natural := 44;
HBP : natural := 148;
HRES : natural := 1920;
VFP : natural := 4;
VSYNC : natural := 5;
VBP : natural := 36;
VRES : natural := 1080
);
port
(
i_data_clk : in std_logic;
i_data_clk_enable : in std_logic;
i_vga_clk : in std_logic;
i_vga_clk_enable : in std_logic;
i_reset_n : in std_logic;
i_addr : in std_logic_vector(15 downto 0);
i_data : in std_logic_vector(8 downto 0);
i_write_enable : in std_logic;
o_data_enable : out std_logic;
o_vsync : out std_logic;
o_hsync : out std_logic;
o_data : out std_logic_vector(23 downto 0)
);
end component;
component i2s is
generic
(
DIVIDER : natural := 4;
WORD_WIDTH : natural := 16;
CHANNEL_WIDTH : natural := 32
);
port
(
i_audio_clk : in std_logic;
i_master_clk : in std_logic;
i_clk_enable : in std_logic;
i_audio_reset_n : in std_logic := '1';
i_master_reset_n : in std_logic := '1';
i_data : in std_logic_vector(WORD_WIDTH - 1 downto 0);
o_lrclk : out std_logic;
o_sclk : out std_logic;
o_sdata : out std_logic
);
end component;
component hex_digit is
port
(
i_d : in std_logic_vector(3 downto 0);
o_q : out std_logic_vector(6 downto 0)
);
end component;
component periphery_ctrl is
generic
(
CLK_SPEED : integer := 50_000_000
);
port
(
i_clk : in std_logic;
i_reset_n : in std_logic := '1';
i_int_n : in std_logic := '1';
io_sda : inout std_logic;
io_scl : inout std_logic;
o_status : out std_logic_vector(7 downto 0);
o_ack_error : out std_logic
);
end component;
component master_reconfig is
generic (
ENABLE_BYTEENABLE : boolean := false;
BYTEENABLE_WIDTH : integer := 4;
RECONFIG_ADDR_WIDTH : integer := 6;
RECONFIG_DATA_WIDTH : integer := 32;
reconf_width : integer := 64
);
port (
mgmt_clk : in std_logic := '0';
mgmt_reset : in std_logic := '0';
mgmt_waitrequest : out std_logic;
mgmt_read : in std_logic := '0';
mgmt_write : in std_logic := '0';
mgmt_readdata : out std_logic_vector(31 downto 0);
mgmt_address : in std_logic_vector(5 downto 0) := (others => '0');
mgmt_writedata : in std_logic_vector(31 downto 0) := (others => '0');
reconfig_to_pll : out std_logic_vector(63 downto 0);
reconfig_from_pll : in std_logic_vector(63 downto 0) := (others => '0')
);
end component;
component master_ctrl is
port
(
i_clk : in std_logic;
i_reset_n : in std_logic := '1';
i_video_mode : in video_mode_t;
i_waitrequest : in std_logic := '0';
i_reconfig_data : in std_logic_vector(31 downto 0) := (others => '0');
o_video_mode : out video_mode_t;
o_status : out std_logic_vector(7 downto 0);
o_reconfig_read : out std_logic;
o_reconfig_write : out std_logic;
o_reconfig_addr : out std_logic_vector(5 downto 0);
o_reconfig_new_data : out std_logic_vector(31 downto 0)
);
end component;
component debounce is
port
(
i_clk : in std_logic;
i_in : in std_logic;
o_q : out std_logic;
o_riseedge : out std_logic;
o_falledge : out std_logic
);
end component;
alias HDMI_AUDIO_SPDIF : std_logic is GPIO(0);
alias HDMI_AUDIO_MCLK : std_logic is GPIO(1);
alias HDMI_AUDIO_I2S0 : std_logic is GPIO(2);
alias HDMI_AUDIO_I2S1 : std_logic is GPIO(3);
alias HDMI_AUDIO_I2S2 : std_logic is GPIO(4);
alias HDMI_AUDIO_I2S3 : std_logic is GPIO(5);
alias HDMI_AUDIO_SCLK : std_logic is GPIO(6);
alias HDMI_AUDIO_LRCLK : std_logic is GPIO(7);
alias CTRL_A_DATA : std_logic is GPIO(8);
alias CTRL_B_DATA : std_logic is GPIO(9);
alias CTRL_A_CLOCK : std_logic is GPIO(10);
alias CTRL_B_CLOCK : std_logic is GPIO(11);
alias CTRL_STROBE : std_logic is GPIO(12);
signal s_audio_clk : std_logic;
signal s_master_clk : std_logic;
signal s_vga_clk : std_logic;
signal s_reset_n : std_logic;
signal s_audio_reset_n : std_logic;
signal s_vga_reset_n : std_logic;
signal s_vga_addr : std_logic_vector(15 downto 0);
signal s_vga_data : std_logic_vector(8 downto 0);
signal s_vga_write_enable : std_logic;
signal s_vga_clk_enable : std_logic;
signal s_audio_q : std_logic_vector(15 downto 0);
signal s_debug1 : std_logic_vector(7 downto 0) := (others => '0');
signal s_debug2 : std_logic_vector(7 downto 0) := (others => '0');
signal s_audio_counter : integer range 0 to 62499 := 0;
signal s_ack_error : std_logic;
signal s_audio_lrclk : std_logic;
signal s_audio_sclk : std_logic;
signal s_audio_dat : std_logic;
signal s_sample_req : std_logic_vector(1 downto 0);
signal s_cpu_clk_enable : std_logic;
signal s_cpu_sync : std_logic;
signal s_prg_cs_n : std_logic;
signal s_prg_addr : std_logic_vector(14 downto 0);
signal s_chr_addr : std_logic_vector(13 downto 0);
signal s_prg_data : std_logic_vector(7 downto 0);
signal s_chr_data : std_logic_vector(7 downto 0);
signal s_prg_write_enable : std_logic;
signal s_chr_read_enable : std_logic;
signal s_chr_write_enable : std_logic;
signal s_reconfig_to_pll : std_logic_vector(63 downto 0);
signal s_reconfig_from_pll : std_logic_vector(63 downto 0);
signal s_reconfig_read : std_logic := '0';
signal s_reconfig_write : std_logic := '0';
signal s_reconfig_addr : std_logic_vector(5 downto 0) := (others => '0');
signal s_reconfig_data : std_logic_vector(31 downto 0);
signal s_reconfig_new_data : std_logic_vector(31 downto 0) := (others => '0');
signal s_reconfig_waitrequest : std_logic;
signal s_video_mode : video_mode_t;
signal s_video_mode_configured : video_mode_t;
signal s_key_d : std_logic_vector(3 downto 0) := (others => '1');
signal s_debounced_vm_switch : std_logic;
begin
master : master_pll port map
(
refclk => CLOCK_50_B5B,
rst => not CPU_RESET_n,
outclk_0 => s_master_clk,
locked => s_reset_n,
reconfig_to_pll => s_reconfig_to_pll,
reconfig_from_pll => s_reconfig_from_pll
);
audio : audio_pll port map
(
refclk => CLOCK_50_B6A,
rst => not CPU_RESET_n,
outclk_0 => s_audio_clk,
locked => s_audio_reset_n
);
vgapll : vga_pll port map
(
refclk => CLOCK_50_B7A,
rst => not CPU_RESET_n,
outclk_0 => s_vga_clk,
locked => s_vga_reset_n
);
master_recfg : master_reconfig port map
(
mgmt_clk => CLOCK_50_B5B,
-- mgmt_reset => not CPU_RESET_n,
mgmt_read => s_reconfig_read,
mgmt_write => s_reconfig_write,
mgmt_address => s_reconfig_addr,
mgmt_readdata => s_reconfig_data,
mgmt_writedata => s_reconfig_new_data,
mgmt_waitrequest => s_reconfig_waitrequest,
reconfig_to_pll => s_reconfig_to_pll,
reconfig_from_pll => s_reconfig_from_pll
);
master_c : master_ctrl port map (
i_clk => CLOCK_50_B5B,
i_reset_n => CPU_RESET_n,
i_video_mode => s_video_mode,
i_waitrequest => s_reconfig_waitrequest,
i_reconfig_data => s_reconfig_data,
o_video_mode => s_video_mode_configured,
o_status => s_debug2,
o_reconfig_read => s_reconfig_read,
o_reconfig_write => s_reconfig_write,
o_reconfig_addr => s_reconfig_addr,
o_reconfig_new_data => s_reconfig_new_data
);
nes_core : nescore port map
(
i_clk => s_master_clk,
i_reset_n => s_reset_n,
i_ready => SW(8),
i_ctrl_a_data => CTRL_A_DATA,
i_ctrl_b_data => CTRL_B_DATA,
i_video_mode => s_video_mode,
i_ciram_ce_n => CIRAM_CE_N,
i_ciram_a10 => CIRAM_A10,
i_prg_q => CPU_DATA,
i_chr_q => PPU_DATA,
i_irq_n => IRQ_N,
i_rx => UART_RX,
o_tx => UART_TX,
o_prg_addr => s_prg_addr,
o_prg_data => s_prg_data,
o_prg_write_enable => s_prg_write_enable,
o_prg_cs_n => s_prg_cs_n,
o_chr_addr => s_chr_addr,
o_chr_data => s_chr_data,
o_chr_read_enable => s_chr_read_enable,
o_chr_write_enable => s_chr_write_enable,
o_ctrl_strobe => CTRL_STROBE,
o_ctrl_a_clk => CTRL_A_CLOCK,
o_ctrl_b_clk => CTRL_B_CLOCK,
o_cpu_clk_enable => s_cpu_clk_enable,
o_cpu_sync => s_cpu_sync,
o_vga_addr => s_vga_addr,
o_vga_data => s_vga_data,
o_vga_write_enable => s_vga_write_enable,
o_vga_clk_enable => s_vga_clk_enable,
o_audio_q => s_audio_q
);
vga_cmp : vga generic map
(
HFP => 16,
HSYNC => 96,
HBP => 48,
HRES => 640,
VFP => 10,
VSYNC => 2,
VBP => 33,
VRES => 480
)
port map
(
i_data_clk => s_master_clk,
i_data_clk_enable => s_vga_clk_enable,
i_vga_clk => s_vga_clk,
i_vga_clk_enable => '1',
i_reset_n => s_vga_reset_n,
i_addr => s_vga_addr,
i_data => s_vga_data,
i_write_enable => s_vga_write_enable,
o_data_enable => HDMI_TX_DE,
o_vsync => HDMI_TX_VS,
o_hsync => HDMI_TX_HS,
o_data => HDMI_TX_D
);
pc : periphery_ctrl port map
(
i_clk => CLOCK_50_B5B,
i_reset_n => CPU_RESET_n,
i_int_n => HDMI_TX_INT,
io_sda => I2C_SDA,
io_scl => I2C_SCL,
o_status => s_debug1,
o_ack_error => s_ack_error
);
i2s_cmp : i2s port map
(
i_audio_clk => s_audio_clk,
i_master_clk => s_master_clk,
i_clk_enable => s_cpu_clk_enable,
i_audio_reset_n => s_audio_reset_n,
i_master_reset_n => CPU_RESET_n,
i_data => s_audio_q,
o_lrclk => s_audio_lrclk,
o_sclk => s_audio_sclk,
o_sdata => s_audio_dat
);
hd0 : hex_digit port map
(
i_d => s_debug1(3 downto 0),
o_q => HEX0
);
hd1 : hex_digit port map
(
i_d => s_debug1(7 downto 4),
o_q => HEX1
);
hd2 : hex_digit port map
(
i_d => s_debug2(3 downto 0),
o_q => HEX2
);
hd3 : hex_digit port map
(
i_d => s_debug2(7 downto 4),
o_q => HEX3
);
deb1 : debounce port map
(
i_clk => CLOCK_50_B5B,
i_in => SW(9),
o_q => s_debounced_vm_switch
);
HDMI_AUDIO_MCLK <= s_audio_clk;
HDMI_AUDIO_LRCLK <= s_audio_lrclk;
HDMI_AUDIO_SCLK <= s_audio_sclk;
HDMI_AUDIO_I2S0 <= s_audio_dat;
HDMI_AUDIO_I2S1 <= '0';
HDMI_AUDIO_I2S2 <= '0';
HDMI_AUDIO_I2S3 <= '0';
HDMI_AUDIO_SPDIF <= '0';
AUD_DACDAT <= s_audio_dat;
AUD_XCK <= s_audio_clk;
AUD_DACLRCK <= s_audio_lrclk;
AUD_BCLK <= s_audio_sclk;
HDMI_TX_CLK <= s_vga_clk;
LEDR(9) <= '1' when s_video_mode_configured = pal else '0';
LEDR(8) <= s_reconfig_waitrequest;
LEDR(7) <= not HDMI_TX_INT;
LEDR(6) <= s_ack_error;
LEDR(5 downto 0) <= (others => '0');
LEDG <= (others => '0');
CTRL_A_DATA <= 'Z';
CTRL_B_DATA <= 'Z';
CIC_CLK <= '0';
CIC_TOPAK <= '0';
CIC_RST_N <= '1';
SYS_CLK <= '0'; -- s_master_clk
M2 <= s_cpu_sync;
ROMSEL_N <= s_prg_cs_n;
CPU_ADDR <= s_prg_addr;
CPU_DATA <= s_prg_data when s_prg_write_enable = '1' else (others => 'Z');
CPU_DIR <= s_prg_write_enable;
CPU_RW <= not s_prg_write_enable;
PPU_ADDR <= s_chr_addr;
PPU_DATA <= s_chr_data when s_chr_write_enable = '1' else (others => 'Z');
PPU_DIR <= s_chr_write_enable;
PPU_RD_N <= not s_chr_read_enable;
PPU_WR_N <= not s_chr_write_enable;
PPU_A13_N <= not s_chr_addr(13);
s_video_mode <= ntsc when s_debounced_vm_switch = '0' else pal;
end;
/********************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.common.all;
entity nescore is
port
(
i_clk : in std_logic;
i_reset_n : in std_logic := '1';
i_ready : in std_logic := '1';
i_ctrl_a_data : in std_logic := '1';
i_ctrl_b_data : in std_logic := '1';
i_video_mode : in video_mode_t := ntsc;
i_ciram_ce_n : in std_logic := '1';
i_ciram_a10 : in std_logic := '0';
i_prg_q : in std_logic_vector(7 downto 0);
i_chr_q : in std_logic_vector(7 downto 0);
i_irq_n : in std_logic := '1';
i_rx : in std_logic := '1';
o_tx : out std_logic;
o_prg_addr : out std_logic_vector(14 downto 0);
o_prg_data : out std_logic_vector(7 downto 0);
o_prg_write_enable : out std_logic;
o_prg_cs_n : out std_logic;
o_chr_addr : out std_logic_vector(13 downto 0);
o_chr_data : out std_logic_vector(7 downto 0);
o_chr_read_enable : out std_logic;
o_chr_write_enable : out std_logic;
o_ctrl_strobe : out std_logic;
o_ctrl_a_clk : out std_logic;
o_ctrl_b_clk : out std_logic;
o_cpu_clk_enable : out std_logic;
o_cpu_sync : out std_logic;
o_vga_addr : out std_logic_vector(15 downto 0);
o_vga_data : out std_logic_vector(8 downto 0);
o_vga_write_enable : out std_logic;
o_vga_clk_enable : out std_logic;
o_audio_q : out std_logic_vector(15 downto 0)
);
end nescore;
architecture behavioral of nescore is
component cpu is
port
(
i_clk : in std_logic;
i_clk_enable : in std_logic := '1';
i_ready : in std_logic := '1';
i_reset_n : in std_logic := '1';
i_int_n : in std_logic := '1';
i_nmi_n : in std_logic := '1';
i_mem_q : in std_logic_vector(7 downto 0) := x"00";
o_mem_addr : out std_logic_vector(15 downto 0);
o_mem_data : out std_logic_vector(7 downto 0);
o_mem_write_enable : out std_logic
);
end component;
component ppu is
port
(
i_clk: in std_logic;
i_clk_enable : in std_logic := '1';
i_reset_n: in std_logic := '1';
i_video_mode : in video_mode_t := ntsc;
i_addr : in std_logic_vector(2 downto 0) := "000";
i_data : in std_logic_vector(7 downto 0) := x"00";
i_write_enable : in std_logic := '0';
i_cs_n : in std_logic := '0';
i_chr_data : in std_logic_vector(7 downto 0) := x"00";
o_q : out std_logic_vector(7 downto 0);
o_int_n : out std_logic;
o_vga_addr: out std_logic_vector(15 downto 0);
o_vga_data: out std_logic_vector(8 downto 0);
o_vga_write_enable: out std_logic;
o_chr_addr : out std_logic_vector(13 downto 0);
o_chr_read_enable : out std_logic;
o_chr_write_enable : out std_logic;
o_chr_q : out std_logic_vector(7 downto 0)
);
end component;
component apu is
port
(
i_clk : in std_logic;
i_clk_enable : in std_logic;
i_reset_n : in std_logic := '1';
i_addr : in std_logic_vector(4 downto 0) := 5x"00";
i_data : in std_logic_vector(7 downto 0) := x"00";
i_write_enable : in std_logic := '0';
i_cs_n : in std_logic := '1';
i_dma_write_enable : in std_logic := '0';
i_dma_q : in std_logic_vector(7 downto 0) := x"00";
i_ctrl_a_data : in std_logic := '1';
i_ctrl_b_data : in std_logic := '1';
i_video_mode : in video_mode_t := ntsc;
o_ctrl_strobe : out std_logic;
o_ctrl_a_clk : out std_logic;
o_ctrl_b_clk : out std_logic;
o_int_n : out std_logic;
o_audio : out std_logic_vector(15 downto 0);
o_q : out std_logic_vector(7 downto 0);
o_dma_addr : out std_logic_vector(15 downto 0);
o_dma_data : out std_logic_vector(7 downto 0);
o_dma_write_enable : out std_logic;
o_dma_ready : out std_logic;
o_dma_active : out std_logic
);
end component;
component peripherial_io is
port
(
i_clk : in std_logic;
i_clk_enable : in std_logic := '1';
i_reset_n : in std_logic;
i_addr : in std_logic_vector(2 downto 0);
i_data : in std_logic_vector(7 downto 0);
i_write_enable : in std_logic;
i_cs_n : in std_logic := '1';
i_rx : in std_logic := '1';
o_tx : out std_logic;
o_q : out std_logic_vector(7 downto 0)
);
end component;
component data_path is
port
(
i_clk : in std_logic;
i_clk_enable : in std_logic := '1';
i_reset_n : in std_logic;
i_sync : in std_logic;
i_addr : in std_logic_vector(15 downto 0);
i_data : in std_logic_vector(7 downto 0);
i_write_enable : in std_logic;
i_ppu_q : in std_logic_vector(7 downto 0);
i_apu_q : in std_logic_vector(7 downto 0);
i_prg_q : in std_logic_vector(7 downto 0);
i_pio_q : in std_logic_vector(7 downto 0);
o_prg_addr : out std_logic_vector(14 downto 0);
o_prg_cs_n : out std_logic;
o_ppu_addr : out std_logic_vector(2 downto 0);
o_ppu_cs_n : out std_logic;
o_apu_addr : out std_logic_vector(4 downto 0);
o_apu_cs_n : out std_logic;
o_pio_addr : out std_logic_vector(2 downto 0);
o_pio_cs_n : out std_logic;
o_q : out std_logic_vector(7 downto 0)
);
end component;
component videomem is
port
(
address : in std_logic_vector(10 downto 0);
clken : in std_logic := '1';
clock : in std_logic := '1';
data : in std_logic_vector(7 downto 0);
rden : in std_logic := '0';
wren : in std_logic := '0';
q : out std_logic_vector(7 downto 0)
);
end component;
signal s_cpu_clk_enable : std_logic;
signal s_cpu_sync : std_logic;
signal s_ppu_q : std_logic_vector(7 downto 0);
signal s_ppu_addr : std_logic_vector(2 downto 0);
signal s_ppu_cs_n : std_logic;
signal s_apu_addr : std_logic_vector(4 downto 0);
signal s_apu_cs_n : std_logic;
signal s_apu_int_n : std_logic;
signal s_apu_q : std_logic_vector(7 downto 0);
signal s_nmi_n : std_logic;
signal s_int_n : std_logic;
signal s_mem_q : std_logic_vector(7 downto 0);
signal s_mem_addr : std_logic_vector(15 downto 0);
signal s_mem_data : std_logic_vector(7 downto 0);
signal s_mem_write_enable : std_logic;
signal s_eff_addr : std_logic_vector(15 downto 0);
signal s_eff_data : std_logic_vector(7 downto 0);
signal s_eff_write_enable : std_logic;
signal s_dma_addr : std_logic_vector(15 downto 0);
signal s_dma_data : std_logic_vector(7 downto 0);
signal s_dma_write_enable : std_logic;
signal s_dma_ready : std_logic;
signal s_dma_active : std_logic;
signal s_chr_addr : std_logic_vector(13 downto 0);
signal s_chr_q : std_logic_vector(7 downto 0);
signal s_chr_data : std_logic_vector(7 downto 0);
signal s_chr_latch : std_logic_vector(7 downto 0) := (others => '0');
signal s_chr_read_enable : std_logic;
signal s_chr_write_enable : std_logic;
signal s_ppu_clk_enable : std_logic;
signal s_ciram_ce_d : std_logic_vector(1 downto 0) := "00";
signal s_ciram_a10_d : std_logic := '0';
signal s_ciram_q : std_logic_vector(7 downto 0);
signal s_chr_eff_q : std_logic_vector(7 downto 0);
signal s_prg_q : std_logic_vector(7 downto 0) := (others => '0');
signal s_prg_latch : std_logic_vector(7 downto 0) := (others => '0');
signal s_cpu_divider : natural range 0 to 31 := 31;
signal s_ppu_divider : natural range 0 to 31 := 31;
signal s_cpu_counter : natural range 0 to 31 := 0;
signal s_ppu_counter : natural range 0 to 31 := 0;
signal s_sync_start : natural range 0 to 31 := 0;
signal s_sync_stop : natural range 0 to 31 := 0;
signal s_next_cpu_divider : natural range 0 to 31;
signal s_next_ppu_divider : natural range 0 to 31;
signal s_next_sync_start : natural range 0 to 31;
signal s_next_sync_stop : natural range 0 to 31;
signal s_last_cpu_cycle : boolean;
signal s_last_ppu_cycle : boolean;
signal s_pio_q : std_logic_vector(7 downto 0);
signal s_pio_addr : std_logic_vector(2 downto 0);
signal s_pio_cs_n : std_logic;
begin
cpu_core : cpu port map
(
i_clk => i_clk,
i_clk_enable => s_cpu_clk_enable,
i_ready => s_dma_ready and i_ready,
i_reset_n => i_reset_n,
i_nmi_n => s_nmi_n,
i_int_n => s_int_n,
i_mem_q => s_mem_q,
o_mem_addr => s_mem_addr,
o_mem_data => s_mem_data,
o_mem_write_enable => s_mem_write_enable
);
ppu_cmp : ppu port map
(
i_clk => i_clk,
i_clk_enable => s_ppu_clk_enable,
i_reset_n => i_reset_n,
i_video_mode => i_video_mode,
i_addr => s_ppu_addr,
i_data => s_eff_data,
i_write_enable => s_eff_write_enable,
i_cs_n => s_ppu_cs_n,
i_chr_data => s_chr_q,
o_q => s_ppu_q,
o_int_n => s_nmi_n,
o_vga_addr => o_vga_addr,
o_vga_data => o_vga_data,
o_vga_write_enable => o_vga_write_enable,
o_chr_addr => s_chr_addr,
o_chr_q => s_chr_data,
o_chr_read_enable => s_chr_read_enable,
o_chr_write_enable => s_chr_write_enable
);
apu_core : apu port map
(
i_clk => i_clk,
i_clk_enable => s_cpu_clk_enable,
i_reset_n => i_reset_n,
i_addr => s_apu_addr,
i_data => s_eff_data,
i_write_enable => s_eff_write_enable,
i_cs_n => s_apu_cs_n,
i_ctrl_a_data => i_ctrl_a_data,
i_ctrl_b_data => i_ctrl_b_data,
i_dma_write_enable => s_mem_write_enable,
i_dma_q => s_mem_q,
i_video_mode => i_video_mode,
o_ctrl_strobe => o_ctrl_strobe,
o_ctrl_a_clk => o_ctrl_a_clk,
o_ctrl_b_clk => o_ctrl_b_clk,
o_int_n => s_apu_int_n,
o_audio => o_audio_q,
o_q => s_apu_q,
o_dma_addr => s_dma_addr,
o_dma_data => s_dma_data,
o_dma_write_enable => s_dma_write_enable,
o_dma_ready => s_dma_ready,
o_dma_active => s_dma_active
);
/*
pio_core : peripherial_io port map
(
i_clk => i_clk,
i_clk_enable => s_cpu_clk_enable,
i_reset_n => i_reset_n,
i_addr => s_pio_addr,
i_data => s_eff_data,
i_write_enable => s_eff_write_enable,
i_cs_n => s_pio_cs_n,
i_rx => i_rx,
o_tx => o_tx,
o_q => s_pio_q
);
*/
dpath : data_path port map
(
i_clk => i_clk,
i_clk_enable => s_cpu_clk_enable,
i_sync => s_cpu_sync,
i_reset_n => i_reset_n,
i_addr => s_eff_addr,
i_data => s_eff_data,
i_write_enable => s_eff_write_enable,
i_ppu_q => s_ppu_q,
i_apu_q => s_apu_q,
i_prg_q => s_prg_q,
i_pio_q => s_pio_q,
o_prg_addr => o_prg_addr,
o_prg_cs_n => o_prg_cs_n,
o_ppu_addr => s_ppu_addr,
o_ppu_cs_n => s_ppu_cs_n,
o_apu_addr => s_apu_addr,
o_apu_cs_n => s_apu_cs_n,
o_pio_addr => s_pio_addr,
o_pio_cs_n => s_pio_cs_n,
o_q => s_mem_q
);
ciram : videomem port map
(
clock => i_clk,
address => s_ciram_a10_d & s_chr_addr(9 downto 0),
clken => s_ppu_clk_enable and s_ciram_ce_d(0),
data => s_chr_data,
rden => s_chr_read_enable,
wren => s_chr_write_enable,
q => s_ciram_q
);
process (i_video_mode)
begin
case i_video_mode is
when ntsc =>
s_next_cpu_divider <= 12;
s_next_ppu_divider <= 4;
s_next_sync_start <= 1;
s_next_sync_stop <= 10;
when pal =>
s_next_cpu_divider <= 16;
s_next_ppu_divider <= 5;
s_next_sync_start <= 2;
s_next_sync_stop <= 14;
end case;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if (i_reset_n = '0') or (s_last_cpu_cycle and s_last_ppu_cycle) then
s_cpu_divider <= s_next_cpu_divider;
s_ppu_divider <= s_next_ppu_divider;
s_sync_start <= s_next_sync_start;
s_sync_stop <= s_next_sync_stop;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_last_cpu_cycle then
s_cpu_counter <= 0;
else
s_cpu_counter <= s_cpu_counter + 1;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_last_ppu_cycle then
s_ppu_counter <= 0;
else
s_ppu_counter <= s_ppu_counter + 1;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if s_cpu_counter = s_sync_stop then
s_cpu_sync <= '0';
elsif s_cpu_counter = s_sync_start then
s_cpu_sync <= '1';
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_reset_n = '0' then
s_prg_q <= (others => '0');
elsif s_last_cpu_cycle then
s_prg_q <= i_prg_q;
else
s_prg_q <= s_prg_latch;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_reset_n = '0' then
s_prg_latch <= (others => '0');
elsif s_cpu_counter = s_sync_stop then
s_prg_latch <= i_prg_q;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_reset_n = '0' then
s_ciram_a10_d <= '0';
elsif s_ppu_clk_enable = '1' then
s_ciram_a10_d <= i_ciram_a10;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_reset_n = '0' then
s_ciram_ce_d <= (others => '0');
elsif s_ppu_clk_enable = '1' then
s_ciram_ce_d <= s_ciram_ce_d(0) & not i_ciram_ce_n;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_reset_n = '0' then
s_chr_latch <= (others => '0');
elsif s_ppu_clk_enable = '1' then
s_chr_latch <= i_chr_q;
end if;
end if;
end process;
s_last_cpu_cycle <= s_cpu_counter = s_cpu_divider - 1;
s_last_ppu_cycle <= s_ppu_counter = s_ppu_divider - 1;
s_cpu_clk_enable <= '1' when s_last_cpu_cycle else '0';
s_ppu_clk_enable <= '1' when s_last_ppu_cycle else '0';
s_eff_write_enable <= s_dma_write_enable when s_dma_active = '1' else s_mem_write_enable;
s_eff_addr <= s_dma_addr when s_dma_active = '1' else s_mem_addr;
s_eff_data <= s_dma_data when s_dma_active = '1' else s_mem_data;
s_int_n <= s_apu_int_n and i_irq_n;
s_chr_q <= s_ciram_q when s_ciram_ce_d(1) = '1' else s_chr_latch;
o_cpu_clk_enable <= s_cpu_clk_enable;
o_cpu_sync <= s_cpu_sync;
o_vga_clk_enable <= s_ppu_clk_enable;
o_chr_addr <= s_chr_addr;
o_chr_data <= s_chr_data;
o_chr_read_enable <= s_chr_read_enable;
o_chr_write_enable <= s_chr_write_enable;
o_prg_data <= s_eff_data;
o_prg_write_enable <= s_eff_write_enable;
end;
/********************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.common.all;
entity nestest is
port
(
i_clk : in std_logic;
i_reset_n : in std_logic := '1'
);
end nestest;
architecture behavioral of nestest is
component nescore is
port
(
i_clk : in std_logic;
i_reset_n : in std_logic := '1';
i_ready : in std_logic := '1';
i_ctrl_a_data : in std_logic := '1';
i_ctrl_b_data : in std_logic := '1';
i_video_mode : in video_mode_t := ntsc;
i_ciram_ce_n : in std_logic := '1';
i_ciram_a10 : in std_logic := '0';
i_prg_q : in std_logic_vector(7 downto 0);
i_chr_q : in std_logic_vector(7 downto 0);
i_irq_n : in std_logic := '1';
i_rx : in std_logic := '1';
o_tx : out std_logic;
o_prg_addr : out std_logic_vector(14 downto 0);
o_prg_data : out std_logic_vector(7 downto 0);
o_prg_write_enable : out std_logic;
o_prg_cs_n : out std_logic;
o_chr_addr : out std_logic_vector(13 downto 0);
o_chr_data : out std_logic_vector(7 downto 0);
o_chr_read_enable : out std_logic;
o_chr_write_enable : out std_logic;
o_ctrl_strobe : out std_logic;
o_ctrl_a_clk : out std_logic;
o_ctrl_b_clk : out std_logic;
o_cpu_clk_enable : out std_logic;
o_cpu_sync : out std_logic;
o_vga_addr : out std_logic_vector(15 downto 0);
o_vga_data : out std_logic_vector(8 downto 0);
o_vga_write_enable : out std_logic;
o_vga_clk_enable : out std_logic;
o_audio_q : out std_logic_vector(15 downto 0)
);
end component;
component progmem is
port
(
address : in std_logic_vector(14 downto 0);
clken : in std_logic := '1';
clock : in std_logic := '1';
data : in std_logic_vector(7 downto 0);
wren : in std_logic;
q : out std_logic_vector(7 downto 0)
);
end component;
component videorom is
port
(
address : in std_logic_vector(12 downto 0);
clken : in std_logic := '1';
clock : in std_logic := '1';
data : in std_logic_vector(7 downto 0);
rden : in std_logic := '0';
wren : in std_logic := '0';
q : out std_logic_vector(7 downto 0)
);
end component;
signal s_prg_addr : std_logic_vector(14 downto 0);
signal s_prg_q : std_logic_vector(7 downto 0);
signal s_prg_data : std_logic_vector(7 downto 0);
signal s_prg_write_enable : std_logic;
signal s_prg_eff_q : std_logic_vector(7 downto 0);
signal s_prg_cs_n : std_logic;
signal s_chr_addr : std_logic_vector(13 downto 0);
signal s_chr_data : std_logic_vector(7 downto 0);
signal s_chr_read_enable : std_logic;
signal s_chr_write_enable : std_logic;
signal s_chr_q : std_logic_vector(7 downto 0);
signal s_chr_eff_q : std_logic_vector(7 downto 0);
signal s_init_counter : natural := 16;
signal s_init : std_logic;
signal s_reset_n : std_logic;
begin
nes_core : nescore port map
(
i_clk => i_clk,
i_reset_n => s_reset_n,
i_prg_q => s_prg_eff_q,
i_chr_q => s_chr_q,
i_ciram_ce_n => not s_chr_addr(13),
i_ciram_a10 => s_chr_addr(10),
i_video_mode => ntsc,
o_prg_addr => s_prg_addr,
o_prg_data => s_prg_data,
o_prg_write_enable => s_prg_write_enable,
o_prg_cs_n => s_prg_cs_n,
o_chr_addr => s_chr_addr,
o_chr_data => s_chr_data,
o_chr_read_enable => s_chr_read_enable,
o_chr_write_enable => s_chr_write_enable
);
prgrom : progmem port map
(
address => s_prg_addr,
clken => not s_prg_cs_n,
clock => i_clk,
data => s_prg_data,
wren => s_prg_write_enable,
q => s_prg_q
);
chrrom : videorom port map
(
address => s_chr_addr(12 downto 0),
clken => '1',
clock => i_clk,
data => s_chr_data,
rden => s_chr_read_enable,
wren => s_chr_write_enable,
q => s_chr_q
);
process (i_clk)
begin
if rising_edge(i_clk) then
if s_init_counter /= 0 then
s_init_counter <= s_init_counter - 1;
end if;
end if;
end process;
s_init <= '1' when s_init_counter = 0 else '0';
s_reset_n <= i_reset_n and s_init;
s_prg_eff_q <= s_prg_q when s_prg_cs_n = '0' else (others => 'Z');
s_chr_eff_q <= s_chr_q when s_chr_read_enable = '1' else (others => 'Z');
end;
| gpl-3.0 | 34b28c49f1f1906597162f1f20fc7b52 | 0.620661 | 2.422105 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | vhdl/filter/iir/bq/filter_shared_package.vhdl | 1 | 3,773 | library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
package filter_shared_package is
-- Some range declarations
subtype B_T is natural range 2 to 16; -- Range of number of biquads
subtype C_T is natural range 2 to 32; -- Range of number of channels
subtype P_T is natural range 1 to 32; -- Range of pipeline depth or number of registers on path between input and output
-- Modifiable Declarations
constant B : B_T := 6; -- Biquads
constant C : natural := 20; -- Channels
constant PM : P_T := 8; -- FP Multiplier Pipeline depth reported by flopocco = number of inserted registers in combinational path
constant PA : P_T := 11; -- FP Adder Pipeline depth reported by flopocco = number of inserted registers in combinational path
constant RMS : natural := 0; -- Enable flag for RMS function. 0-disabled 1- enabled.
constant MEAN : natural := 0; -- Enable flag for MEAN function. 0-disabled 1- enabled.
constant ENV : natural := 0; -- Enable flag for Envelope function. 0-disabled 1- enabled.
constant ENV_MAC : natural := 15; -- MAC id in which envelope function is to be enabled
constant SETZ : natural := 0; -- Enable flag for Set Zero function. 0-disabled 1- enabled.
-- Non-modifiable constant declarations
constant MX : natural := 3; -- Number of MAC operations(or taps) on X values in a Biquad
constant MY : natural := 2; -- Number of MAC operations(or taps) on Y values in a Biquad
constant MB : natural := MX+MY; -- Number of MAC operations(or taps) on X & Y values in Biquad equation
constant MC : natural := MB*B; -- Number of MAC operations per channel
constant SINGLE : natural := 32; -- FP Precision: 32 - Single Precision, 64 - double precision
constant DOUBLE : natural := 64;
constant XTRA_BITS : natural := 2; -- Two extra bits are prefixed to floating data words
constant SINGLE_EXT : natural := SINGLE+XTRA_BITS; -- FP Precision: 32 + 2 - Single Extended Precision
constant DOUBLE_EXT : natural := DOUBLE+XTRA_BITS; -- FP Precision: 64 + 2 - Double Extended Precision
constant X_PAGES : natural := MX;
constant Y_PAGES : natural := 2*B+1;
constant COEFF_PAGES : natural := MC;
constant ACC_PAGES : natural := 1 + RMS + MEAN;
constant MOD_ACC_PAGES: natural;
-- Modifiable Declarations
constant PREC : natural := DOUBLE_EXT; -- Use SINGLE_EXT for single precision and DOUBLE_EXT for double precision
-- Address Types : natural(ceil(log2(real()))) [TODO: make a function]
-- [(log2(some_value) + log2(channels) - 1) downto 0]
subtype X_ADD_T is std_logic_vector(natural(ceil(log2(real(X_PAGES * C))))-1 downto 0);
subtype Y_ADD_T is std_logic_vector(natural(ceil(log2(real(Y_PAGES * C))))-1 downto 0);
subtype COEFF_ADD_T is std_logic_vector(natural(ceil(log2(real(COEFF_PAGES * C))))-1 downto 0);
subtype ACC_ADD_T is std_logic_vector(natural(ceil(log2(real(ACC_PAGES * C))))-1 downto 0);
-- Port Types
subtype DATA_IO_PORT_T is std_logic_vector(PREC-1 downto 0);
-- Function Declaration (optional)
function acc_pgs(pages: natural) return natural;
end filter_shared_package;
package body filter_shared_package is
-- Function body
function acc_pgs(pages: natural) return natural is
-- Declaration(s)
begin
if( pages = 1) then
return 2;
else
return pages;
end if;
end;
-- Deferred constants
constant MOD_ACC_PAGES:natural := acc_pgs(ACC_PAGES);
end filter_shared_package; | mit | 8271532a94254a8cd6241b3fa0d74141 | 0.644845 | 3.873717 | false | false | false | false |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado-hls/pointer_basic/proj_pointer_basic/solution2/syn/vhdl/pointer_basic.vhd | 3 | 9,998 | -- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2018.2
-- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity pointer_basic is
generic (
C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH : INTEGER := 5;
C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH : INTEGER := 32 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
s_axi_pointer_basic_io_AWVALID : IN STD_LOGIC;
s_axi_pointer_basic_io_AWREADY : OUT STD_LOGIC;
s_axi_pointer_basic_io_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH-1 downto 0);
s_axi_pointer_basic_io_WVALID : IN STD_LOGIC;
s_axi_pointer_basic_io_WREADY : OUT STD_LOGIC;
s_axi_pointer_basic_io_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH-1 downto 0);
s_axi_pointer_basic_io_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH/8-1 downto 0);
s_axi_pointer_basic_io_ARVALID : IN STD_LOGIC;
s_axi_pointer_basic_io_ARREADY : OUT STD_LOGIC;
s_axi_pointer_basic_io_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH-1 downto 0);
s_axi_pointer_basic_io_RVALID : OUT STD_LOGIC;
s_axi_pointer_basic_io_RREADY : IN STD_LOGIC;
s_axi_pointer_basic_io_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH-1 downto 0);
s_axi_pointer_basic_io_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
s_axi_pointer_basic_io_BVALID : OUT STD_LOGIC;
s_axi_pointer_basic_io_BREADY : IN STD_LOGIC;
s_axi_pointer_basic_io_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
interrupt : OUT STD_LOGIC );
end;
architecture behav of pointer_basic is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"pointer_basic,hls_ip_2018_2,{HLS_INPUT_TYPE=c,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=4.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=2.552000,HLS_SYN_LAT=2,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=211,HLS_SYN_LUT=228,HLS_VERSION=2018_2}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (2 downto 0) := "001";
constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (2 downto 0) := "010";
constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (2 downto 0) := "100";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_boolean_1 : BOOLEAN := true;
signal ap_rst_n_inv : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (2 downto 0) := "001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_CS_fsm_state1 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none";
signal ap_ready : STD_LOGIC;
signal d_i : STD_LOGIC_VECTOR (31 downto 0);
signal d_o_ap_vld : STD_LOGIC;
signal acc : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal d_read_reg_52 : STD_LOGIC_VECTOR (31 downto 0);
signal acc_assign_fu_41_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal acc_assign_reg_57 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_CS_fsm_state2 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none";
signal ap_CS_fsm_state3 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none";
signal ap_NS_fsm : STD_LOGIC_VECTOR (2 downto 0);
component pointer_basic_pointer_basic_io_s_axi IS
generic (
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER );
port (
AWVALID : IN STD_LOGIC;
AWREADY : OUT STD_LOGIC;
AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
WVALID : IN STD_LOGIC;
WREADY : OUT STD_LOGIC;
WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0);
ARVALID : IN STD_LOGIC;
ARREADY : OUT STD_LOGIC;
ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
RVALID : OUT STD_LOGIC;
RREADY : IN STD_LOGIC;
RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
BVALID : OUT STD_LOGIC;
BREADY : IN STD_LOGIC;
BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
ap_start : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_idle : IN STD_LOGIC;
d_o : IN STD_LOGIC_VECTOR (31 downto 0);
d_o_ap_vld : IN STD_LOGIC;
d_i : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
begin
pointer_basic_pointer_basic_io_s_axi_U : component pointer_basic_pointer_basic_io_s_axi
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH)
port map (
AWVALID => s_axi_pointer_basic_io_AWVALID,
AWREADY => s_axi_pointer_basic_io_AWREADY,
AWADDR => s_axi_pointer_basic_io_AWADDR,
WVALID => s_axi_pointer_basic_io_WVALID,
WREADY => s_axi_pointer_basic_io_WREADY,
WDATA => s_axi_pointer_basic_io_WDATA,
WSTRB => s_axi_pointer_basic_io_WSTRB,
ARVALID => s_axi_pointer_basic_io_ARVALID,
ARREADY => s_axi_pointer_basic_io_ARREADY,
ARADDR => s_axi_pointer_basic_io_ARADDR,
RVALID => s_axi_pointer_basic_io_RVALID,
RREADY => s_axi_pointer_basic_io_RREADY,
RDATA => s_axi_pointer_basic_io_RDATA,
RRESP => s_axi_pointer_basic_io_RRESP,
BVALID => s_axi_pointer_basic_io_BVALID,
BREADY => s_axi_pointer_basic_io_BREADY,
BRESP => s_axi_pointer_basic_io_BRESP,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => ap_const_logic_1,
ap_start => ap_start,
interrupt => interrupt,
ap_ready => ap_ready,
ap_done => ap_done,
ap_idle => ap_idle,
d_o => acc_assign_reg_57,
d_o_ap_vld => d_o_ap_vld,
d_i => d_i);
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_fsm_state1;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state2)) then
acc <= acc_assign_fu_41_p2;
acc_assign_reg_57 <= acc_assign_fu_41_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
d_read_reg_52 <= d_i;
end if;
end if;
end process;
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1)
begin
case ap_CS_fsm is
when ap_ST_fsm_state1 =>
if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
ap_NS_fsm <= ap_ST_fsm_state2;
else
ap_NS_fsm <= ap_ST_fsm_state1;
end if;
when ap_ST_fsm_state2 =>
ap_NS_fsm <= ap_ST_fsm_state3;
when ap_ST_fsm_state3 =>
ap_NS_fsm <= ap_ST_fsm_state1;
when others =>
ap_NS_fsm <= "XXX";
end case;
end process;
acc_assign_fu_41_p2 <= std_logic_vector(unsigned(acc) + unsigned(d_read_reg_52));
ap_CS_fsm_state1 <= ap_CS_fsm(0);
ap_CS_fsm_state2 <= ap_CS_fsm(1);
ap_CS_fsm_state3 <= ap_CS_fsm(2);
ap_done_assign_proc : process(ap_CS_fsm_state3)
begin
if ((ap_const_logic_1 = ap_CS_fsm_state3)) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1)
begin
if (((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
ap_ready_assign_proc : process(ap_CS_fsm_state3)
begin
if ((ap_const_logic_1 = ap_CS_fsm_state3)) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
d_o_ap_vld_assign_proc : process(ap_CS_fsm_state3)
begin
if ((ap_const_logic_1 = ap_CS_fsm_state3)) then
d_o_ap_vld <= ap_const_logic_1;
else
d_o_ap_vld <= ap_const_logic_0;
end if;
end process;
end behav;
| mit | ad04fa05a7e601a17fb4b59ec00a9dcb | 0.574615 | 3.168938 | false | false | false | false |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.