repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
zhlinh/vhdl_course | Assignment/IMG_LSB/simulation/modelsim/TB_IMG_LSB_bak.vhd | 1 | 5,393 | -- Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions
-- and other software and tools, and its AMPP partner logic
-- functions, and any output files from any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License
-- Subscription Agreement, the Altera Quartus II License Agreement,
-- the Altera MegaCore Function License Agreement, or other
-- applicable license agreement, including, without limitation,
-- that your use is for the sole purpose of programming logic
-- devices manufactured by Altera and sold by Altera or its
-- authorized distributors. Please refer to the applicable
-- agreement for further details.
-- ***************************************************************************
-- This file contains a Vhdl test bench template that is freely editable to
-- suit user's needs .Comments are provided in each section to help the user
-- fill out necessary details.
-- ***************************************************************************
-- Generated on "07/05/2015 10:58:13"
-- Vhdl Test Bench template for design : IMG_LSB
--
-- Simulation tool : ModelSim-Altera (VHDL)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE work.mytype.all;
USE std.textio.all;
USE ieee.std_logic_textio.all;
ENTITY IMG_LSB_vhd_tst IS
END IMG_LSB_vhd_tst;
ARCHITECTURE IMG_LSB_arch OF IMG_LSB_vhd_tst IS
-- constants
-- signals
FILE INTEXT_R: TEXT IS IN "INTEXT_R.txt";
FILE INTEXT_G: TEXT IS IN "INTEXT_G.txt";
FILE INTEXT_B: TEXT IS IN "INTEXT_B.txt";
FILE OUTTEXT_R: TEXT IS OUT "OUTTEXT_R.txt";
FILE OUTTEXT_G: TEXT IS OUT "OUTTEXT_G.txt";
FILE OUTTEXT_B: TEXT IS OUT "OUTTEXT_B.txt";
SIGNAL A_COL : COLOR:=4;
SIGNAL A_ROW : COLOR:=0;
SIGNAL B_COL : COLOR:=10;
SIGNAL B_ROW : COLOR:=0;
SIGNAL C_COL : COLOR:=16;
SIGNAL C_ROW : COLOR:=0;
SIGNAL B_IN : COLOR;
SIGNAL B_OUT : COLOR;
SIGNAL CLK : STD_LOGIC;
SIGNAL DETECT_RESULT : STD_LOGIC_VECTOR(2 DOWNTO 0);
SIGNAL G_IN : COLOR;
SIGNAL G_OUT : COLOR;
SIGNAL R_IN : COLOR;
SIGNAL R_OUT : COLOR;
SIGNAL RESET : STD_LOGIC;
SIGNAL SEL : STD_LOGIC:='1';
CONSTANT CLK_PERIOD:TIME:=10 NS;
COMPONENT IMG_LSB
PORT (
A_COL : IN COLOR;
A_ROW : IN COLOR;
B_COL : IN COLOR;
B_IN : IN COLOR;
B_OUT : BUFFER COLOR;
B_ROW : IN COLOR;
C_COL : IN COLOR;
C_ROW : IN COLOR;
CLK : IN STD_LOGIC;
DETECT_RESULT : BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0);
G_IN : IN COLOR;
G_OUT : BUFFER COLOR;
R_IN : IN COLOR;
R_OUT : BUFFER COLOR;
RESET : IN STD_LOGIC;
SEL : IN STD_LOGIC
);
END COMPONENT;
BEGIN
i1 : IMG_LSB
PORT MAP (
-- list connections between master ports and signals
A_COL => A_COL,
A_ROW => A_ROW,
B_COL => B_COL,
B_IN => B_IN,
B_OUT => B_OUT,
B_ROW => B_ROW,
C_COL => C_COL,
C_ROW => C_ROW,
CLK => CLK,
DETECT_RESULT => DETECT_RESULT,
G_IN => G_IN,
G_OUT => G_OUT,
R_IN => R_IN,
R_OUT => R_OUT,
RESET => RESET,
SEL => SEL
);
init : PROCESS
-- variable declarations
BEGIN
--只作为赋初值使用
RESET<='1';
WAIT FOR (CLK_PERIOD/4)*3;
RESET<='0';
-- code that executes only once
WAIT;
END PROCESS init;
always : PROCESS
-- optional sensitivity list
-- ( )
-- variable declarations
VARIABLE INLINE_R: LINE;
VARIABLE INLINE_G: LINE;
VARIABLE INLINE_B: LINE;
VARIABLE OUTLINE_R : LINE;
VARIABLE OUTLINE_G : LINE;
VARIABLE OUTLINE_B : LINE;
VARIABLE INR_V,ING_V,INB_V,OUTR_V,OUTG_V,OUTB_V: COLOR;
VARIABLE INCOL: INTEGER RANGE 0 TO 256 :=0;
VARIABLE I: INTEGER RANGE 0 TO 9:=0;
CONSTANT DELAY: INTEGER:=4;
VARIABLE OUTCOL: INTEGER RANGE 0 TO 256 :=0;
VARIABLE OUTROW: INTEGER RANGE 0 TO 256 :=0;
BEGIN
-- code executes for every event on sensitivity list
CLK<='0';
WAIT FOR CLK_PERIOD/2;
CLK<='1';
--读入数据
IF(NOT ENDFILE(INTEXT_R)) THEN
IF(INCOL=0) THEN
READLINE(INTEXT_R,INLINE_R);
END IF;
READ(INLINE_R,INR_V);
R_IN<=INR_V;
END IF;
IF(NOT ENDFILE(INTEXT_G)) THEN
IF(INCOL=0) THEN
READLINE(INTEXT_G,INLINE_G);
END IF;
READ(INLINE_G,ING_V);
G_IN<=ING_V;
END IF;
IF(NOT ENDFILE(INTEXT_B)) THEN
IF(INCOL=0) THEN
READLINE(INTEXT_B,INLINE_B);
END IF;
READ(INLINE_B,INB_V);
B_IN<=INB_V;
END IF;
--写数据
--延迟3个时钟周期
IF (I<DELAY) THEN
I:=I+1;
ELSE
WRITE(OUTLINE_R,R_OUT);
--以TAB分隔,VHDL定义的emum里tab就为HT
WRITE(OUTLINE_R,HT);
WRITE(OUTLINE_G,G_OUT);
WRITE(OUTLINE_G,HT);
WRITE(OUTLINE_B,B_OUT);
WRITE(OUTLINE_B,HT);
IF(OUTCOL=255 AND OUTROW<=255) THEN
OUTROW:=OUTROW+1;
WRITELINE(OUTTEXT_R,OUTLINE_R);
WRITELINE(OUTTEXT_G,OUTLINE_G);
WRITELINE(OUTTEXT_B,OUTLINE_B);
END IF;
IF(OUTCOL<=255) THEN
OUTCOL:=OUTCOL+1;
END IF;
IF(OUTCOL=256) THEN
OUTCOL:=0;
END IF;
END IF;
IF(INCOL<=255) THEN
INCOL:=INCOL+1;
END IF;
IF(INCOL=256) THEN
INCOL:=0;
END IF;
WAIT FOR CLK_PERIOD/2;
END PROCESS always;
END IMG_LSB_arch;
| apache-2.0 | 0df4c4c4a5b1192d9af0623f2f5c3962 | 0.620004 | 3.175805 | false | false | false | false |
iamllama/EE2020 | ee2020.ip_user_files/ipstatic/hdl/xbip_bram18k_v3_0_vh_rfs.vhd | 1 | 103,154 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
V3K45OdCYyKv4YdKOQOTv3n2982tG5SVgH4EgpaEbHw3d7tI+g3SSQLmz2iKN2g/U639U4vGag67
JWv/88SG1w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IZapwEeKX/trWNr8KsSk44ZuvPoVzJdeOeMjwMMPOU14z0yko/FZniyeEGMI/Gs4GT/pGBJ2Sx9N
ghIA2Z2DDbhu8YCfzR7pUq9BZj7eHOpserv1nlIaKOWxiorV2/Wpb97SKSct8ijvh/LKx18+WF4q
FUC3bSzuRSQyWSXGW+A=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UyKAN1HVB+u3j4fxe6BW2+vqocANzvs8WJGykXYDHBtZPa70rYVylgl2VS5Ue6/2ML79nVBpznNu
IeglJpEk3XZhgErBl4qjZsq25qlgewOYhYYi48Noxm7FzcpKr16N7Kf7a6kPivaAxEt75AOOz+i+
kEAvJrAx5h6fQ1E5kvQ=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Y2xx2nyy2ivuX5xMsj/PbcX4pb3Zcnw4fqbuc0zKT3/YvJiymG8XGSQKXyHu+rPUr3q4U72yBrDk
pvZexcNIgiMBikz1zvzCw/Bzz2VsXv1CHBcuMjo3djICXFKrWvx3i8cP892Fwgo+G0CtujCH1sGW
DE2iSyicPka6RLvDaz3InCU/vz71thI+mNZLGT1wiRvw9rpbi/iPcxdfKnG40LBxYYiZAKCXOe7y
UDMsP6cVI2//LukLHRJwIdNCUfCZBYTJ9SvNlZdL8UD29h3pw+Uej059+HZav74YnTBRqQAzPUw1
giv5Nk8foutPWLMz4itbZvcseM67FRxpZ1e4Fg==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vE+nlHmVTzqiqHWYdnlYvsfTA4s2jDRuMiiuAbdG+pymE8FYbyq4H91bsqgkN+6DSmTCoufHsGGL
eHr8wVhpObZJp4boq03LidqMzKRj+5FmM7hbkBZfNu8lg3RkhLoQ9Hz9sWoVDQ/MCVjDMrFmuAe0
urWTA5NFUZ50JBdMZ+KXFvbMwuQC2QoEwi3HDfXAUVESp1MDD0e1U4tHNqvgijmBN4naj0Zi15ad
o8x+r0YaAbQhu9sQEVLlE5NUqaq+bI4yw8+mQM2uhnG6AF4oNyULE6T6QsSPhKLfzAxMVxstYJyv
jYnlIpEqyKlBMrnvHcw1FuFemV+oBxoIidMadQ==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LEWACqYzGkK3eyLbmUANP7Jr4LwydqHbMZluhogxiEbmX5X6GUR03+ZLe4Fvv4FmcLJWD93CRa7c
cm2I+fgA+XYqva3h9PQwDTTeHNZuUQphiaMJUqrmwLIpDib2c127X19Qd1wWZLEz/cauGIwNWMzJ
wImmlJQ7VuVzTxJE4bcbZJ3SSwvGtnU/ELR4/ZAQcmN7t4KFblsF1zyCAOwuZhx38GW2UwABcbk0
DbB0WBcl7UoP0g0ehfDAJ/1fitxakxWJkrhJ3p8RUA+6ae9rcgG5FYxJ8e2a169hyeIegTp5d0yw
CcjQjoByyh05JzazEgw+unceksp1dJfrDva6XA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 74224)
`protect data_block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`protect end_protected
| gpl-3.0 | 6a6228a3ab42f2b0174d805c801a9542 | 0.952324 | 1.833165 | false | false | false | false |
jz0229/open-ephys-pcie | oepcie_host_firmware/HDLs/TB_com_scheduler.vhd | 1 | 2,402 | --------------------------------------------------------------------------------
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
use work.myDeclare.all;
ENTITY TB_com_scheduler IS
END TB_com_scheduler;
ARCHITECTURE behavior OF TB_com_scheduler IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT com_scheduler
PORT(
clk : IN std_logic;
reset : IN std_logic;
device_data_array : in device_data_array_type; -- Array of dimension (No. of device x 16bits) data, each 16bits corresponds to 1 device input stream
device_flag_array : in std_logic_vector(0 to NUMBEROFDEVICE-1);
serdes_data_out : OUT std_logic_vector(11 downto 0);
serdes_valid_out : OUT std_logic
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal reset : std_logic := '0';
signal device_data_array : device_data_array_type;
signal device_flag_array : std_logic_vector(0 to NUMBEROFDEVICE-1);
--Outputs
signal serdes_data_out : std_logic_vector(11 downto 0);
signal serdes_valid_out : std_logic;
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: com_scheduler PORT MAP (
clk => clk,
reset => reset,
device_data_array => device_data_array,
device_flag_array => device_flag_array,
serdes_data_out => serdes_data_out,
serdes_valid_out => serdes_valid_out
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- insert stimulus here
device_data_array(0) <= std_logic_vector(to_unsigned(172, 16));
device_data_array(1) <= std_logic_vector(to_unsigned(65, 16));
device_data_array(2) <= std_logic_vector(to_unsigned(32, 16));
device_data_array(3) <= std_logic_vector(to_unsigned(88, 16));
device_flag_array <= (others=>'1');
-- hold reset state for 100 ns.
reset <= '1';
wait for 100 ns;
reset <= '0';
wait for clk_period*10;
wait;
end process;
END;
| mit | 1cfe5aa7f522746767dcb35a3feb9b22 | 0.566611 | 3.77673 | false | false | false | false |
kacaperek/serdescrc16 | serializer.vhd | 1 | 3,452 | ----------------------------------------------------------------------------------
-- Company: PWr
-- Engineer: Kacper Witkowski
-- Module Name: Serializer
-- Project Name: Nadajnik i odbiornik szeregowy z kontrolą poprawności przesyłu CRC16
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use work.PCK_CRC16_D1.all;
entity serializer is
Port (
parallel_in : in STD_LOGIC_vector(7 downto 0); --dane wejściowe
serial_out : out STD_LOGIC;
serial_in : in std_logic;
clk : in STD_LOGIC;
reset : in STD_LOGIC);
end serializer;
architecture Behavioral of serializer is
signal cnt : std_logic_vector(2 downto 0):= "000"; -- licznik
signal d : std_logic_vector(7 downto 0) := (others => '0'); -- rejestr danych
signal transmission_running : std_logic := '0'; -- czy transmisja działa? generowane po sygnale 0x7e
signal crc_running : std_logic := '0'; -- po przesłaniu całego pakietu kontrolnego, działa crc
signal pckg_cnt : std_logic_vector(4 downto 0) := (others => '0'); --licznik wysłanych pakietów
signal newCRC : std_logic_vector(15 downto 0) := (others => '0'); -- wektor aktualnej wartosci crc
begin
counter : process (reset, clk)
begin
if reset='0' then
cnt <= ( others => '0' );
elsif (clk'event and clk ='1') then
if transmission_running = '1' then
cnt <= cnt + "01"; -- licznik bitów
if cnt = "111" and pckg_cnt = "10010" then -- po wysłaniu wszystkiego wraz z CRC
pckg_cnt <= "00000";
elsif cnt = "111" then
pckg_cnt <= pckg_cnt + "01"; -- licznik bajtów
end if;
end if;
end if;
end process counter;
transmission_control : process(reset, clk, d)
begin
if reset='0' then
transmission_running <= '0';
crc_running <= '0';
elsif clk'event and clk = '1' then
if d = "01111110" or (pckg_cnt = "00000" and serial_in = '1') then -- wystartuj dopiero po 0x7e
transmission_running <= '1';
end if;
if transmission_running = '1' and cnt = "111" and pckg_cnt = "00000" then
crc_running <= '1'; -- zacznij liczyć crc po wysłaniu nagłówka
elsif pckg_cnt = "10001" and cnt = "000" then
crc_running <= '0'; -- skończ liczyć crc po 16 bajtach
elsif (cnt = "111" and pckg_cnt = "10010") then -- zatrzymaj się i wyzeruj crc po wysłaniu wszystkiego
transmission_running <= '0';
end if;
end if;
end process transmission_control;
crc_calc : process(reset, clk)
begin
if clk'event and clk = '1' then
if crc_running = '1' then
newCRC <= nextCRC16(d(7), newCRC); -- obliczanie crc
elsif pckg_cnt = "10010" and cnt = "111" then
newCRC <= (others => '0');
end if;
end if;
end process crc_calc;
piso : process (reset, clk)
begin
if reset='0' then
d <= (others => '0');
elsif (clk'event and clk = '1') then
if cnt = "000" then -- zareaguj dopiero na 0x7e lub potwierdzenie zgodnosci crc
if pckg_cnt = "10001" then
d <= newCRC(14 downto 7); -- wyslij pierwszą połowę crc
elsif pckg_cnt = "10010" then
d <= newCRC(7 downto 0); -- wyślij drugą połowę crc
else
d <= parallel_in; -- wyślij to co na wejściu
end if;
else
d(7 downto 0) <= d(6 downto 0) & '0'; -- rejestr wysyłanych danych
end if;
end if;
end process piso;
serial_out <= d(7); -- wyślij
end Behavioral;
| gpl-2.0 | c1a1826ac811c6f22a65bb7d5bdb6866 | 0.604386 | 2.953368 | false | false | false | false |
Hyvok/HardHeat | sim/one_wire/one_wire_tb.vhd | 1 | 6,012 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity one_wire_tb is
end entity;
architecture rtl of one_wire_tb is
-- Main clock frequency 100 MHz
constant CLK_PERIOD : time := 1 sec / 10e7;
constant TX_TEST_DATA : std_logic_vector(7 downto 0) := "10101010";
constant RX_TEST_DATA : std_logic_vector(7 downto 0) := "10101010";
signal clk : std_logic := '0';
signal reset : std_logic;
signal reset_ow : std_logic;
signal ow_in : std_logic;
signal ow_out : std_logic;
signal ow_n_out : std_logic;
signal data : std_logic_vector(8 - 1 downto 0);
signal data_f : std_logic;
signal receive_data_f : std_logic;
signal busy : std_logic;
signal data_out : std_logic_vector(8 - 1 downto 0);
signal data_out_f : std_logic;
-- Signals internal to the test bench, not related to DUT
signal reset_done : std_logic;
signal send_done : std_logic;
signal receive_done : std_logic;
begin
-- Invert the output signal coming from the 1-wire module for display
ow_out <= not ow_n_out;
DUT_inst: entity work.one_wire(rtl)
generic map
(
US_D => 100
)
port map
(
clk => clk,
reset => reset,
reset_ow => reset_ow,
ow_in => ow_in,
data_in => data,
data_in_f => data_f,
receive_data_f => receive_data_f,
ow_out => ow_n_out,
busy_out => busy,
data_out => data_out,
data_out_f => data_out_f
);
reset <= '1', '0' after 500 ns;
clk_gen: process(clk)
begin
clk <= not clk after CLK_PERIOD / 2;
end process;
-- TODO: Change all these processes to a single state machine!
-- Generate a reset pulse on the 1-wire bus once reset is done
ow_reset_gen: process(clk, reset)
variable done : std_logic;
begin
if reset = '1' then
done := '0';
reset_ow <= '0';
elsif rising_edge(clk) then
reset_ow <= '0';
if done = '0' then
reset_ow <= '1';
done := '1';
end if;
end if;
end process;
-- Pull up flag after reset is sent to the bus (bus is not busy anymore)
ow_reset_done_gen: process(busy, reset)
variable done : std_logic;
begin
if reset = '1' then
done := '0';
reset_done <= '0';
elsif falling_edge(busy) then
if done = '0' then
reset_done <= '1';
done := '1';
end if;
end if;
end process;
-- Send data on OW bus after reset is done
ow_tx_data_gen: process(reset_done, clk, reset)
variable done : std_logic;
begin
if reset = '1' then
done := '0';
data <= (others => '0');
data_f <= '0';
elsif rising_edge(reset_done) then
data <= TX_TEST_DATA;
data_f <= '1';
elsif rising_edge(clk) then
data_f <= '0';
end if;
end process;
-- Pull up flag after done sending data to the bus (bus is not busy anymore)
ow_send_done_gen: process(busy, reset)
variable done : std_logic;
begin
if reset = '1' then
done := '0';
send_done <= '0';
elsif falling_edge(busy) then
if done = '0' and reset_done = '1' then
send_done <= '1';
done := '1';
end if;
end if;
end process;
-- Pull up a flag to indicate we want to receive data from the OW bus
ow_rx_f_gen: process(send_done, clk, reset)
variable done : std_logic;
begin
if reset = '1' then
done := '0';
receive_data_f <= '0';
elsif rising_edge(send_done) then
if done = '0' then
receive_data_f <= '1';
done := '1';
end if;
elsif rising_edge(clk) then
receive_data_f <= '0';
end if;
end process;
-- Send data to OW module after sending is done
ow_rx_data_gen: process(send_done, ow_out, reset)
variable done : std_logic;
variable sending : std_logic;
variable index : natural;
begin
if reset = '1' then
done := '0';
sending := '0';
ow_in <= '0';
index := 0;
elsif rising_edge(send_done) then
-- Only start sending after TX test is done
sending := '1';
elsif rising_edge(ow_out) then
if sending = '1' then
index := index + 1;
if index = 7 then
ow_in <= RX_TEST_DATA(index);
sending := '0';
done := '1';
else
ow_in <= RX_TEST_DATA(index);
end if;
end if;
end if;
end process;
-- Pull up flag after done sending data to the bus (bus is not busy anymore)
ow_receive_done_gen: process(busy, reset)
variable done : std_logic;
begin
if reset = '1' then
done := '0';
receive_done <= '0';
elsif falling_edge(busy) then
if done = '0' and send_done = '1' then
receive_done <= '1';
done := '1';
end if;
end if;
end process;
-- Assert received data is correct
ow_rx_data_assert: process(data_out_f)
begin
if data_out_f = '1' then
assert data_out = RX_TEST_DATA report "RX data does not match!"
severity warning;
end if;
end process;
end;
| mit | 3006ee1e5d479377b6fa38024306a551 | 0.479541 | 3.881214 | false | false | false | false |
iamllama/EE2020 | ee2020.ip_user_files/ipstatic/hdl/c_mux_bit_v12_0_vh_rfs.vhd | 1 | 239,688 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qx5u3GeQMwdS8C0bVa86MqCmF68T2+o/PMDL4QXo61Fsy92pCj+zIuHKjMm6YJA13yUHXVA3OURW
A8zSCii8tA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RfEJ2iCejE/f65GGhmSRttZ72TbxEHIiw+E5S3Hm7TEGAMqPq2VlBzUu+KxVZueAnZ7k//HImmOn
6KCxWspsRIuPjvhglOVRpwjiX9dR8ubMca1Y6eI8/Pld7NTWhiuyLuRtr40Wa/H0tV469Q3k0Tlh
lL2l6PJi3R3MiPtINS4=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ra1r3YjfH0udHiw3txKcrfSPq5pu64Nv9MM+ecwCfUu0UiTsTQv7jmiwqizkmsJP/g24eZR4+3cP
9vyyjs7IolTM9bzAHoPzaenzQnNztCzzAuvJZ/eHk8N5HVucXqZu7Xh5tAVu96APx1vYFBRVbTjV
6mJGG1b09ffFTJYMoQU=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LH/lWfPsrsEqdAA4Tl481liNmffJPbUbkLy5fRqsQg96IoW7oqkmxjscnT7eCIXC4oXboY9y352X
cMLqXTzhxdT2MzT7FCPSZ8f+9sTEW3ptiF/23gN5A+2vkicCxU6jY1ylK9L+5kxy6KB6RGRpVgT4
+O7jK6SaPuJVGkQ9IXkFbNfGIl6G1WeuWviBsbItafys6bgxRbXxz0mSSca/9NEVYNdWPjJQpvx4
+A+iax0g1w8XLrQ4xsnS/K5ReVXTmD0GPTeDIajRZp9yJIQDezTktnYShMuphDYzKf5w4NLLAic3
NiRZAOkasSrb9xwCOaykkrSxvMhDVX+nyE04dg==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s14gORNWPPv0CBrpsUP8NuzpPMUgQuh8kHcCH8PO8CdGmrLxL1Qp2pBrnqaTZHwyVYyvaEcYkNSE
EXMGc3AOL3icy2O6wYVnzbNkxXK9ccsNticZHzCjtU/Qh7g0NX3eUrvNEfU8fq45K1jGu4ZJ1TGT
m2Uetchsujh387GpoZ3xxer3jqva9NLcDARsLQODyfOO/4DhiCJFRJtWzdug3wWwvpXcGlnfBvzM
ngV+2dzSMzHfFJ8PNoDR/+Na6hCCy8DyV8z90PriMzbPDYab4FsdgXnIE37Z9ruJTJT9YtU5zSqU
Kf+Jh4MS1umhKncTJRmQIJhx9T1y04ICbaJIkQ==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eQ44NFH2y5nhd5hi4UT7F5Sf9TD3u3OueADq8DHi3MJOTVcmlh5caRk59ap6qxutkP/ZLB3wXS+G
kOLanELF21aXRrhKP4h8pdOw1mSjRXSi/SdaNUIVR9zCkrNSVr2uLAvA3/l5fu6HqiU3yAcgzJXu
ggMYcbL+p8cC9Cj7vOXXWy8Vb9sXFTlse+iKB4zrWTgE2L7AqVP1NfYainLqp6SPKDl+pq+noU6+
hJ9gRlfwyMmixR/QWe/q9iN/FrN0nVGa3RaJXxukn9LZBaZDGjzKfOdVP+8dU5+KlsdM45/N1jq2
KOPQXHf3a9WJPxWk4aZ4u4O78tvMJzpXdjbdRw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 175296)
`protect data_block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`protect end_protected
| gpl-3.0 | e5f3fe93bbfb3dd45cb69ed037e6de61 | 0.95437 | 1.827517 | false | false | false | false |
iamllama/EE2020 | ee2020.ip_user_files/ipstatic/hdl/xbip_pipe_v3_0_vh_rfs.vhd | 1 | 30,077 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
bZZpbcW5ZvTwwIxSg60g8O3bBMSxTSp5qiYYUaByYwJWErnsgz+MQ904uCjlHJznge4Cr0Cor2Ts
wylsdiVlOA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Sy7euurzMQSjsplfdat4uZtxvaRxLjESIRXitDPc2PyVqvaVALsq4dtzSll0rxznAg07qo6VPXX5
BY9eCIlKRP1tY+oOndl5Z0IIgdWgRtor/te+p0Ra86hfyFCrYke/PKq4nWrATgSV5G/WVnglGBWB
aIjIKaB6Jw8hi82BfKI=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hlWcM+BvRQDmhIYIHWbG3HY9UVrUX+xJmfdxAtTrgPh05WXhlumhnHjahBSVR5xj9NyDadHmQJZa
O4Hjpe+TiZIn59Wt1TNYWHqHqJ6lvC8vxaDEbxbgwpPC+lCi1u3hTsVYry/yLLwlrFn8uvGbtPo0
WY8i3xqb8nM0VgmtBJ8=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
t6XmGEbXXlbYAialgFNnf9cSzIYAp8+EWGumhq+gDfb1opZeE1W2+9JHOBUSYpzYWeIvG/oD/35H
aQgT0i5Q/RM7KiJVV+KaryYndhIYyztS4DeRza3PDAmo55VIys0jcfJ1+uVN5EcnUSh3qGeaJ3Hq
Q8gDtghtPIoFbeKUnQbrlHQ8DfLO6th4+XviXL5e4lFSTlYAWr1AysiudXcuU2oDDcrB0Ui1JKsp
ibBZ9IjqYpG3zCBfFqbyj7fOIZS3fubwxN5tOn+ZFNLU1VfruF2vzbTSdI0W6JTi1BzVlBJ0YlLl
nQjWP/i7eqn+tt+pvlEYxCXuI/co92cAGdWoOA==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VIJ1I6N5IrjRgQdWUd7glxO3IH5qI//9edBWrUCFszYeCrGlp+OWZLfycpqPNzkFhksKnii/K2dH
4wX0Nur47GSQiIZotmtRaEthVmZ7mPMq9gIh2eIwGee3vsdHvnu3juu2uz0VxUhhMhV+NuqX/qUs
EKL2R6AXk88yT6RnTL9mPJD7PioWESJOJoUFnMbSdPu+0ngcxfCeMrps9pia8jvSigzLW+n5WQOV
tQVK87zD5Bv5RWaed+nYd3RqXjC8TZXD/NQdXkbcpjz0RFJybX5o2G+kT80swebgCBicmK6Z98A9
Arq6bK7zs7NOLJeXRHud08Ab5bw8aBIlSEha7A==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fvp0WhjnfB1DBn6163Ci2hpUtfA2jHdzF3gjRbeKTyaGBzsxwzbmyzeJ1NQ6p0R4bwf82ayaxtJZ
tQGkAW1vrufpRGr/FSt/9mGD8nx7mQ+eNpynIND+xOvn22A4Y13RI6YYYw7FpxdZT2KtIItJk66i
eiQSKmSQ9ICiW2rT/0SqPeSq8H3n+N0nKPp/FEr2r5caSLipUnS54yV1XXW0/b5664DQ79/+8XNS
7qu0WB8H2A1d/mm9h24jAnAdTh/GPRXHmnhyvgK+VuEf0GA/mA0FHdMecwyCmZAtnj8zT+AbUdga
SFuizD8MFDLIrZwdZ8xyrAj/0znNBZW7AZJ38A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20128)
`protect data_block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`protect end_protected
| gpl-3.0 | de05059767432c17e4964e8474f166f5 | 0.942714 | 1.870693 | false | false | false | false |
zhlinh/vhdl_course | Assignment/FREQ_CNT/COUNT.vhd | 1 | 2,020 | --Count Frequence
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY COUNT IS
PORT( CLK: IN STD_LOGIC;
CLK_OUT_05HZ: IN STD_LOGIC;
RST: IN STD_LOGIC;
D1: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
D2: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
D3: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
D4: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
CARRY: OUT STD_LOGIC;
READ_EN: OUT STD_LOGIC);
END ENTITY COUNT;
ARCHITECTURE ART1 OF COUNT IS
SIGNAL CNT:STD_LOGIC_VECTOR(15 DOWNTO 0);
-- SIGNAL MEASURE_FLAG:STD_LOGIC;
BEGIN
PROCESS(CLK,RST,CNT,CLK_OUT_05HZ)
BEGIN
IF(RST='1')THEN
CNT<=(OTHERS=>'0');
CARRY<='0';
ELSIF(CLK'EVENT AND CLK='1')THEN
IF (CLK_OUT_05HZ='1') THEN
READ_EN<='0';
IF (CNT(3 DOWNTO 0)/="1001")THEN
CNT(3 DOWNTO 0)<=CNT(3 DOWNTO 0)+'1';
ELSIF(CNT(7 DOWNTO 4)/="1001")THEN
CNT(3 DOWNTO 0)<="0000";
CNT(7 DOWNTO 4)<=CNT(7 DOWNTO 4)+'1';
ELSIF(CNT(11 DOWNTO 8)/="1001")THEN
CNT(3 DOWNTO 0)<="0000";
CNT(7 DOWNTO 4)<="0000";
CNT(11 DOWNTO 8)<=CNT(11 DOWNTO 8)+'1';
ELSIF(CNT(15 DOWNTO 12)/="1001")THEN
CNT(3 DOWNTO 0)<="0000";
CNT(7 DOWNTO 4)<="0000";
CNT(11 DOWNTO 8)<="0000";
CNT(15 DOWNTO 12)<=CNT(15 DOWNTO 12)+'1';
ELSE
CNT<=(OTHERS=>'0');
CARRY<='1';
END IF;
ELSIF(CLK_OUT_05HZ='0')THEN
CNT<=(OTHERS=>'0');
READ_EN<='1';
END IF;
END IF;
D4<=CNT(15 DOWNTO 12);
D3<=CNT(11 DOWNTO 8);
D2<=CNT(7 DOWNTO 4);
D1<=CNT(3 DOWNTO 0);
END PROCESS;
END ARCHITECTURE ART1;
| apache-2.0 | a65ae7f35bef37fd1a10eab33556a298 | 0.468812 | 3.488774 | false | false | false | false |
Hyvok/HardHeat | src/tdc.vhd | 1 | 2,216 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity tdc is
generic
(
-- Number of bits in the counter
COUNTER_N : positive
);
port
(
clk : in std_logic;
reset : in std_logic;
up_in : in std_logic;
down_in : in std_logic;
time_out : out signed(COUNTER_N - 1 downto 0);
sig_or_out : out std_logic;
sign_out : out std_logic
);
end tdc;
architecture rtl of tdc is
begin
tdc_p: process(clk, reset)
variable sig_or : std_logic;
variable last_or : std_logic;
variable last_up : std_logic;
variable last_down : std_logic;
variable sign : std_logic;
variable count : signed(COUNTER_N - 1 downto 0);
begin
if reset = '1' then
time_out <= (others => '0');
count := (others => '0');
last_or := '0';
last_up := '0';
last_down := '0';
sign := '0';
sign_out <= sign;
sig_or := '0';
elsif rising_edge(clk) then
if not up_in = last_up and up_in = '1' then
sign := '0';
sign_out <= sign;
elsif not down_in = last_down and down_in = '1' then
sign := '1';
sign_out <= sign;
end if;
last_up := up_in;
last_down := down_in;
sig_or := up_in or down_in;
sig_or_out <= sig_or;
-- Count when the or signal is high
if sig_or = '1' then
count := count + 1;
else
if last_or = '1' then
-- Apply sign
if sign = '1' then
time_out <= not count + 1;
else
time_out <= count;
end if;
count := (others => '0');
end if;
end if;
last_or := sig_or;
end if;
end process;
end;
| mit | 22c380720a80661f322bd25f4db4aee5 | 0.395307 | 4.142056 | false | false | false | false |
iamllama/EE2020 | ee2020.cache/ip/50f89269c3fbefe4/dds_compiler_0_sim_netlist.vhdl | 1 | 194,536 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
-- Date : Tue Mar 28 02:26:33 2017
-- Host : DESKTOP-B1QME94 running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ dds_compiler_0_sim_netlist.vhdl
-- Design : dds_compiler_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
UeUQOSqc517u4Gp21W1qcB44JkXjttQw3I9etxLnnrt3tkJ0d4uxhbBwSkc7IM9w0xxr7owGLR37
1Ii0/OYJsQ==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
kOXgzYTJC4GxJCP3UAJekjjYLOXKC9b70sFPvaIFCHz6zbI3mz+JUFPTpADGukAuJQCKiXWwYOBZ
MmBb8JugLkKE+O1iqIjgnplEt9Bnnc0cPnUeT9o1Q0bWLLOKk75pVanxsTWyvGhO5t3dBcHf76mm
DceLRrUeM7AAXcHNQP8=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
JeQtyj3Kal6oTj33H4A+stJ+V3DCiNJv8J7k4H0+dLfFYYJJ3jbUoUt90xE3PJrsmjZDUKwDIVOX
HWBDaCL3u44dq/L0M441Q3RfpW9QQqU0ai34/xEtkAvplg6Oe3ludzsYQZ7T2bjYDyh8NSDEu4PD
/ngBWkp/hfXUBkMQq3g=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
D+mEShAo+idVddojD4Ocf30d3PeQsjyupmNQjqsNdbpJFSb9AWyTI4HLKIImT0S50Zgb6LGKxa9h
26g8vXL3CdbVdP5O8FpM1809Abu5sfhEOCwdvtKWRwLRZt1+A/6C8nMHuYTLwrt4lXg1bU5c54n9
i12z+RFxTTeQUgM++Sl/RYKl7QJ7e+6a2bvs7RCI+NDk3Qaeos9nT6roJnfx2wpYOF4jStxFa2up
F5q2mhYTDOmLHpkBQCKAWc41vFlv1ZeWkv5nIa97hTbbuUW8GmJEmxKYO5Ix08oKP4QxHuiNF++X
v0t8M5z/+3rsLJl0oKiKofyP/dx+okR3PXDIyw==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
tcnuNu53+hchNr+pZ1NtakfiTYoR6SYivYJdM66R8/4XDELZLm46FZjh8e2MDPfDIe0TPxgXssIK
JBpdVvHEF3sN4ne8BH5Hig1m+5eYblKUujpGtmIpXovQKiu33+xi9YvN+S91R0i8O+wIG5Y8ZtSd
416fkpAXIqKUgtlCKXBPfNKh6pXB2wSYbWz3TlPOiCZhgXOn24ftBdQmq794Zo6QdyiBWEIqcHvf
cGxpfdy9soUWUFDgRcMQziQpv5Bf40FoOoFPc0PTxzAfe1PMhPuWIOtJwU7v2ehiljl8zfvKr43F
vafnOBmYmG/WIJ2D8gT8zcjKCOuzkEZD4/6LHw==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
CtAJ5i2Ss06xmVLrV4Tdrt3cQho/pCz9fbTCKJxQdDrBclu8FdA7n6uV/sbGH0tMaSievrFx2Jcw
lrfRQgsQbFyxSpn5PUFRabLV3UXwVpPqRPFv60hHW8dL6EBKTJRiEKGMFV/9GNtBclnQParE68gy
UWIYfWYlfU8odNKh63v3UlbKBdSSTudb0Ul16UHMxR9rOEcIVol8aLIxFF0XFN3SbjvZQYMrSrda
mdPrPZ6RZeEOu+2fjH5DVxI6YAiec9k48XHplaRfVHc5p6pbC8oywpPPg+e3mzZanroV2DYjAywn
LeUIPZxac7VkB/2/ioqm/Wqs+AR5+6YLStbDqg==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
HymFqayzyXP8pV5OXgpL4WjWfUNYZJG+N+1Dp3wrw85cQ4tE1V3e+cws/NDDNnzlL862OedyR/AO
Ds+v9aFBvk64Hxa13Ahl1NCW7g+Q8VgvA1fwjZYkvlHHZQKEjVrQzn3T7a07gw/kQL11T1cpzpxR
NxNWQD+h+jUoKacrYO2ZA0suLi96ICPnliHo9e9/XeKUs0A5tKQbA16PMhXC9VgTRKVpuB3Ll/Om
QY9P7vSdLvDiYqiwP4N6ps4cgETJ/KOuFi5Z5NPbBGZav2WnVk2UP/dup3IAvqkKLUBC/wfsPzAo
hjNR73XyvsR/xf8PAZEE8yYGJT8IcLYqJQHegg==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
fS+v8wEPCA7t+F0BTLJ2rBzOi2j9ad0G4jL/xxydMMr0CXv52Bbb4u3s+XQASm7gg/TrzMepNvly
F2fFKFatTovru07GUuxonOhdQRjZ59hC2zySvtBdvxrlBpPDywaLIoAOjEShPc7f4IbW4rVMbkp6
gBowdSn4RvHSdprKh1jvFqhzt2aWTlzGrgYVKb/zolzeunlPpK0D8xWXQtD8gN22JY2gQHCpcdKC
uV5NLIPCw7BLoSMd15qn7kSESf2jdt56I8IMxN2xzSpHFRMzFgYyiVOqhlqnemqXYiwBiWscL8F/
4wOihnc56cads10CuvEVglblSjgbiwywTWj4XQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 118704)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 is
port (
aclk : in STD_LOGIC;
aclken : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tready : out STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
s_axis_phase_tlast : in STD_LOGIC;
s_axis_phase_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tvalid : in STD_LOGIC;
s_axis_config_tready : out STD_LOGIC;
s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tlast : in STD_LOGIC;
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tready : in STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_data_tlast : out STD_LOGIC;
m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tvalid : out STD_LOGIC;
m_axis_phase_tready : in STD_LOGIC;
m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tlast : out STD_LOGIC;
m_axis_phase_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
event_pinc_invalid : out STD_LOGIC;
event_poff_invalid : out STD_LOGIC;
event_phase_in_invalid : out STD_LOGIC;
event_s_phase_tlast_missing : out STD_LOGIC;
event_s_phase_tlast_unexpected : out STD_LOGIC;
event_s_phase_chanid_incorrect : out STD_LOGIC;
event_s_config_tlast_missing : out STD_LOGIC;
event_s_config_tlast_unexpected : out STD_LOGIC;
debug_axi_pinc_in : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_axi_poff_in : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_axi_resync_in : out STD_LOGIC;
debug_axi_chan_in : out STD_LOGIC_VECTOR ( 0 to 0 );
debug_core_nd : out STD_LOGIC;
debug_phase : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_phase_nd : out STD_LOGIC
);
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 22;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 7;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 10000;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 16;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 12;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 12;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 24;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "artix7";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axis_data_tdata\ : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_i_synth_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_phase_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_m_axis_data_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 14 downto 11 );
signal NLW_i_synth_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_m_axis_phase_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH of i_synth : label is 22;
attribute C_AMPLITUDE of i_synth : label is 1;
attribute C_CHANNELS of i_synth : label is 1;
attribute C_CHAN_WIDTH of i_synth : label is 1;
attribute C_DEBUG_INTERFACE of i_synth : label is 0;
attribute C_HAS_ACLKEN of i_synth : label is 0;
attribute C_HAS_ARESETN of i_synth : label is 0;
attribute C_HAS_M_DATA of i_synth : label is 1;
attribute C_HAS_M_PHASE of i_synth : label is 0;
attribute C_HAS_PHASEGEN of i_synth : label is 1;
attribute C_HAS_PHASE_OUT of i_synth : label is 0;
attribute C_HAS_SINCOS of i_synth : label is 1;
attribute C_HAS_S_CONFIG of i_synth : label is 0;
attribute C_HAS_S_PHASE of i_synth : label is 1;
attribute C_HAS_TLAST of i_synth : label is 0;
attribute C_HAS_TREADY of i_synth : label is 0;
attribute C_LATENCY of i_synth : label is 7;
attribute C_MEM_TYPE of i_synth : label is 1;
attribute C_MODE_OF_OPERATION of i_synth : label is 0;
attribute C_MODULUS of i_synth : label is 10000;
attribute C_M_DATA_HAS_TUSER of i_synth : label is 0;
attribute C_M_DATA_TDATA_WIDTH of i_synth : label is 16;
attribute C_M_DATA_TUSER_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_M_PHASE_TDATA_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_NEGATIVE_COSINE of i_synth : label is 0;
attribute C_NEGATIVE_SINE of i_synth : label is 0;
attribute C_NOISE_SHAPING of i_synth : label is 0;
attribute C_OPTIMISE_GOAL of i_synth : label is 0;
attribute C_OUTPUTS_REQUIRED of i_synth : label is 0;
attribute C_OUTPUT_FORM of i_synth : label is 0;
attribute C_OUTPUT_WIDTH of i_synth : label is 12;
attribute C_PHASE_ANGLE_WIDTH of i_synth : label is 12;
attribute C_PHASE_INCREMENT of i_synth : label is 3;
attribute C_PHASE_INCREMENT_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET of i_synth : label is 0;
attribute C_PHASE_OFFSET_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE of i_synth : label is 0;
attribute C_RESYNC of i_synth : label is 0;
attribute C_S_CONFIG_SYNC_MODE of i_synth : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH of i_synth : label is 1;
attribute C_S_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_S_PHASE_TDATA_WIDTH of i_synth : label is 24;
attribute C_S_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_USE_DSP48 of i_synth : label is 0;
attribute C_XDEVICEFAMILY of i_synth : label is "artix7";
attribute downgradeipidentifiedwarnings of i_synth : label is "yes";
begin
debug_axi_chan_in(0) <= \<const0>\;
debug_axi_pinc_in(21) <= \<const0>\;
debug_axi_pinc_in(20) <= \<const0>\;
debug_axi_pinc_in(19) <= \<const0>\;
debug_axi_pinc_in(18) <= \<const0>\;
debug_axi_pinc_in(17) <= \<const0>\;
debug_axi_pinc_in(16) <= \<const0>\;
debug_axi_pinc_in(15) <= \<const0>\;
debug_axi_pinc_in(14) <= \<const0>\;
debug_axi_pinc_in(13) <= \<const0>\;
debug_axi_pinc_in(12) <= \<const0>\;
debug_axi_pinc_in(11) <= \<const0>\;
debug_axi_pinc_in(10) <= \<const0>\;
debug_axi_pinc_in(9) <= \<const0>\;
debug_axi_pinc_in(8) <= \<const0>\;
debug_axi_pinc_in(7) <= \<const0>\;
debug_axi_pinc_in(6) <= \<const0>\;
debug_axi_pinc_in(5) <= \<const0>\;
debug_axi_pinc_in(4) <= \<const0>\;
debug_axi_pinc_in(3) <= \<const0>\;
debug_axi_pinc_in(2) <= \<const0>\;
debug_axi_pinc_in(1) <= \<const0>\;
debug_axi_pinc_in(0) <= \<const0>\;
debug_axi_poff_in(21) <= \<const0>\;
debug_axi_poff_in(20) <= \<const0>\;
debug_axi_poff_in(19) <= \<const0>\;
debug_axi_poff_in(18) <= \<const0>\;
debug_axi_poff_in(17) <= \<const0>\;
debug_axi_poff_in(16) <= \<const0>\;
debug_axi_poff_in(15) <= \<const0>\;
debug_axi_poff_in(14) <= \<const0>\;
debug_axi_poff_in(13) <= \<const0>\;
debug_axi_poff_in(12) <= \<const0>\;
debug_axi_poff_in(11) <= \<const0>\;
debug_axi_poff_in(10) <= \<const0>\;
debug_axi_poff_in(9) <= \<const0>\;
debug_axi_poff_in(8) <= \<const0>\;
debug_axi_poff_in(7) <= \<const0>\;
debug_axi_poff_in(6) <= \<const0>\;
debug_axi_poff_in(5) <= \<const0>\;
debug_axi_poff_in(4) <= \<const0>\;
debug_axi_poff_in(3) <= \<const0>\;
debug_axi_poff_in(2) <= \<const0>\;
debug_axi_poff_in(1) <= \<const0>\;
debug_axi_poff_in(0) <= \<const0>\;
debug_axi_resync_in <= \<const0>\;
debug_core_nd <= \<const0>\;
debug_phase(21) <= \<const0>\;
debug_phase(20) <= \<const0>\;
debug_phase(19) <= \<const0>\;
debug_phase(18) <= \<const0>\;
debug_phase(17) <= \<const0>\;
debug_phase(16) <= \<const0>\;
debug_phase(15) <= \<const0>\;
debug_phase(14) <= \<const0>\;
debug_phase(13) <= \<const0>\;
debug_phase(12) <= \<const0>\;
debug_phase(11) <= \<const0>\;
debug_phase(10) <= \<const0>\;
debug_phase(9) <= \<const0>\;
debug_phase(8) <= \<const0>\;
debug_phase(7) <= \<const0>\;
debug_phase(6) <= \<const0>\;
debug_phase(5) <= \<const0>\;
debug_phase(4) <= \<const0>\;
debug_phase(3) <= \<const0>\;
debug_phase(2) <= \<const0>\;
debug_phase(1) <= \<const0>\;
debug_phase(0) <= \<const0>\;
debug_phase_nd <= \<const0>\;
event_phase_in_invalid <= \<const0>\;
event_pinc_invalid <= \<const0>\;
event_poff_invalid <= \<const0>\;
event_s_config_tlast_missing <= \<const0>\;
event_s_config_tlast_unexpected <= \<const0>\;
event_s_phase_chanid_incorrect <= \<const0>\;
event_s_phase_tlast_unexpected <= \<const0>\;
m_axis_data_tdata(15) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(14) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(13) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(12) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(11 downto 0) <= \^m_axis_data_tdata\(11 downto 0);
m_axis_data_tlast <= \<const0>\;
m_axis_data_tuser(0) <= \<const0>\;
m_axis_phase_tdata(0) <= \<const0>\;
m_axis_phase_tlast <= \<const0>\;
m_axis_phase_tuser(0) <= \<const0>\;
m_axis_phase_tvalid <= \<const0>\;
s_axis_config_tready <= \<const1>\;
s_axis_phase_tready <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
i_synth: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13_viv
port map (
aclk => aclk,
aclken => '0',
aresetn => '0',
debug_axi_chan_in(0) => NLW_i_synth_debug_axi_chan_in_UNCONNECTED(0),
debug_axi_pinc_in(21 downto 0) => NLW_i_synth_debug_axi_pinc_in_UNCONNECTED(21 downto 0),
debug_axi_poff_in(21 downto 0) => NLW_i_synth_debug_axi_poff_in_UNCONNECTED(21 downto 0),
debug_axi_resync_in => NLW_i_synth_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_i_synth_debug_core_nd_UNCONNECTED,
debug_phase(21 downto 0) => NLW_i_synth_debug_phase_UNCONNECTED(21 downto 0),
debug_phase_nd => NLW_i_synth_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_i_synth_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_i_synth_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_i_synth_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_i_synth_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_i_synth_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_i_synth_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => event_s_phase_tlast_missing,
event_s_phase_tlast_unexpected => NLW_i_synth_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(15) => \^m_axis_data_tdata\(11),
m_axis_data_tdata(14 downto 11) => NLW_i_synth_m_axis_data_tdata_UNCONNECTED(14 downto 11),
m_axis_data_tdata(10 downto 0) => \^m_axis_data_tdata\(10 downto 0),
m_axis_data_tlast => NLW_i_synth_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => '0',
m_axis_data_tuser(0) => NLW_i_synth_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(0) => NLW_i_synth_m_axis_phase_tdata_UNCONNECTED(0),
m_axis_phase_tlast => NLW_i_synth_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => '0',
m_axis_phase_tuser(0) => NLW_i_synth_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => NLW_i_synth_m_axis_phase_tvalid_UNCONNECTED,
s_axis_config_tdata(0) => '0',
s_axis_config_tlast => '0',
s_axis_config_tready => NLW_i_synth_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => '0',
s_axis_phase_tdata(23 downto 22) => B"00",
s_axis_phase_tdata(21 downto 0) => s_axis_phase_tdata(21 downto 0),
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_i_synth_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
aclk : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "dds_compiler_0,dds_compiler_v6_0_13,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "dds_compiler_v6_0_13,Vivado 2016.4";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of U0 : label is 22;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of U0 : label is 1;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of U0 : label is 1;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of U0 : label is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of U0 : label is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of U0 : label is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of U0 : label is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of U0 : label is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of U0 : label is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of U0 : label is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of U0 : label is 0;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of U0 : label is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of U0 : label is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of U0 : label is 1;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of U0 : label is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 7;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of U0 : label is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of U0 : label is 10000;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of U0 : label is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of U0 : label is 16;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of U0 : label is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of U0 : label is 1;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of U0 : label is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of U0 : label is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of U0 : label is 0;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of U0 : label is 0;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of U0 : label is 0;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of U0 : label is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of U0 : label is 12;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of U0 : label is 12;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of U0 : label is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of U0 : label is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of U0 : label is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of U0 : label is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of U0 : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of U0 : label is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of U0 : label is 24;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "artix7";
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13
port map (
aclk => aclk,
aclken => '1',
aresetn => '1',
debug_axi_chan_in(0) => NLW_U0_debug_axi_chan_in_UNCONNECTED(0),
debug_axi_pinc_in(21 downto 0) => NLW_U0_debug_axi_pinc_in_UNCONNECTED(21 downto 0),
debug_axi_poff_in(21 downto 0) => NLW_U0_debug_axi_poff_in_UNCONNECTED(21 downto 0),
debug_axi_resync_in => NLW_U0_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_U0_debug_core_nd_UNCONNECTED,
debug_phase(21 downto 0) => NLW_U0_debug_phase_UNCONNECTED(21 downto 0),
debug_phase_nd => NLW_U0_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_U0_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_U0_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_U0_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_U0_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => NLW_U0_event_s_phase_tlast_missing_UNCONNECTED,
event_s_phase_tlast_unexpected => NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(15 downto 0) => m_axis_data_tdata(15 downto 0),
m_axis_data_tlast => NLW_U0_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => '0',
m_axis_data_tuser(0) => NLW_U0_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(0) => NLW_U0_m_axis_phase_tdata_UNCONNECTED(0),
m_axis_phase_tlast => NLW_U0_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => '0',
m_axis_phase_tuser(0) => NLW_U0_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => NLW_U0_m_axis_phase_tvalid_UNCONNECTED,
s_axis_config_tdata(0) => '0',
s_axis_config_tlast => '0',
s_axis_config_tready => NLW_U0_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => '0',
s_axis_phase_tdata(23 downto 0) => s_axis_phase_tdata(23 downto 0),
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_U0_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
| gpl-3.0 | 5b89c61df8d39ce6d0cc85e85636cc4e | 0.91287 | 1.94799 | false | false | false | false |
Hyvok/HardHeat | sim/temp_controller/temp_controller_tb.vhd | 1 | 2,372 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity temp_controller_tb is
end entity;
architecture rtl of temp_controller_tb is
-- Main clock frequency 100 MHz
constant CLK_PERIOD : time := 1 sec / 10e7;
constant MICROSECOND_D : natural := 100;
signal clk : std_logic := '0';
signal reset : std_logic;
signal enable : std_logic;
signal ow_in : std_logic;
signal ow_out : std_logic;
signal temp : signed(16 - 1 downto 0);
signal temp_f : std_logic;
signal temp_out_f : std_logic;
begin
reset <= '1', '0' after 500 ns;
enable <= '1';
clk_gen: process(clk)
begin
clk <= not clk after CLK_PERIOD / 2;
end process;
DUT_inst: entity work.temp_controller(rtl)
generic map
(
CONV_D => 1000000,
CONV_CMD_D => 100000,
OW_US_D => MICROSECOND_D,
PWM_N => 12,
PWM_MIN_LVL => 2**12 / 4,
PWM_EN_ON_D => 100,
P_SHIFT_N => 4,
I_SHIFT_N => -11,
TEMP_SETPOINT => 320
)
port map
(
clk => clk,
reset => reset,
ow_in => ow_in,
ow_out => ow_out,
enable_in => '1',
temp_out_f => temp_out_f
);
data_gen_p: entity work.ds18b20_data_gen(rtl)
generic map
(
MICROSECOND_D => MICROSECOND_D
)
port map
(
clk => clk,
reset => reset,
ow_in => ow_in,
temp_in => temp,
temp_in_f => temp_f,
ow_out => ow_out
);
temp_gen: process(clk, reset)
variable cur_temp : signed(16 - 1 downto 0);
begin
if reset = '1' then
cur_temp := to_signed(320, temp'length);
temp <= cur_temp;
temp_f <= '0';
elsif rising_edge(clk) then
temp_f <= '0';
if temp_out_f = '1' then
cur_temp := cur_temp + 16;
temp <= cur_temp;
temp_f <= '1';
end if;
end if;
end process;
end;
| mit | 0c3b47f2af09a3a5f0d842b4b43be76c | 0.430438 | 3.753165 | false | false | false | false |
thequbit/af_paper | code/xilinx/pixel_difference_1d.vhd | 1 | 1,935 |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity pixel_difference_1d is
Port ( i_clk : in STD_LOGIC;
i_reset : in STD_LOGIC;
i_R : in STD_LOGIC_VECTOR (7 downto 0);
i_G : in STD_LOGIC_VECTOR (7 downto 0);
i_B : in STD_LOGIC_VECTOR (7 downto 0);
i_framevalid : in STD_LOGIC;
i_linevalid : in STD_LOGIC;
o_focusvalue : out STD_LOGIC_VECTOR(15 downto 0);
o_dv : out STD_LOGIC
);
end pixel_difference_1d;
architecture Behavioral of pixel_difference_1d is
COMPONENT color_space_converter
PORT(
i_clk : IN std_logic;
i_reset : IN std_logic;
i_R : IN std_logic_vector(7 downto 0);
i_G : IN std_logic_vector(7 downto 0);
i_B : IN std_logic_vector(7 downto 0);
i_framevalid : IN std_logic;
i_linevalid : IN std_logic;
o_Y : OUT std_logic_vector(7 downto 0);
o_framevalid : OUT std_logic;
o_linevalid : OUT std_logic
);
END COMPONENT;
COMPONENT focus_calculation_pixel_difference_1d
PORT(
i_clk : IN std_logic;
i_reset : IN std_logic;
i_framevalid : IN std_logic;
i_linevalid : IN std_logic;
i_Y : IN std_logic_vector(7 downto 0);
o_focusvalue : OUT std_logic_vector(15 downto 0);
o_dv : OUT std_logic
);
END COMPONENT;
signal s_framevalid : STD_LOGIC;
signal s_linevalid : STD_LOGIC;
signal s_Y : STD_LOGIC_VECTOR(7 downto 0);
begin
Inst_color_space_converter: color_space_converter PORT MAP(
i_clk => i_clk,
i_reset => i_reset,
i_R => i_R,
i_G => i_G,
i_B => i_B,
i_framevalid => i_framevalid,
i_linevalid => i_linevalid,
o_Y => s_Y,
o_framevalid => s_framevalid,
o_linevalid => s_linevalid
);
Inst_focus_calculation: focus_calculation_pixel_difference_1d PORT MAP(
i_clk => i_clk,
i_reset => i_reset,
i_framevalid => s_framevalid,
i_linevalid => s_linevalid,
i_Y => s_Y,
o_focusvalue => o_focusvalue,
o_dv => o_dv
);
end Behavioral;
| gpl-3.0 | a4c9dd19e285497326bcf6364e6a9ea3 | 0.62739 | 2.764286 | false | false | false | false |
jz0229/open-ephys-pcie | serdes-interface/firmware/main_sm.vhd | 2 | 9,486 | ----------------------------------------------------------------------------------
--This is the main state machine of the serdes FPGA
--it generates the appropriate command
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
entity main_sm is
port(
clk_spi : in std_logic;
reset : in std_logic;
miso_reg : in std_logic_vector(15 downto 0);
data_lclkin : in std_logic; --this the signal that signal's end of a SPI command.
spi_start_o : out std_logic;
command_o : out std_logic_vector(15 downto 0);
hsync_o : out std_logic
);
end main_sm;
architecture Behavioral of main_sm is
--state machine
type master_sm_type is (IDLE, REGCONF, ADCCONF, ACQ);
signal master_sm, master_sm_next : master_sm_type;
type hsync_sm_type is (IDLE, CH0);
signal hsync_state, hsync_state_next : hsync_sm_type;
--signals
signal sm_cnt, sm_cnt_next : unsigned(5 downto 0);
signal cmd, cmd_next : std_logic_vector(15 downto 0);
signal cmd_d1, cmd_d2 : std_logic_vector(7 downto 0); --this is the delay version of command. currently only use for checking the configurations
signal spi_start, spi_start_next : std_logic;
signal verify_cnt, verify_cnt_next : unsigned(5 downto 0);
signal hsync_cnt, hsync_cnt_next : unsigned(4 downto 0);
signal hsync, hsync_next : std_logic;
--a bank of all the configuration values
type rom_type is array ( 0 to 21) of std_logic_vector(7 downto 0);
type dummyrom_type is array (0 to 3) of std_logic_vector(15 downto 0);
constant CONVERT: std_logic_vector(1 downto 0) := "00";
constant CALIB: std_logic_vector(15 downto 0) := "0101010100000000";
constant CLEAR: std_logic_vector(15 downto 0) := "0110101000000000";
constant WRITEREG: std_logic_vector(1 downto 0) := "10";
constant READREG: std_logic_vector(1 downto 0) := "11";
constant NO_CONF_REG : integer := 21; --17 for 32 channel
constant DUMMY_ROM : dummyrom_type := (
"11" & std_logic_vector(to_unsigned(40,6)) & "00000000",
"11" & std_logic_vector(to_unsigned(41,6)) & "00000000",
"11" & std_logic_vector(to_unsigned(42,6)) & "00000000",
"11" & std_logic_vector(to_unsigned(43,6)) & "00000000"
);
--generate command
--command <= "11" & std_logic_vector(to_unsigned(41,6)) & "00000000"; --read from 40 to 44 registers
--configuration sequence
-- 7654 3210
--R0 0x80DE "1101 1110"
--R1 0x8102 "0000 0010" -ADC buffer bias, 2 for >700 KS/s sampling rate.
--R2 0x8204 "0000 0100" -MUX bias 4 for >700 KS/s sampling rate
--R3 0x8302 "0000 0010" -digital out HiZ
--R4 0x845F "0101 1111" -MISO pull to highZ when CS is pulled high. twocomp. no absmode, DSP offset remove, k_freq = 0.000004857Hz
--R5 0x8500 "0000 0000" -disable impedance check
--R6 0x8600 "0000 0000" -disable impedance check DAC
--R7 0x8700 "0000 0000" -disable impedance check amplifier
--R8 0x8811 "0001 0001" -RH1 DAC1: 17 upper cutoff 10KHz
--R9 0x8980 "1000 0000" -RH1 DAC2: 0
--R10 0x8A10 "0001 0000" -RH2 DAC1: 16
--R11 0x8B80 "1000 0000" -RH2 DAC2: 0
--R12 0x8C10 "0001 0000" -RL DAC1
--R13 0x8DDC "1101 1100" -RL DAC2:28 DAC3:1 cutoff: 0.1HZ??????????????????????? confirm
--R14 0x8EFF "1111 1111"
--R15 0x8FFF "1111 1111"
--R16 0x90FF "1111 1111"
--R17 0x91FF "1111 1111"
--for 64 channels
--R18 0x8EFF "1111 1111"
--R19 0x8FFF "1111 1111"
--R20 0x90FF "1111 1111"
--R21 0x91FF "1111 1111"
constant CONFIG_ROM : rom_type := (
-- 76543210
"11011110", --0x80DE
"00000010", --0x8102
"00000100", --0x8204
"00000010", --0x8302
"00011111", --0x845F
"00000000", --0x8500
"00000000", --0x8600
"00000000", --0x8700
"00010001", --0x8811
"10000000", --0x8980
"00010000", --0x8A10
"10000000", --0x8B80
"00010000", --0x8C10
"11011100", --0x8DDC
"11111111", --0x8EFF
"11111111", --0x8FFF
"11111111", --0x90FF
"11111111",
"11111111", --0x8EFF
"11111111", --0x8FFF
"11111111", --0x90FF
"11111111"); --0x91FF
begin
--signal mapping
command_o <= cmd;
spi_start_o <= spi_start;
hsync_o <= hsync;
--delay the cmd output with data_lclk
delay_cmd_prc : process(data_lclkin, clk_spi, reset, cmd_d1)
begin
if (reset = '1') then
cmd_d1 <= (others=>'0');
cmd_d2 <= (others=>'0');
elsif (rising_edge(clk_spi)) then
if data_lclkin = '1' then
cmd_d1 <= cmd(7 downto 0);
cmd_d2 <= cmd_d1;
else
cmd_d1 <= cmd_d1;
cmd_d2 <= cmd_d2;
end if;
end if;
end process;
--Main state machine
main_proc: process(clk_spi, reset)
begin
if (reset = '1') then
master_sm <= IDLE;
sm_cnt <= (others=>'0');
cmd <= (others=>'0');
verify_cnt <= (others=>'0');
spi_start <= '0';
elsif (rising_edge(clk_spi)) then --next state logic
master_sm <= master_sm_next;
sm_cnt <= sm_cnt_next;
cmd <= cmd_next;
verify_cnt <= verify_cnt_next;
spi_start <= spi_start_next;
end if;
end process;
--next state logic
main_proc_next: process(data_lclkin, sm_cnt, master_sm, cmd, cmd_d2, miso_reg, verify_cnt)
begin
case master_sm is
when IDLE =>
master_sm_next <= REGCONF;
spi_start_next <= '1';
sm_cnt_next <= sm_cnt + 1;
cmd_next <= WRITEREG & std_logic_vector(sm_cnt) & CONFIG_ROM(to_integer(sm_cnt));
verify_cnt_next <= (others=>'0');
when REGCONF => --go through all the configuration registers (generate command, and spi_start signal, look for data_lclkin before moving to the next state)
if data_lclkin = '1' then
if sm_cnt <= 2 then
sm_cnt_next <= sm_cnt + 1;
master_sm_next <= REGCONF;
cmd_next <= WRITEREG & std_logic_vector(sm_cnt) & CONFIG_ROM(to_integer(sm_cnt));
verify_cnt_next <= verify_cnt;
spi_start_next <= '1';
elsif sm_cnt <= NO_CONF_REG and sm_cnt > 2 then
sm_cnt_next <= sm_cnt + 1;
master_sm_next <= REGCONF;
cmd_next <= WRITEREG & std_logic_vector(sm_cnt) & CONFIG_ROM(to_integer(sm_cnt));
if miso_reg(7 downto 0) = cmd_d2(7 downto 0) then
verify_cnt_next <= verify_cnt + 1;
else
verify_cnt_next <= verify_cnt;
end if;
spi_start_next <= '1';
elsif sm_cnt > NO_CONF_REG and sm_cnt <= (NO_CONF_REG + 3) then --this is the last of the verification period
sm_cnt_next <= sm_cnt + 1;
master_sm_next <= REGCONF;
cmd_next <= (others=>'0');
if miso_reg(7 downto 0) = cmd_d2(7 downto 0) then
verify_cnt_next <= verify_cnt + 1;
else
verify_cnt_next <= verify_cnt;
end if;
spi_start_next <= '1';
else --when sm_cnt > 20
if verify_cnt = 22 then
master_sm_next <= ADCCONF;
sm_cnt_next <= (others=>'0');
spi_start_next <= '1';
cmd_next <= CALIB; --initiate the calibration command
else --otherwise stuck in REGCONF
master_sm_next <= REGCONF; --debug change
sm_cnt_next <= sm_cnt;
spi_start_next <= '0';
cmd_next <= (others=>'0');
end if;
verify_cnt_next <= verify_cnt;
end if;
else
sm_cnt_next <= sm_cnt;
spi_start_next <= '0';
master_sm_next <= master_sm;
cmd_next <= cmd;
verify_cnt_next <= verify_cnt;
end if;
when ADCCONF =>
if data_lclkin = '1' then
if sm_cnt <= 50 then --9
sm_cnt_next <= sm_cnt + 1;
master_sm_next <= ADCCONF;
cmd_next <= DUMMY_ROM(0);
else
sm_cnt_next <= (others=>'0');
master_sm_next <= ACQ;
cmd_next <= cmd;
end if;
spi_start_next <= '1';
else
sm_cnt_next <= sm_cnt;
spi_start_next <= '0'; --debug
master_sm_next <= master_sm;
cmd_next <= cmd;
end if;
verify_cnt_next <= verify_cnt;
when ACQ =>
if data_lclkin = '1' then
if sm_cnt >= 34 then --reset channel count back to 0
sm_cnt_next <= (others=>'0');
else
sm_cnt_next <= sm_cnt + 1;
end if;
cmd_next <= "00" & std_logic_vector(sm_cnt) & "00000000";
--cmd_next <= "11" & std_logic_vector(to_unsigned(59,6)) & "00000000"; --read from 40 to 44 registers --read for INTAN
spi_start_next <= '1';
else
sm_cnt_next <= sm_cnt;
spi_start_next <= '0';
cmd_next <= cmd;
end if;
master_sm_next <= ACQ;
verify_cnt_next <= verify_cnt;
end case;
end process;
--one shot hsync for channel 0
one_shot_hsync : process(clk_spi, reset)
begin
if (reset = '1') then
hsync_state <= IDLE;
hsync_cnt <= (others=>'0');
hsync <= '0';
elsif (rising_edge(clk_spi)) then
hsync_state <= hsync_state_next;
hsync_cnt <= hsync_cnt_next;
hsync <= hsync_next;
end if;
end process;
--hsync
one_shot_next_proc : process(master_sm, hsync_state, sm_cnt, hsync_cnt, data_lclkin)
begin
case hsync_state is
when IDLE =>
if master_sm = ACQ and sm_cnt = 1 and data_lclkin = '1' then
--go to the CH0 state
hsync_state_next <= CH0;
hsync_next <= '1';
else
hsync_state_next <= IDLE ;
hsync_next <= '0';
end if;
hsync_cnt_next <= (others=>'0');
when CH0 =>
if hsync_cnt >= 10 then
hsync_state_next <= IDLE;
hsync_cnt_next <= (others=>'0');
hsync_next <= '0';
else
hsync_state_next <= CH0;
hsync_cnt_next <= hsync_cnt + 1;
hsync_next <= '1';
end if;
end case;
end process;
end Behavioral;
| mit | 843c65ca453e6b7589f42fb1b5b75ce6 | 0.589817 | 2.871935 | false | false | false | false |
jz0229/open-ephys-pcie | serdes-interface/firmware/SPI_module.vhd | 2 | 6,748 | ----------------------------------------------------------------------------------
--This is a SPI module that takes a parallel command and stream to SPI outputs
----------------------------------------------------------------------------------
library IEEE;
use ieee.numeric_std.all;
use IEEE.STD_LOGIC_1164.ALL;
entity SPI_module is
port(
clk_spi : in std_logic; --spi clock from toplevel
reset : in std_logic; --reset
spi_start : in std_logic; --spi initiate
command_in : in std_logic_vector(15 downto 0); --parallel command input vector
--SPI inputs
miso_i : in std_logic;
--SPI outputs
cs_o : out std_logic;
sclk_o : out std_logic; --sclk is always 2x slower than clk_spi
mosi_o : out std_logic;
--data latch clock
data_lclk_o : out std_logic;
--data clock
data_rdy_pcie_o : out std_logic;
data_pcie_A_o : out std_logic_vector(15 downto 0);
data_pcie_B_o : out std_logic_vector(15 downto 0);
miso_reg_A_o : out std_logic_vector(15 downto 0);
miso_reg_B_o : out std_logic_vector(15 downto 0)
);
end SPI_module;
architecture Behavioral of SPI_module is
signal cs, cs_next, sclk, sclk_next : std_logic;
signal miso_reg_A, miso_reg_A_next : std_logic_vector(15 downto 0);
signal miso_reg_B, miso_reg_B_next : std_logic_vector(15 downto 0);
signal sm_cnt, sm_cnt_next : unsigned(4 downto 0); --max count is (41-34) = 12
signal cmd_reg, cmd_reg_next : std_logic_vector(15 downto 0);
type spi_states is (IDLE, IDLExN, OP_lo, OP_hi, DATARDY); --state machine definition
signal spi_sm, spi_sm_next : spi_states;
signal data_lclk, data_lclk_next : std_logic;
signal data_rdy_pcie, data_rdy_pcie_next : std_logic;
signal data_pcie_A, data_pcie_A_next : std_logic_vector(15 downto 0);
signal data_pcie_B, data_pcie_B_next : std_logic_vector(15 downto 0);
constant test_miso: std_logic_vector(0 to 15) := "0100000000000000";
begin
--signal mapping
cs_o <= cs;
sclk_o <= sclk;
mosi_o <= cmd_reg(15); --comd_reg is a shift register so bit 15 goes to MOSI output
data_lclk_o <= data_lclk;
data_rdy_pcie_o <= data_rdy_pcie;
data_pcie_A_o <= data_pcie_A;
data_pcie_B_o <= data_pcie_B;
miso_reg_A_o <= miso_reg_A;
miso_reg_B_o <= miso_reg_B;
--SPI state machine
SPI_proc: process(clk_spi, reset)
begin
if (reset = '1') then
cs <= '0';
sclk <= '0';
data_lclk <= '0';
miso_reg_A <= (others=>'0');
miso_reg_B <= (others=>'0');
spi_sm <= IDLE;
cmd_reg <= (others=>'0');
sm_cnt <= (others=>'0'); --sm counter
data_rdy_pcie <= '0';
data_pcie_A <= (others=>'0');
data_pcie_B <= (others=>'0');
elsif (falling_edge(clk_spi)) then --next state logic
cs <= cs_next;
sclk <= sclk_next;
data_lclk <= data_lclk_next;
miso_reg_A <= miso_reg_A_next;
miso_reg_B <= miso_reg_B_next;
spi_sm <= spi_sm_next;
cmd_reg <= cmd_reg_next;
sm_cnt <= sm_cnt_next;
data_rdy_pcie <= data_rdy_pcie_next;
data_pcie_A <= data_pcie_A_next;
data_pcie_B <= data_pcie_B_next;
end if;
end process;
--state machine next state===============================
--on the SPI output side:
--it toggles between OP_lo and OP_hi state until sm_cnt reaches 16 counts then it goes to the DATARDY state.
--The DATARDY state toggles the data clock high, which means the data is ready to be latched. Then it goes back to
--IDLE state to wait for next spi_start signal
--on the SPI input side:
--MISO shifts the incoming bits into a miso shift register
SPI_proc_next: process(SPI_sm, sclk, sm_cnt, cmd_reg, miso_reg_b, data_pcie_b, spi_start, miso_reg_A, command_in, miso_i, data_pcie_A)
begin
case SPI_sm is
when IDLE =>
if spi_start = '1' then
cmd_reg_next <= command_in;
spi_sm_next <= IDLExN;
data_rdy_pcie_next <= '1';
else
cmd_reg_next <= cmd_reg;
spi_sm_next <= IDLE;
data_rdy_pcie_next <= '0';
end if;
cs_next <= '1';
sclk_next <= '0';
sm_cnt_next <= (others=>'0'); --state counter
data_lclk_next <= '0'; --data clock is always '0' unless it is in data_ready state;
miso_reg_A_next <= miso_reg_A; --maintain the last miso values, do not reset
miso_reg_B_next <= miso_reg_B;
data_pcie_A_next <= data_pcie_A;
data_pcie_B_next <= miso_reg_B;
when IDLExN => --loop in here for 24 cycles
if sm_cnt >= 8 then
spi_sm_next <= OP_lo;
cs_next <= '0';
sclk_next <= '0';
sm_cnt_next <= (others=>'0');
miso_reg_A_next <= (others=>'0'); --maintain the last miso values, do not reset
miso_reg_B_next <= (others=>'0');
else
spi_sm_next <= IDLExN;
cs_next <= '1';
sclk_next <= '0';
sm_cnt_next <= sm_cnt + 1;
miso_reg_A_next <= miso_reg_A; --maintain the last miso values, do not reset
miso_reg_B_next <= miso_reg_B;
end if;
cmd_reg_next <= cmd_reg;
data_lclk_next <= '0'; --data clock is always '0' unless it is in data_ready state;
data_rdy_pcie_next <= '1';
data_pcie_A_next <= data_pcie_A;
data_pcie_B_next <= data_pcie_B;
when OP_lo =>
cmd_reg_next <= cmd_reg;
spi_sm_next <= OP_hi;
sclk_next <= not sclk; --toggle sclk
cs_next <= '0';
sm_cnt_next <= sm_cnt;
data_lclk_next <= '0';
data_rdy_pcie_next <= '0';
miso_reg_A_next <= miso_reg_A;
-------------------------------
if sm_cnt = 0 then
miso_reg_B_next <= miso_reg_B;
else
miso_reg_B_next <= miso_reg_B(14 downto 0) & miso_i;
end if;
-------------------------------
data_pcie_A_next <= data_pcie_A;
data_pcie_B_next <= data_pcie_B;
when OP_hi =>
if sm_cnt>=15 then --state counter triggers at 15
spi_sm_next <= DATARDY;
sm_cnt_next <= sm_cnt;
else
spi_sm_next <= OP_lo;
sm_cnt_next <= sm_cnt + 1; --sm counter increment
end if;
cmd_reg_next(15 downto 1) <= cmd_reg(14 downto 0); --shift the command out
cmd_reg_next(0) <= '0'; --pad '0';
sclk_next <= not sclk; --toggle sclk
cs_next <= '0';
data_lclk_next <= '0';
data_rdy_pcie_next <= '0';
miso_reg_A_next <= miso_reg_A(14 downto 0) & miso_i;
data_pcie_A_next <= data_pcie_A;
miso_reg_B_next <= miso_reg_B;
data_pcie_B_next <= data_pcie_B;
when DATARDY =>
spi_sm_next <= IDLE;
sm_cnt_next <= sm_cnt;
cmd_reg_next <= cmd_reg;
sclk_next <= '0';
cs_next <= '1';
data_lclk_next <= '1';
data_rdy_pcie_next <= '0';
miso_reg_A_next <= miso_reg_A;
data_pcie_A_next <= miso_reg_A;
--miso_reg_B_next <= miso_reg_B;
miso_reg_B_next <= miso_reg_B(14 downto 0) & miso_i;
data_pcie_B_next <= data_pcie_B;
end case;
end process;
end Behavioral;
| mit | f52201efa57396ed8a7c35d07b426a11 | 0.575282 | 2.793046 | false | false | false | false |
sudov/options-accel | final_design/fifo.prj/sol/syn/vhdl/dut.vhd | 2 | 11,938 | -- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.2
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity dut is
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
in_fifo_V_dout : IN STD_LOGIC_VECTOR (31 downto 0);
in_fifo_V_empty_n : IN STD_LOGIC;
in_fifo_V_read : OUT STD_LOGIC;
out_fifo_V_din : OUT STD_LOGIC_VECTOR (31 downto 0);
out_fifo_V_full_n : IN STD_LOGIC;
out_fifo_V_write : OUT STD_LOGIC );
end;
architecture behav of dut is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"dut,hls_ip_2014_2,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z020clg484-1,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=7.789000,HLS_SYN_LAT=12,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=0,HLS_SYN_LUT=0}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000";
constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (3 downto 0) := "0001";
constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (3 downto 0) := "0010";
constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (3 downto 0) := "0011";
constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (3 downto 0) := "0100";
constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (3 downto 0) := "0101";
constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (3 downto 0) := "0110";
constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (3 downto 0) := "0111";
constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (3 downto 0) := "1000";
constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (3 downto 0) := "1001";
constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (3 downto 0) := "1010";
constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (3 downto 0) := "1011";
constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (3 downto 0) := "1100";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv32_20 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100000";
constant ap_const_lv32_3F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111111";
signal tmp_3_reg_119 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_CS_fsm : STD_LOGIC_VECTOR (3 downto 0) := "0000";
signal tmp_6_reg_124 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_7_reg_129 : STD_LOGIC_VECTOR (31 downto 0);
signal full_fu_66_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal full_reg_134 : STD_LOGIC_VECTOR (63 downto 0);
signal full_1_fu_83_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal full_1_reg_139 : STD_LOGIC_VECTOR (63 downto 0);
signal data1_reg_154 : STD_LOGIC_VECTOR (31 downto 0);
signal data2_fu_111_p1 : STD_LOGIC_VECTOR (15 downto 0);
signal data2_reg_159 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_2_fu_115_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_52_p0 : STD_LOGIC_VECTOR (63 downto 0);
signal grp_fu_52_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_4_fu_56_p3 : STD_LOGIC_VECTOR (63 downto 0);
signal full_fu_66_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_6_reg_124_temp: signed (32-1 downto 0);
signal tmp_s_fu_72_p3 : STD_LOGIC_VECTOR (63 downto 0);
signal full_1_fu_83_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal in_fifo_V_dout_temp: signed (32-1 downto 0);
signal grp_fu_52_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal ret_fu_97_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal grp_fu_52_ce : STD_LOGIC;
signal ap_NS_fsm : STD_LOGIC_VECTOR (3 downto 0);
component dut_dmul_64ns_64ns_64_6_max_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
begin
dut_dmul_64ns_64ns_64_6_max_dsp_U1 : component dut_dmul_64ns_64ns_64_6_max_dsp
generic map (
ID => 1,
NUM_STAGE => 6,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst,
din0 => grp_fu_52_p0,
din1 => grp_fu_52_p1,
ce => grp_fu_52_ce,
dout => grp_fu_52_p2);
-- the current state (ap_CS_fsm) of the state machine. --
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_CS_fsm <= ap_ST_st1_fsm_0;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_ST_st11_fsm_10 = ap_CS_fsm)) then
data1_reg_154 <= ret_fu_97_p1(63 downto 32);
data2_reg_159 <= data2_fu_111_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((not((in_fifo_V_empty_n = ap_const_logic_0)) and (ap_ST_st5_fsm_4 = ap_CS_fsm))) then
full_1_reg_139 <= full_1_fu_83_p2;
full_reg_134 <= full_fu_66_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_ST_st2_fsm_1 = ap_CS_fsm) and not((in_fifo_V_empty_n = ap_const_logic_0)))) then
tmp_3_reg_119 <= in_fifo_V_dout;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((not((in_fifo_V_empty_n = ap_const_logic_0)) and (ap_ST_st3_fsm_2 = ap_CS_fsm))) then
tmp_6_reg_124 <= in_fifo_V_dout;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((not((in_fifo_V_empty_n = ap_const_logic_0)) and (ap_ST_st4_fsm_3 = ap_CS_fsm))) then
tmp_7_reg_129 <= in_fifo_V_dout;
end if;
end if;
end process;
-- the next state (ap_NS_fsm) of the state machine. --
ap_NS_fsm_assign_proc : process (in_fifo_V_empty_n, out_fifo_V_full_n, ap_CS_fsm)
begin
case ap_CS_fsm is
when ap_ST_st1_fsm_0 =>
if (not((in_fifo_V_empty_n = ap_const_logic_0))) then
ap_NS_fsm <= ap_ST_st2_fsm_1;
else
ap_NS_fsm <= ap_ST_st1_fsm_0;
end if;
when ap_ST_st2_fsm_1 =>
if (not((in_fifo_V_empty_n = ap_const_logic_0))) then
ap_NS_fsm <= ap_ST_st3_fsm_2;
else
ap_NS_fsm <= ap_ST_st2_fsm_1;
end if;
when ap_ST_st3_fsm_2 =>
if (not((in_fifo_V_empty_n = ap_const_logic_0))) then
ap_NS_fsm <= ap_ST_st4_fsm_3;
else
ap_NS_fsm <= ap_ST_st3_fsm_2;
end if;
when ap_ST_st4_fsm_3 =>
if (not((in_fifo_V_empty_n = ap_const_logic_0))) then
ap_NS_fsm <= ap_ST_st5_fsm_4;
else
ap_NS_fsm <= ap_ST_st4_fsm_3;
end if;
when ap_ST_st5_fsm_4 =>
if (not((in_fifo_V_empty_n = ap_const_logic_0))) then
ap_NS_fsm <= ap_ST_st6_fsm_5;
else
ap_NS_fsm <= ap_ST_st5_fsm_4;
end if;
when ap_ST_st6_fsm_5 =>
ap_NS_fsm <= ap_ST_st7_fsm_6;
when ap_ST_st7_fsm_6 =>
ap_NS_fsm <= ap_ST_st8_fsm_7;
when ap_ST_st8_fsm_7 =>
ap_NS_fsm <= ap_ST_st9_fsm_8;
when ap_ST_st9_fsm_8 =>
ap_NS_fsm <= ap_ST_st10_fsm_9;
when ap_ST_st10_fsm_9 =>
ap_NS_fsm <= ap_ST_st11_fsm_10;
when ap_ST_st11_fsm_10 =>
ap_NS_fsm <= ap_ST_st12_fsm_11;
when ap_ST_st12_fsm_11 =>
if (not((out_fifo_V_full_n = ap_const_logic_0))) then
ap_NS_fsm <= ap_ST_st13_fsm_12;
else
ap_NS_fsm <= ap_ST_st12_fsm_11;
end if;
when ap_ST_st13_fsm_12 =>
if (not((out_fifo_V_full_n = ap_const_logic_0))) then
ap_NS_fsm <= ap_ST_st1_fsm_0;
else
ap_NS_fsm <= ap_ST_st13_fsm_12;
end if;
when others =>
ap_NS_fsm <= "XXXX";
end case;
end process;
data2_fu_111_p1 <= ret_fu_97_p1(16 - 1 downto 0);
in_fifo_V_dout_temp <= signed(in_fifo_V_dout);
full_1_fu_83_p1 <= std_logic_vector(resize(in_fifo_V_dout_temp,64));
full_1_fu_83_p2 <= std_logic_vector(unsigned(tmp_s_fu_72_p3) + unsigned(full_1_fu_83_p1));
tmp_6_reg_124_temp <= signed(tmp_6_reg_124);
full_fu_66_p1 <= std_logic_vector(resize(tmp_6_reg_124_temp,64));
full_fu_66_p2 <= std_logic_vector(unsigned(tmp_4_fu_56_p3) + unsigned(full_fu_66_p1));
grp_fu_52_ce <= ap_const_logic_1;
grp_fu_52_p0 <= full_reg_134;
grp_fu_52_p1 <= full_1_reg_139;
-- in_fifo_V_read assign process. --
in_fifo_V_read_assign_proc : process(in_fifo_V_empty_n, ap_CS_fsm)
begin
if ((((ap_ST_st2_fsm_1 = ap_CS_fsm) and not((in_fifo_V_empty_n = ap_const_logic_0))) or (not((in_fifo_V_empty_n = ap_const_logic_0)) and (ap_ST_st3_fsm_2 = ap_CS_fsm)) or (not((in_fifo_V_empty_n = ap_const_logic_0)) and (ap_ST_st4_fsm_3 = ap_CS_fsm)) or (not((in_fifo_V_empty_n = ap_const_logic_0)) and (ap_ST_st5_fsm_4 = ap_CS_fsm)) or (not((in_fifo_V_empty_n = ap_const_logic_0)) and (ap_ST_st1_fsm_0 = ap_CS_fsm)))) then
in_fifo_V_read <= ap_const_logic_1;
else
in_fifo_V_read <= ap_const_logic_0;
end if;
end process;
-- out_fifo_V_din assign process. --
out_fifo_V_din_assign_proc : process(out_fifo_V_full_n, ap_CS_fsm, data1_reg_154, tmp_2_fu_115_p1)
begin
if (not((out_fifo_V_full_n = ap_const_logic_0))) then
if ((ap_ST_st13_fsm_12 = ap_CS_fsm)) then
out_fifo_V_din <= tmp_2_fu_115_p1;
elsif ((ap_ST_st12_fsm_11 = ap_CS_fsm)) then
out_fifo_V_din <= data1_reg_154;
else
out_fifo_V_din <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
else
out_fifo_V_din <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- out_fifo_V_write assign process. --
out_fifo_V_write_assign_proc : process(out_fifo_V_full_n, ap_CS_fsm)
begin
if ((((ap_ST_st12_fsm_11 = ap_CS_fsm) and not((out_fifo_V_full_n = ap_const_logic_0))) or (not((out_fifo_V_full_n = ap_const_logic_0)) and (ap_ST_st13_fsm_12 = ap_CS_fsm)))) then
out_fifo_V_write <= ap_const_logic_1;
else
out_fifo_V_write <= ap_const_logic_0;
end if;
end process;
ret_fu_97_p1 <= grp_fu_52_p2;
tmp_2_fu_115_p1 <= std_logic_vector(resize(unsigned(data2_reg_159),32));
tmp_4_fu_56_p3 <= (tmp_3_reg_119 & ap_const_lv32_0);
tmp_s_fu_72_p3 <= (tmp_7_reg_129 & ap_const_lv32_0);
end behav;
| apache-2.0 | bba45e98ec32d9309ccb0be349b2cd0f | 0.539454 | 2.885666 | false | false | false | false |
Hyvok/HardHeat | sim/deadtime_gen/deadtime_gen_tb.vhd | 1 | 1,513 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity deadtime_gen_tb is
generic
(
TUNING_WORD_N : positive := 22
);
end entity;
architecture rtl of deadtime_gen_tb is
constant CLK_PERIOD : time := 1 sec / 20e7;
signal clk : std_logic := '0';
signal reset : std_logic;
signal sig : std_logic;
signal tuning_word : unsigned(TUNING_WORD_N - 1 downto 0);
begin
DUT_inst: entity work.deadtime_gen(rtl)
generic map
(
DT_N => 16,
DT_VAL => 100
)
port map
(
clk => clk,
reset => reset,
sig_in => sig
);
sig_gen_p: entity work.phase_accumulator(rtl)
generic map
(
ACCUM_BITS_N => 32,
TUNING_WORD_N => TUNING_WORD_N
)
port map
(
clk => clk,
reset => reset,
tuning_word_in => tuning_word,
sig_out => sig
);
reset <= '1', '0' after 500 ns;
clk_gen: process(clk)
begin
clk <= not clk after CLK_PERIOD / 2;
end process;
tuning_word_gen: process(clk)
begin
if reset = '1' then
tuning_word <= to_unsigned(2**TUNING_WORD_N / 2 - 1, TUNING_WORD_N);
elsif rising_edge(clk) then
tuning_word <= tuning_word - 1;
end if;
end process;
end;
| mit | ae19b7bd50c4501df5f83602a2b66106 | 0.477198 | 3.708333 | false | false | false | false |
thequbit/af_paper | code/xilinx/focus_calculation_pixel_difference_2d_tb.vhd | 1 | 4,515 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 21:07:45 05/01/2014
-- Design Name:
-- Module Name: C:/dev/af_paper/ise/af_alogithms/focus_calculation_pixel_difference_2d_tb.vhd
-- Project Name: af_alogithms
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: focus_calculation_pixel_difference_2d
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY focus_calculation_pixel_difference_2d_tb IS
END focus_calculation_pixel_difference_2d_tb;
ARCHITECTURE behavior OF focus_calculation_pixel_difference_2d_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT focus_calculation_pixel_difference_2d
PORT(
i_clk : IN std_logic;
i_reset : IN std_logic;
i_framevalid : IN std_logic;
i_linevalid : IN std_logic;
i_Y : IN std_logic_vector(7 downto 0);
o_focusvalue : OUT std_logic_vector(31 downto 0);
o_dv : OUT std_logic
);
END COMPONENT;
--Inputs
signal i_clk : std_logic := '0';
signal i_reset : std_logic := '0';
signal i_framevalid : std_logic := '0';
signal i_linevalid : std_logic := '0';
signal i_Y : std_logic_vector(7 downto 0) := (others => '0');
--Outputs
signal o_focusvalue : std_logic_vector(31 downto 0);
signal o_dv : std_logic;
-- Clock period definitions
constant i_clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: focus_calculation_pixel_difference_2d PORT MAP (
i_clk => i_clk,
i_reset => i_reset,
i_framevalid => i_framevalid,
i_linevalid => i_linevalid,
i_Y => i_Y,
o_focusvalue => o_focusvalue,
o_dv => o_dv
);
-- Clock process definitions
i_clk_process :process
begin
i_clk <= '0';
wait for i_clk_period/2;
i_clk <= '1';
wait for i_clk_period/2;
i_Y <= i_Y + '1';
end process;
--
-- images are 865 x 577
--
-- 128 x 128 is ROI
--
-- ( 865 - 128 ) / 2 = 268
-- ( 577 - 128 ) / 2 = 224
--
-- 224 * 865 top buffer
--
-- 268 left buffer -|
-- 128 line data | - x 128 lines
-- 268 right buffer _|
--
-- 224 * 865 bottom buffer
process
variable x : integer range 0 to 1024 := 0;
variable y : integer range 0 to 1024 := 0;
begin
i_framevalid <= '0';
i_linevalid <= '0';
-- equivilent of 2 lines of invalid frame
wait for i_clk_period*865*2;
i_framevalid <= '1';
i_linevalid <= '0';
-- one line invalid in beginning of frame
for y in 0 to 1 loop
for x in 0 to 577 loop
i_framevalid <= '1';
i_linevalid <= '0';
wait for i_clk_period;
end loop;
end loop;
-- one line invalid in beginning of frame
for y in 0 to 577 loop
-- ten pixels at beginning of line invalid
for x in 0 to 10 loop
i_framevalid <= '1';
i_linevalid <= '0';
wait for i_clk_period;
end loop;
-- 865 valid pixels
for x in 0 to 865 loop
i_framevalid <= '1';
i_linevalid <= '1';
wait for i_clk_period;
end loop;
-- ten pixels at end of line invalid
for x in 0 to 10 loop
i_framevalid <= '1';
i_linevalid <= '0';
wait for i_clk_period;
end loop;
end loop;
-- one line invalid at end of frame
for y in 0 to 1 loop
for x in 0 to 577 loop
i_framevalid <= '1';
i_linevalid <= '0';
wait for i_clk_period;
end loop;
end loop;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
--wait for 100 ns;
i_reset <= '1';
wait for i_clk_period*10;
i_reset <= '0';
wait;
end process;
END;
| gpl-3.0 | 25723c69650dd8c0234fa0d84fd1e3bc | 0.585604 | 3.339497 | false | false | false | false |
upci/upci | Simulações/testes_memoria/processor_functions.vhd | 1 | 1,325 | LIBRARY ieee;
USE ieee.numeric_std.all;
USE ieee.std_logic_1164.all;
PACKAGE processor_functions IS
TYPE opcode IS (load, store, add, nott, andd, orr, xorr, inc, sub, branch);
FUNCTION Decode (word: STD_LOGIC_VECTOR) RETURN opcode;
CONSTANT n: integer := 12;
CONSTANT wordlen: integer := 12;
CONSTANT oplen: integer := 4;
TYPE memory_array IS ARRAY (0 to 2**(n-oplen-1)) of STD_LOGIC_VECTOR(n-1 DOWNTO 0);
CONSTANT reg_zero: unsigned (n-1 DOWNTO 0) := (OTHERS => '0');
END PACKAGE processor_functions;
PACKAGE BODY processor_functions IS
FUNCTION Decode (word: STD_LOGIC_VECTOR) return opcode IS
VARIABLE opcode_out: opcode;
BEGIN
CASE word(n-1 DOWNTO n-oplen) IS
WHEN "0000" => opcode_out := load;
WHEN "0001" => opcode_out := store;
WHEN "0010" => opcode_out := add;
WHEN "0011" => opcode_out := nott;
WHEN "0100" => opcode_out := andd;
WHEN "0101" => opcode_out := orr;
WHEN "0110" => opcode_out := xorr;
WHEN "0111" => opcode_out := inc;
WHEN "1000" => opcode_out := sub;
WHEN "1001" => opcode_out := branch;
WHEN OTHERS => null;
END CASE;
RETURN opcode_out;
END FUNCTION decode;
END PACKAGE BODY processor_functions; | gpl-2.0 | c23569c8a7187cf269dbb462f8352462 | 0.593208 | 3.701117 | false | false | false | false |
makestuff/blinky | vhdl/top_level.vhdl | 1 | 1,673 | --
-- Copyright (C) 2009-2012 Chris McClelland
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity top_level is
generic (
-- This can be overridden to change the refresh rate. The anode pattern will change at a
-- frequency given by F(clk_in) / (2**COUNTER_WIDTH). So for a 50MHz clk_in and
-- COUNTER_WIDTH=18, the anode pattern changes at ~191Hz, which means each digit gets
-- refreshed at ~48Hz.
COUNTER_WIDTH : integer := 27
);
port(
sysClk_in : in std_logic;
led_out : out std_logic_vector(1 downto 0)
);
end entity;
architecture rtl of top_level is
signal count : unsigned(COUNTER_WIDTH-1 downto 0) := (others => '0');
signal count_next : unsigned(COUNTER_WIDTH-1 downto 0);
begin
-- Infer registers
process(sysClk_in)
begin
if ( rising_edge(sysClk_in) ) then
count <= count_next;
end if;
end process;
count_next <= count + 1;
led_out <= std_logic_vector(count(COUNTER_WIDTH-1 downto COUNTER_WIDTH-2));
end architecture;
| lgpl-3.0 | d38066d9a6835e5d1755c6113774c83b | 0.714286 | 3.456612 | false | false | false | false |
Hyvok/HardHeat | sim/pwr_sequencer/pwr_sequencer_tb.vhd | 1 | 3,166 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity pwr_sequencer_tb is
generic
(
LEVELS_N : natural := 3;
TEST_D : natural := 10000
);
end entity;
architecture rtl of pwr_sequencer_tb is
-- Main clock frequency 100 MHz
constant CLK_PERIOD : time := 1 sec / 10e7;
signal clk : std_logic := '0';
signal reset : std_logic;
signal main_pwr_en : std_logic;
signal main_pwr_fail : std_logic;
signal start : std_logic;
signal fail : std_logic_vector(LEVELS_N - 1 downto 0);
signal enable : std_logic_vector(LEVELS_N - 1 downto 0);
begin
reset <= '1', '0' after 500 ns;
clk_gen: process(clk)
begin
clk <= not clk after CLK_PERIOD / 2;
end process;
DUT_inst: entity work.pwr_sequencer(rtl)
generic map
(
LEVELS_N => LEVELS_N
)
port map
(
clk => clk,
reset => reset,
start_in => start,
fail_in => fail,
en_out => enable,
main_pwr_en_out => main_pwr_en,
main_pwr_fail_out => main_pwr_fail
);
fail_gen: process(clk, reset)
type state_t is (idle, delay, power_on, cause_fail);
variable state : state_t;
variable timer : natural;
variable cur_level : natural;
begin
if reset = '1' then
state := idle;
timer := 0;
fail <= (others => '1');
cur_level := 0;
start <= '0';
elsif rising_edge(clk) then
if state = idle then
start <= '1';
for i in 0 to enable'high loop
if enable(i) = '1' then
cur_level := i;
state := delay;
end if;
end loop;
elsif state = delay then
timer := timer + 1;
if timer > TEST_D then
fail(cur_level) <= '0';
timer := 0;
if cur_level = enable'high then
state := power_on;
else
state := idle;
end if;
end if;
elsif state = power_on then
timer := timer + 1;
-- After succesfull sequencing cause a failure
if timer > TEST_D then
fail(0) <= '1';
timer := 0;
state := cause_fail;
end if;
elsif state = cause_fail then
timer := timer + 1;
start <= '0';
-- After succesfull power failure, restart
if timer > TEST_D then
start <= '1';
fail <= (others => '1');
timer := 0;
state := idle;
end if;
end if;
end if;
end process;
end;
| mit | 862b9d11cba035ad2f7c59ca6d438b46 | 0.41693 | 4.440393 | false | false | false | false |
JeremySavonet/Eurobot-2017-Moon-Village | software/HPS_FPGA_LED/fpga/qei.vhd | 1 | 10,555 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
-- c2003 Franks Development, LLC
-- http://www.franks-development.com
-- !This source is distributed under the terms & conditions specified at opencores.org
--resource or companion to this code:
-- Xilinx Application note 12 - "Quadrature Phase Decoder" - xapp012.pdf
-- no longer appears on xilinx website (to best of my knowledge), perhaps it has been superceeded?
--this code was origonally intended for use on Xilinx XPLA3 'coolrunner' CPLD devices
--origonally compiled/synthesized with Xilinx 'Webpack' 5.2 software
--How we 'talk' to the outside world:
entity QuadratureCounterPorts is
Port ( clock : in std_logic; --system clock, i.e. 10MHz oscillator
QuadA : in std_logic; --first input from quadrature device (i.e. optical disk encoder)
QuadB : in std_logic; --second input from quadrature device (i.e. optical disk encoder)
CounterValue : out std_logic_vector(15 downto 0) --just an example debuggin output
);
end entity;
--What we 'do':
architecture QuadratureCounter of QuadratureCounterPorts is
-- local 'variables' or 'registers'
--This is the counter for how many quadrature ticks have gone past.
--the size of this counter is dependant on how far you need to count
--it was origonally used with a circular disk encoder having 2048 ticks/revolution
--thus this 16-bit count could hold 2^15 ticks in either direction, or a total
--of 32768/2048 = 16 revolutions in either direction. if the disk
--was turned more than 16 times in a given direction, the counter overflows
--and the origonal location is lost. If you had a linear instead of
--circular encoder that physically could not move more than 2048 ticks,
--then Count would only need to be 11 downto 0, and you could count
--2048 ticks in either direction, regardless of the position of the
--encoder at system bootup.
signal Count : std_logic_vector(15 downto 0);
--this is the signal from the quadrature logic that it is time to change
--the value of the counter on this clock signal (either + or -)
signal CountEnable : std_logic;
--should we increment or decrement count?
signal CountDirection : std_logic;
--where all the 'work' is done: quadraturedecoder.vhd
component QuadratureDecoderPorts
Port (
clock : in std_logic;
QuadA : in std_logic;
QuadB : in std_logic;
Direction : out std_logic;
CountEnable : out std_logic
);
end component;
begin --architecture QuadratureCounter
--instanciate the decoder
iQuadratureDecoder: QuadratureDecoderPorts
port map (
clock => clock,
QuadA => QuadA,
QuadB => QuadB,
Direction => CountDirection,
CountEnable => CountEnable
);
-- do our actual work every clock cycle
process(clock)
begin
--keep track of the counter
if ( (clock'event) and (clock = '1') ) then
if (CountEnable = '1') then
if (CountDirection = '1') then Count <= Count + "0000000000000001"; end if;
if (CountDirection = '0') then Count <= Count - "0000000000000001"; end if;
end if;
end if; --clock'event
--!!!!!!!!!!!INSERT SOMETHING USEFULL HERE!!!!!!!!!!!
--This is where you do actual work based on the value of the counter
--for instance, I will just output the value of the counter
--led's on an output like this are very useful - you can see the top
--bits light when moved backwards from initial position (count goes negative)
CounterValue <= Count;
end process; --(clock)
end architecture;
library ieee;
use ieee.std_logic_1164.all;
-- c2003 Franks Development, LLC
-- http://www.franks-development.com
-- !This source is distributed under the terms & conditions specified at opencores.org
--How we 'talk' to the outside world:
entity QuadratureDecoderPorts is
port (
clock : in std_logic;
QuadA : in std_logic;
QuadB : in std_logic;
Direction : out std_logic;
CountEnable : out std_logic
);
end entity;
--What we 'do':
architecture QuadratureDecoder of QuadratureDecoderPorts is
--local 'variables' or 'registers'
--this runs our state machine: where are we in the decoding process?
--the following constants describe each state
--note that every possible state is not listed. the unused states
--are physically unreachable in a functioning quadratre device, given that the
--clock is fast enough to 'catch' each transition on the quadrature inputs
--LR means left-right, RL = left-right. Of course the two are reversed
--if the two quadratre inputs are switched.
signal state : std_logic_vector(3 downto 0);
constant Wait0 : std_logic_vector(3 downto 0) := "0000";
constant Wait1 : std_logic_vector(3 downto 0) := "0001";
constant Count0 : std_logic_vector(3 downto 0) := "0010";
constant Count1 : std_logic_vector(3 downto 0) := "0011";
constant LR1 : std_logic_vector(3 downto 0) := "1001";
constant LR2 : std_logic_vector(3 downto 0) := "1101";
constant LR3 : std_logic_vector(3 downto 0) := "0101";
constant RL1 : std_logic_vector(3 downto 0) := "0100";
constant RL2 : std_logic_vector(3 downto 0) := "1100";
constant RL3 : std_logic_vector(3 downto 0) := "1000";
--this is a temp where the two quadrature inputs are stored
signal Quad : std_logic_vector(1 downto 0);
--as a single quadrature count is made up of several states, and the decoder
--can remain in a given state indefinately (if the quadrature input
--device is not 'moving'), so we need these 'gate-ing' variables
--to keep us from counting on every clock when we sit idle in the
--'count' state; thusly, we just count on the first clock
--upon entering a 'count' state.
signal counted : std_logic;
signal counting : std_logic;
begin --architecture QuadratureDecoder
process (clock)
begin --(clock)
if ( (clock'event) and (clock = '1') ) then --every rising edge
--convert inputs from asynch to synch by assigning once on each rising edge of clock
Quad(0) <= QuadA;
Quad(1) <= QuadB;
--we are not going to be counting on this clock by default
CountEnable <= '0';
--we are not in a 'count' state
if (Counting = '0') then
Counted <= '0'; --haven't counted when not in count state
CountEnable <= '0'; --are not outputing a count either
end if;
--we are in a count state
if (Counting = '1') then
if (Counted = '1') then --note that this is covered by default, but is included for clarity.
CountEnable <= '0'; --already counted this one, don't output a count
end if;
if (Counted = '0') then --we haven't counted it already
Counted <= '1'; --make sure we dont count it again on next clock
CountEnable <= '1'; --output a count!
end if;
end if;
-- run our state machine
-- the state transitions are governed by the nature of reality -
-- vis-a-vis this is what quadratre is.
-- the '--?' are the physically un-reachable states.
-- note that it is imperative that the clock be at least (4 I recal)
-- times faster than the maximum transition rate on each quadratre
-- input, or else transitions will occur in between clocks, corrupting
-- the state of the decoder. Put differently, the quadratre device must
-- physically remain in each state for at least a single clock
-- or state changes will not be 'captured' and decoder output will be bogus.
-- which is substancially the case with any clock-based logic.
-- the difference is that a normal glitch is any change in input which
-- has duration less than a single clock, but in quadrature, as single
-- transition of the actual device cases 4 transitions in the state,
-- by design of the quadrature encoding process.
case state is
when Wait0 =>
if (Quad = "00") then state <= Wait0; end if;
if (Quad = "01") then state <= RL1; end if;
if (Quad = "10") then state <= LR1; end if;
if (Quad = "11") then state <= Wait0; end if; --?
Counting <= '0';
when Wait1 =>
if (Quad = "00") then state <= Wait0; end if;
if (Quad = "01") then state <= RL1; end if;
if (Quad = "10") then state <= LR1; end if;
if (Quad = "11") then state <= Wait0; end if; --?
Counting <= '0';
when Count0 =>
if (Quad = "00") then state <= Wait0; end if;
if (Quad = "01") then state <= RL1; end if;
if (Quad = "10") then state <= LR1; end if;
if (Quad = "11") then state <= Count0; end if; --?
Counting <= '1';
when Count1 =>
if (Quad = "00") then state <= Wait0; end if;
if (Quad = "01") then state <= RL1; end if;
if (Quad = "10") then state <= LR1; end if;
if (Quad = "11") then state <= Count0; end if; --?
Counting <= '1';
when LR1 =>
if (Quad = "00") then state <= Wait0; end if;
if (Quad = "01") then state <= LR1; end if; --?
if (Quad = "10") then state <= LR1; end if;
if (Quad = "11") then state <= LR2; end if;
Direction <= '0';
Counting <= '0';
when LR2 =>
if (Quad = "00") then state <= LR2; end if; --?
if (Quad = "01") then state <= LR3; end if;
if (Quad = "10") then state <= LR1; end if;
if (Quad = "11") then state <= LR2; end if; --?
Direction <= '0';
Counting <= '0';
when LR3 =>
if (Quad = "00") then state <= Count0; end if;
if (Quad = "01") then state <= LR3; end if;
if (Quad = "10") then state <= LR3; end if; --?
if (Quad = "11") then state <= LR2; end if;
Direction <= '0';
Counting <= '0';
when RL1 =>
if (Quad = "00") then state <= Wait0; end if;
if (Quad = "01") then state <= RL1; end if;
if (Quad = "10") then state <= RL1; end if; --?
if (Quad = "11") then state <= RL2; end if;
Direction <= '1';
Counting <= '0';
when RL2 =>
if (Quad = "00") then state <= RL2; end if; --?
if (Quad = "01") then state <= RL1; end if;
if (Quad = "10") then state <= RL3; end if;
if (Quad = "11") then state <= RL2; end if; --?
Direction <= '1';
Counting <= '0';
when RL3 =>
if (Quad = "00") then state <= Count0; end if;
if (Quad = "01") then state <= RL3; end if; --?
if (Quad = "10") then state <= RL3; end if;
if (Quad = "11") then state <= RL2; end if;
Direction <= '1';
Counting <= '0';
when others => state <= Wait0; -- undefined state; just go back to wait so we don't get stuck here...
end case; --state
end if; --clock'event
end process; --(clock)
end architecture;
| gpl-3.0 | ac8fbe514d3c4a613de41212e5d3e84a | 0.648982 | 3.399356 | false | false | false | false |
luk51000/tg2 | Experimentos/ex1/Sources/static/top.vhd | 1 | 1,129 | library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.std_logic_unsigned.all;
entity top is
port(
SYSCLK_P : in std_logic;
SYSCLK_N : in std_logic;
GPIO_LED : out std_logic_vector (6 downto 0));
end top;
architecture Behavioral of top is
signal clk_200Mhz : std_logic;
signal clk_5hz : std_logic;
signal clk_2hz : std_logic;
signal clk_1hz : std_logic;
component dynamic
port (
clk_1hz : in std_logic;
leds : out std_logic_vector (3 downto 0)
);
end component;
begin
clock_station_i : entity work.clock_station port map(
clk_p => SYSCLK_P,
clk_n => SYSCLK_N,
clk_5hz => clk_5hz,
clk_2hz => clk_2hz,
clk_1hz => clk_1hz
);
static_i : entity work.static port map(
clk_5hz => clk_5hz,
clk_2hz => clk_2hz,
clk_1hz => clk_1hz,
leds => GPIO_LED(6 downto 4)
);
dynamic_i : dynamic port map(
clk_1hz => clk_1hz,
leds => GPIO_LED(3 downto 0)
);
end Behavioral; | gpl-3.0 | 01bace5bac0baeeb7f6feea2083210d5 | 0.523472 | 3.225714 | false | false | false | false |
jz0229/open-ephys-pcie | oepcie_host_firmware/HDLs/mem_conf_control.vhd | 1 | 3,343 | ----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use WORK.myDeclare.all;
entity mem_conf_control is
port (
bus_clk : in std_logic;
reset : in std_logic;
user_mem_32_addr : in std_logic_vector(3 downto 0);
user_w_mem_32_wren : in std_logic;
user_r_mem_32_rden : in std_logic;
user_w_mem_32_data : in std_logic_vector(31 downto 0);
user_r_mem_32_data : out std_logic_vector(31 downto 0);
dev_reset_out : out std_logic;
conf_ack : out std_logic;
conf_nack : out std_logic;
mem_out : out mem_type
);
end mem_conf_control;
architecture Behavioral of mem_conf_control is
--state machines
type confstate_type is (MEMUD, CONF, ACK, NACK, DEVRESET); --state machine definition
signal confstate : confstate_type;
--memory location and its functions;
constant HS_CONFIG_DEVICE_ID : integer := 0;
constant HS_CONFIG_REG_ADDR : integer := 1;
constant HS_CONFIG_REG_VALUE : integer := 2;
constant HS_CONFIG_RW : integer := 3;
constant HS_CONFIG_TRIG : integer := 4;
constant KC705_RUNNING : integer := 5;
constant KC705_RESET : integer := 6;
constant KC705_SYS_CLK_HZ : integer := 7;
constant KC705_FRAME_CLK_HZ : integer :=8;
constant KC705_FRAME_CLK_M : integer :=9;
constant KC705_FRAME_CLK_D : integer :=10;
signal mem_host : mem_type;
begin
mem_out <= mem_host;
sm_proc: process(bus_clk, reset, user_mem_32_addr, mem_host, user_w_mem_32_wren, user_r_mem_32_rden)
begin
if (reset = '1') then
confstate <= MEMUD;
conf_ack <= '0';
conf_nack <= '0';
dev_reset_out <= '0'; --reset device
for i in 0 to MEMARRAYLENGTH-1 loop
mem_host(i) <= (others=>'0');
end loop;
elsif (rising_edge(bus_clk)) then
case confstate is
when MEMUD =>
--update mem_host
if (user_w_mem_32_wren = '1') then
--if user_mem_32_addr =
mem_host(to_integer(unsigned(user_mem_32_addr))) <= user_w_mem_32_data;
else --user update the read only registers
mem_host(KC705_SYS_CLK_HZ) <= std_logic_vector(to_unsigned(250_000_000,32));
mem_host(KC705_FRAME_CLK_HZ) <= std_logic_vector(to_unsigned(1000,32));
end if;
if (user_r_mem_32_rden = '1') then
user_r_mem_32_data <= mem_host(to_integer(unsigned(user_mem_32_addr)));
else
user_r_mem_32_data <= (others=>'0');
end if;
if (not (mem_host(KC705_RESET) = (x"00000000"))) then
confstate <= DEVRESET;
elsif (not (mem_host(HS_CONFIG_TRIG) = (x"00000000"))) then
confstate <= CONF;
else
confstate <= MEMUD;
end if;
conf_nack <= '0';
conf_ack <= '0';
dev_reset_out <= '0';
when CONF =>
--here initiate configuration to the headstage.
--right now always ACK
confstate <= ACK;
when ACK =>
--send ACK signal
conf_ack <= '1';
mem_host(HS_CONFIG_TRIG) <= (others=>'0'); --reset this to 0.
confstate <= MEMUD;
when NACK =>
conf_nack <= '1';
confstate <= MEMUD;
when DEVRESET => -- here we need to send a fresh device map to the host and set this register back to zero
dev_reset_out <= '1';
confstate <= MEMUD;
mem_host(KC705_RESET) <= (others=>'0');
end case;
end if;
end process;
end Behavioral; | mit | 5f949a6ae21b47d64d9c608209fd8f35 | 0.612324 | 2.917103 | false | true | false | false |
kjellhar/ArtixPi | spi_test/src/sim/spi_tb1.vhd | 1 | 2,829 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06/16/2016 06:00:47 AM
-- Design Name:
-- Module Name: spi_tb1 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity spi_tb1 is
-- Port ( );
end spi_tb1;
architecture Behavioral of spi_tb1 is
component top
Port ( clk1 : in STD_LOGIC;
clk1_en : out STD_LOGIC;
usr_led1 : out std_logic;
usr_led2 : out std_logic;
PI_GPIO8 : in std_logic; -- SPI SS_N
PI_GPIO9 : in std_logic; -- SPI_CLK
PI_GPIO10 : in std_logic; -- SPI_MOSI
PI_GPIO11 : out std_logic); -- SPI_MISO
end component;
signal clk1 : std_logic := '0';
signal clk1_en : std_logic;
signal usr_led1 : std_logic;
signal usr_led2 : std_logic;
signal spi_ss_n : std_logic := '1';
signal spi_clk : std_logic := '1';
signal spi_mosi : std_logic := '0';
signal spi_miso : std_logic;
signal test_run : std_logic := '0';
signal data : std_logic_vector (7 downto 0) := X"3E";
begin
process
variable index : integer := 0;
begin
wait for 100 ns;
test_run <= '1';
wait for 100 ns;
spi_ss_n <= '0';
wait for 5 us;
for index in 7 downto 0 loop
spi_mosi <= data(index);
spi_clk <= '0';
wait for 1 us;
spi_clk <= '1';
wait for 1 us;
end loop;
wait for 5 us;
spi_ss_n <= '1';
wait for 10 us;
test_run <= '0';
wait;
end process;
u_dut: top
Port map (
clk1 => clk1,
clk1_en => clk1_en,
usr_led1 => usr_led1,
usr_led2 => usr_led2,
PI_GPIO8 => spi_ss_n,
PI_GPIO9 => spi_clk,
PI_GPIO10 => spi_mosi,
PI_GPIO11 => spi_miso);
process
begin
wait until test_run='1';
if test_run='1' then
clk1 <= '0';
wait for 50 ns;
clk1 <= '1';
wait for 50 ns;
else
wait;
end if;
end process;
end Behavioral;
| gpl-3.0 | 856f05e270f3263c6388cd157d6dc5ce | 0.482503 | 3.727273 | false | false | false | false |
samrose3/trex-runner | CDiv.vhd | 1 | 667 | LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
ENTITY CDiv IS
PORT ( Cin : IN std_logic ;
Cout : OUT std_logic ) ;
END CDiv ;
ARCHITECTURE Behavior OF CDiv IS
constant TC: integer := 12; --Time Constant
signal c0,c1,c2,c3: integer range 0 to 1000;
signal D: std_logic := '0';
BEGIN
PROCESS(Cin)
BEGIN
if (Cin'event and Cin='1') then
c0 <= c0 + 1;
if c0 = TC then
c0 <= 0;
c1 <= c1 + 1;
elsif c1 = TC then
c1 <= 0;
c2 <= c2 + 1;
elsif c2 = TC then
c2 <= 0;
c3 <= c3 + 1;
elsif c3 = TC then
c3 <= 0;
D <= NOT D;
end if;
end if;
Cout <= D;
END PROCESS ;
END Behavior ;
| mit | d36d67c4164fffa2e1110789863ad005 | 0.532234 | 2.565385 | false | false | false | false |
iamllama/EE2020 | ee2020.ip_user_files/ip/dds_compiler_0/dds_compiler_0_sim_netlist.vhdl | 1 | 213,091 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
-- Date : Tue Mar 28 05:22:50 2017
-- Host : DESKTOP-B1QME94 running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/Users/sidxb/FPGA/ee2020/ee2020.runs/dds_compiler_0_synth_1/dds_compiler_0_sim_netlist.vhdl
-- Design : dds_compiler_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
UeUQOSqc517u4Gp21W1qcB44JkXjttQw3I9etxLnnrt3tkJ0d4uxhbBwSkc7IM9w0xxr7owGLR37
1Ii0/OYJsQ==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
kOXgzYTJC4GxJCP3UAJekjjYLOXKC9b70sFPvaIFCHz6zbI3mz+JUFPTpADGukAuJQCKiXWwYOBZ
MmBb8JugLkKE+O1iqIjgnplEt9Bnnc0cPnUeT9o1Q0bWLLOKk75pVanxsTWyvGhO5t3dBcHf76mm
DceLRrUeM7AAXcHNQP8=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
JeQtyj3Kal6oTj33H4A+stJ+V3DCiNJv8J7k4H0+dLfFYYJJ3jbUoUt90xE3PJrsmjZDUKwDIVOX
HWBDaCL3u44dq/L0M441Q3RfpW9QQqU0ai34/xEtkAvplg6Oe3ludzsYQZ7T2bjYDyh8NSDEu4PD
/ngBWkp/hfXUBkMQq3g=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
D+mEShAo+idVddojD4Ocf30d3PeQsjyupmNQjqsNdbpJFSb9AWyTI4HLKIImT0S50Zgb6LGKxa9h
26g8vXL3CdbVdP5O8FpM1809Abu5sfhEOCwdvtKWRwLRZt1+A/6C8nMHuYTLwrt4lXg1bU5c54n9
i12z+RFxTTeQUgM++Sl/RYKl7QJ7e+6a2bvs7RCI+NDk3Qaeos9nT6roJnfx2wpYOF4jStxFa2up
F5q2mhYTDOmLHpkBQCKAWc41vFlv1ZeWkv5nIa97hTbbuUW8GmJEmxKYO5Ix08oKP4QxHuiNF++X
v0t8M5z/+3rsLJl0oKiKofyP/dx+okR3PXDIyw==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
tcnuNu53+hchNr+pZ1NtakfiTYoR6SYivYJdM66R8/4XDELZLm46FZjh8e2MDPfDIe0TPxgXssIK
JBpdVvHEF3sN4ne8BH5Hig1m+5eYblKUujpGtmIpXovQKiu33+xi9YvN+S91R0i8O+wIG5Y8ZtSd
416fkpAXIqKUgtlCKXBPfNKh6pXB2wSYbWz3TlPOiCZhgXOn24ftBdQmq794Zo6QdyiBWEIqcHvf
cGxpfdy9soUWUFDgRcMQziQpv5Bf40FoOoFPc0PTxzAfe1PMhPuWIOtJwU7v2ehiljl8zfvKr43F
vafnOBmYmG/WIJ2D8gT8zcjKCOuzkEZD4/6LHw==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
CtAJ5i2Ss06xmVLrV4Tdrt3cQho/pCz9fbTCKJxQdDrBclu8FdA7n6uV/sbGH0tMaSievrFx2Jcw
lrfRQgsQbFyxSpn5PUFRabLV3UXwVpPqRPFv60hHW8dL6EBKTJRiEKGMFV/9GNtBclnQParE68gy
UWIYfWYlfU8odNKh63v3UlbKBdSSTudb0Ul16UHMxR9rOEcIVol8aLIxFF0XFN3SbjvZQYMrSrda
mdPrPZ6RZeEOu+2fjH5DVxI6YAiec9k48XHplaRfVHc5p6pbC8oywpPPg+e3mzZanroV2DYjAywn
LeUIPZxac7VkB/2/ioqm/Wqs+AR5+6YLStbDqg==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
YYMZkEZTfmiCDNKWhWZe/YB880UYvJbL4/P/nB2BjfBQy6HR3onXgyoqHMHOoiCTl5woPdu7Ih99
6B3xXvZp1CjogydFHwxFXzMtGJHwRfPBhINn893ICG+dNGhDBOHO0L6BadvfhtpFVD/btCvw2umB
RcNyYJT5dyj/d6g92MJbi9sFeh7xohbHa6tKA4GrKFKMDeCDJqCz7XEUMSlQqGmf9j8DL1p+RTb1
rjkYD4Nr7nD5pwZShkVfqoj8dKplLjvlwhXWdsMinEWMlSGb1igaZJ1GGAMV3mOcqlBkenv5rDgO
jU0IovVTmT/PnagBQei2D+8R2CxIE1FXDZOkrQ==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
S5NhCtkCTmzXwsHUiACDARPxZ8Zw+wyEthnXKU+DOCARC0IK6RFdtuzJDKtHkOHnj42JxV87iYHq
smDF8LPNofJaXxJ8t1RtrgW2J/9Qs37vseFueh9QEKROZTTPS/MBBcrPaVHz3KVGMCdsC8mSmmPQ
rESFT0Yk6VevMhqRU7/gDiMrkhFtS53UToU6YRRANGbjLkzzN2OAhh2nlnm6fZQQ368SK5U3sw6K
jSReRWjHGOpYAG3BJ9lKQNvXRQ95bVx2utwgdu1E2pJFHGGr8Ze1NwmkmVROJSZ/uhMaAQzwqiRT
gqbAs7Pxeg7HSyaWlZM145rkOqDVMFaQLoa+KA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 133568)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dds_compiler_0_dds_compiler_v6_0_13 is
port (
aclk : in STD_LOGIC;
aclken : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tready : out STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
s_axis_phase_tlast : in STD_LOGIC;
s_axis_phase_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tvalid : in STD_LOGIC;
s_axis_config_tready : out STD_LOGIC;
s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tlast : in STD_LOGIC;
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tready : in STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_data_tlast : out STD_LOGIC;
m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tvalid : out STD_LOGIC;
m_axis_phase_tready : in STD_LOGIC;
m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tlast : out STD_LOGIC;
m_axis_phase_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
event_pinc_invalid : out STD_LOGIC;
event_poff_invalid : out STD_LOGIC;
event_phase_in_invalid : out STD_LOGIC;
event_s_phase_tlast_missing : out STD_LOGIC;
event_s_phase_tlast_unexpected : out STD_LOGIC;
event_s_phase_chanid_incorrect : out STD_LOGIC;
event_s_config_tlast_missing : out STD_LOGIC;
event_s_config_tlast_unexpected : out STD_LOGIC;
debug_axi_pinc_in : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_axi_poff_in : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_axi_resync_in : out STD_LOGIC;
debug_axi_chan_in : out STD_LOGIC_VECTOR ( 0 to 0 );
debug_core_nd : out STD_LOGIC;
debug_phase : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_phase_nd : out STD_LOGIC
);
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of dds_compiler_0_dds_compiler_v6_0_13 : entity is 22;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of dds_compiler_0_dds_compiler_v6_0_13 : entity is 8;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of dds_compiler_0_dds_compiler_v6_0_13 : entity is 10000;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of dds_compiler_0_dds_compiler_v6_0_13 : entity is 16;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of dds_compiler_0_dds_compiler_v6_0_13 : entity is 12;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of dds_compiler_0_dds_compiler_v6_0_13 : entity is 12;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of dds_compiler_0_dds_compiler_v6_0_13 : entity is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of dds_compiler_0_dds_compiler_v6_0_13 : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of dds_compiler_0_dds_compiler_v6_0_13 : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of dds_compiler_0_dds_compiler_v6_0_13 : entity is 24;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of dds_compiler_0_dds_compiler_v6_0_13 : entity is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of dds_compiler_0_dds_compiler_v6_0_13 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of dds_compiler_0_dds_compiler_v6_0_13 : entity is "artix7";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dds_compiler_0_dds_compiler_v6_0_13 : entity is "dds_compiler_v6_0_13";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of dds_compiler_0_dds_compiler_v6_0_13 : entity is "yes";
end dds_compiler_0_dds_compiler_v6_0_13;
architecture STRUCTURE of dds_compiler_0_dds_compiler_v6_0_13 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axis_data_tdata\ : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_i_synth_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_phase_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_m_axis_data_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 14 downto 11 );
signal NLW_i_synth_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_m_axis_phase_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH of i_synth : label is 22;
attribute C_AMPLITUDE of i_synth : label is 1;
attribute C_CHANNELS of i_synth : label is 1;
attribute C_CHAN_WIDTH of i_synth : label is 1;
attribute C_DEBUG_INTERFACE of i_synth : label is 0;
attribute C_HAS_ACLKEN of i_synth : label is 0;
attribute C_HAS_ARESETN of i_synth : label is 0;
attribute C_HAS_M_DATA of i_synth : label is 1;
attribute C_HAS_M_PHASE of i_synth : label is 0;
attribute C_HAS_PHASEGEN of i_synth : label is 1;
attribute C_HAS_PHASE_OUT of i_synth : label is 0;
attribute C_HAS_SINCOS of i_synth : label is 1;
attribute C_HAS_S_CONFIG of i_synth : label is 0;
attribute C_HAS_S_PHASE of i_synth : label is 1;
attribute C_HAS_TLAST of i_synth : label is 0;
attribute C_HAS_TREADY of i_synth : label is 0;
attribute C_LATENCY of i_synth : label is 8;
attribute C_MEM_TYPE of i_synth : label is 1;
attribute C_MODE_OF_OPERATION of i_synth : label is 0;
attribute C_MODULUS of i_synth : label is 10000;
attribute C_M_DATA_HAS_TUSER of i_synth : label is 0;
attribute C_M_DATA_TDATA_WIDTH of i_synth : label is 16;
attribute C_M_DATA_TUSER_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_M_PHASE_TDATA_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_NEGATIVE_COSINE of i_synth : label is 0;
attribute C_NEGATIVE_SINE of i_synth : label is 0;
attribute C_NOISE_SHAPING of i_synth : label is 0;
attribute C_OPTIMISE_GOAL of i_synth : label is 1;
attribute C_OUTPUTS_REQUIRED of i_synth : label is 0;
attribute C_OUTPUT_FORM of i_synth : label is 0;
attribute C_OUTPUT_WIDTH of i_synth : label is 12;
attribute C_PHASE_ANGLE_WIDTH of i_synth : label is 12;
attribute C_PHASE_INCREMENT of i_synth : label is 3;
attribute C_PHASE_INCREMENT_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET of i_synth : label is 0;
attribute C_PHASE_OFFSET_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE of i_synth : label is 0;
attribute C_RESYNC of i_synth : label is 0;
attribute C_S_CONFIG_SYNC_MODE of i_synth : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH of i_synth : label is 1;
attribute C_S_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_S_PHASE_TDATA_WIDTH of i_synth : label is 24;
attribute C_S_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_USE_DSP48 of i_synth : label is 0;
attribute C_XDEVICEFAMILY of i_synth : label is "artix7";
attribute downgradeipidentifiedwarnings of i_synth : label is "yes";
begin
debug_axi_chan_in(0) <= \<const0>\;
debug_axi_pinc_in(21) <= \<const0>\;
debug_axi_pinc_in(20) <= \<const0>\;
debug_axi_pinc_in(19) <= \<const0>\;
debug_axi_pinc_in(18) <= \<const0>\;
debug_axi_pinc_in(17) <= \<const0>\;
debug_axi_pinc_in(16) <= \<const0>\;
debug_axi_pinc_in(15) <= \<const0>\;
debug_axi_pinc_in(14) <= \<const0>\;
debug_axi_pinc_in(13) <= \<const0>\;
debug_axi_pinc_in(12) <= \<const0>\;
debug_axi_pinc_in(11) <= \<const0>\;
debug_axi_pinc_in(10) <= \<const0>\;
debug_axi_pinc_in(9) <= \<const0>\;
debug_axi_pinc_in(8) <= \<const0>\;
debug_axi_pinc_in(7) <= \<const0>\;
debug_axi_pinc_in(6) <= \<const0>\;
debug_axi_pinc_in(5) <= \<const0>\;
debug_axi_pinc_in(4) <= \<const0>\;
debug_axi_pinc_in(3) <= \<const0>\;
debug_axi_pinc_in(2) <= \<const0>\;
debug_axi_pinc_in(1) <= \<const0>\;
debug_axi_pinc_in(0) <= \<const0>\;
debug_axi_poff_in(21) <= \<const0>\;
debug_axi_poff_in(20) <= \<const0>\;
debug_axi_poff_in(19) <= \<const0>\;
debug_axi_poff_in(18) <= \<const0>\;
debug_axi_poff_in(17) <= \<const0>\;
debug_axi_poff_in(16) <= \<const0>\;
debug_axi_poff_in(15) <= \<const0>\;
debug_axi_poff_in(14) <= \<const0>\;
debug_axi_poff_in(13) <= \<const0>\;
debug_axi_poff_in(12) <= \<const0>\;
debug_axi_poff_in(11) <= \<const0>\;
debug_axi_poff_in(10) <= \<const0>\;
debug_axi_poff_in(9) <= \<const0>\;
debug_axi_poff_in(8) <= \<const0>\;
debug_axi_poff_in(7) <= \<const0>\;
debug_axi_poff_in(6) <= \<const0>\;
debug_axi_poff_in(5) <= \<const0>\;
debug_axi_poff_in(4) <= \<const0>\;
debug_axi_poff_in(3) <= \<const0>\;
debug_axi_poff_in(2) <= \<const0>\;
debug_axi_poff_in(1) <= \<const0>\;
debug_axi_poff_in(0) <= \<const0>\;
debug_axi_resync_in <= \<const0>\;
debug_core_nd <= \<const0>\;
debug_phase(21) <= \<const0>\;
debug_phase(20) <= \<const0>\;
debug_phase(19) <= \<const0>\;
debug_phase(18) <= \<const0>\;
debug_phase(17) <= \<const0>\;
debug_phase(16) <= \<const0>\;
debug_phase(15) <= \<const0>\;
debug_phase(14) <= \<const0>\;
debug_phase(13) <= \<const0>\;
debug_phase(12) <= \<const0>\;
debug_phase(11) <= \<const0>\;
debug_phase(10) <= \<const0>\;
debug_phase(9) <= \<const0>\;
debug_phase(8) <= \<const0>\;
debug_phase(7) <= \<const0>\;
debug_phase(6) <= \<const0>\;
debug_phase(5) <= \<const0>\;
debug_phase(4) <= \<const0>\;
debug_phase(3) <= \<const0>\;
debug_phase(2) <= \<const0>\;
debug_phase(1) <= \<const0>\;
debug_phase(0) <= \<const0>\;
debug_phase_nd <= \<const0>\;
event_phase_in_invalid <= \<const0>\;
event_pinc_invalid <= \<const0>\;
event_poff_invalid <= \<const0>\;
event_s_config_tlast_missing <= \<const0>\;
event_s_config_tlast_unexpected <= \<const0>\;
event_s_phase_chanid_incorrect <= \<const0>\;
event_s_phase_tlast_unexpected <= \<const0>\;
m_axis_data_tdata(15) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(14) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(13) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(12) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(11 downto 0) <= \^m_axis_data_tdata\(11 downto 0);
m_axis_data_tlast <= \<const0>\;
m_axis_data_tuser(0) <= \<const0>\;
m_axis_phase_tdata(0) <= \<const0>\;
m_axis_phase_tlast <= \<const0>\;
m_axis_phase_tuser(0) <= \<const0>\;
m_axis_phase_tvalid <= \<const0>\;
s_axis_config_tready <= \<const1>\;
s_axis_phase_tready <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
i_synth: entity work.dds_compiler_0_dds_compiler_v6_0_13_viv
port map (
aclk => aclk,
aclken => '0',
aresetn => '0',
debug_axi_chan_in(0) => NLW_i_synth_debug_axi_chan_in_UNCONNECTED(0),
debug_axi_pinc_in(21 downto 0) => NLW_i_synth_debug_axi_pinc_in_UNCONNECTED(21 downto 0),
debug_axi_poff_in(21 downto 0) => NLW_i_synth_debug_axi_poff_in_UNCONNECTED(21 downto 0),
debug_axi_resync_in => NLW_i_synth_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_i_synth_debug_core_nd_UNCONNECTED,
debug_phase(21 downto 0) => NLW_i_synth_debug_phase_UNCONNECTED(21 downto 0),
debug_phase_nd => NLW_i_synth_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_i_synth_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_i_synth_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_i_synth_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_i_synth_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_i_synth_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_i_synth_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => event_s_phase_tlast_missing,
event_s_phase_tlast_unexpected => NLW_i_synth_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(15) => \^m_axis_data_tdata\(11),
m_axis_data_tdata(14 downto 11) => NLW_i_synth_m_axis_data_tdata_UNCONNECTED(14 downto 11),
m_axis_data_tdata(10 downto 0) => \^m_axis_data_tdata\(10 downto 0),
m_axis_data_tlast => NLW_i_synth_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => '0',
m_axis_data_tuser(0) => NLW_i_synth_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(0) => NLW_i_synth_m_axis_phase_tdata_UNCONNECTED(0),
m_axis_phase_tlast => NLW_i_synth_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => '0',
m_axis_phase_tuser(0) => NLW_i_synth_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => NLW_i_synth_m_axis_phase_tvalid_UNCONNECTED,
s_axis_config_tdata(0) => '0',
s_axis_config_tlast => '0',
s_axis_config_tready => NLW_i_synth_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => '0',
s_axis_phase_tdata(23 downto 22) => B"00",
s_axis_phase_tdata(21 downto 0) => s_axis_phase_tdata(21 downto 0),
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_i_synth_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dds_compiler_0 is
port (
aclk : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of dds_compiler_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of dds_compiler_0 : entity is "dds_compiler_0,dds_compiler_v6_0_13,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of dds_compiler_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of dds_compiler_0 : entity is "dds_compiler_v6_0_13,Vivado 2016.4";
end dds_compiler_0;
architecture STRUCTURE of dds_compiler_0 is
signal NLW_U0_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of U0 : label is 22;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of U0 : label is 1;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of U0 : label is 1;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of U0 : label is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of U0 : label is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of U0 : label is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of U0 : label is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of U0 : label is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of U0 : label is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of U0 : label is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of U0 : label is 0;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of U0 : label is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of U0 : label is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of U0 : label is 1;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of U0 : label is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 8;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of U0 : label is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of U0 : label is 10000;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of U0 : label is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of U0 : label is 16;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of U0 : label is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of U0 : label is 1;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of U0 : label is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of U0 : label is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of U0 : label is 0;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of U0 : label is 1;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of U0 : label is 0;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of U0 : label is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of U0 : label is 12;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of U0 : label is 12;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of U0 : label is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of U0 : label is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of U0 : label is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of U0 : label is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of U0 : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of U0 : label is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of U0 : label is 24;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "artix7";
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.dds_compiler_0_dds_compiler_v6_0_13
port map (
aclk => aclk,
aclken => '1',
aresetn => '1',
debug_axi_chan_in(0) => NLW_U0_debug_axi_chan_in_UNCONNECTED(0),
debug_axi_pinc_in(21 downto 0) => NLW_U0_debug_axi_pinc_in_UNCONNECTED(21 downto 0),
debug_axi_poff_in(21 downto 0) => NLW_U0_debug_axi_poff_in_UNCONNECTED(21 downto 0),
debug_axi_resync_in => NLW_U0_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_U0_debug_core_nd_UNCONNECTED,
debug_phase(21 downto 0) => NLW_U0_debug_phase_UNCONNECTED(21 downto 0),
debug_phase_nd => NLW_U0_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_U0_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_U0_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_U0_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_U0_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => NLW_U0_event_s_phase_tlast_missing_UNCONNECTED,
event_s_phase_tlast_unexpected => NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(15 downto 0) => m_axis_data_tdata(15 downto 0),
m_axis_data_tlast => NLW_U0_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => '0',
m_axis_data_tuser(0) => NLW_U0_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(0) => NLW_U0_m_axis_phase_tdata_UNCONNECTED(0),
m_axis_phase_tlast => NLW_U0_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => '0',
m_axis_phase_tuser(0) => NLW_U0_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => NLW_U0_m_axis_phase_tvalid_UNCONNECTED,
s_axis_config_tdata(0) => '0',
s_axis_config_tlast => '0',
s_axis_config_tready => NLW_U0_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => '0',
s_axis_phase_tdata(23 downto 0) => s_axis_phase_tdata(23 downto 0),
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_U0_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
| gpl-3.0 | e3fbe56c50a8bc1380c603d661f7c561 | 0.918218 | 1.930067 | false | false | false | false |
samrose3/trex-runner | top.vhd | 1 | 18,379 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.STD_LOGIC_UNSIGNED.all;
use ieee.numeric_std.ALL;
entity top is
port(
clk: in std_logic;
-- User Input
reset: in std_logic;
jump: in std_logic;
-- VGA
hsync: out std_logic;
vsync: out std_logic;
Red: out std_logic_vector(2 downto 0);
Green: out std_logic_vector(2 downto 0);
Blue: out std_logic_vector(2 downto 1);
-- 7 Seg Display
segments : out std_logic_vector (7 downto 0);
anodes : out std_logic_vector (0 to 3)
);
end top;
architecture Behavioral of top is
constant PIX : integer := 16;
constant ROWS : integer := 30;
constant COLS : integer := 40;
constant T_FAC : integer := 100000;
constant RAN_WIDTH : integer := 5;
-- Speed
constant cloudSpeed : integer := 60;
constant cactusSpeed : integer := 40;
constant pteroSpeed : integer := 30;
constant trexSpeed : integer := 25;
-- VGA Sigs
signal hCount: integer := 640;
signal vCount: integer := 480;
signal nextHCount: integer := 641;
signal nextVCount: integer := 480;
-- T-Rex
signal trexX: integer := 8;
signal trexY: integer := 24;
-- Pterodactyl
signal pteroX: integer := COLS*3;
signal pteroY: integer := 21;
-- Clouds
signal cloudX_1: integer := COLS;
signal cloudY_1: integer := 8;
signal cloudX_2: integer := COLS + (COLS/2);
signal cloudY_2: integer := 18;
-- Cactus
signal resetGame : std_logic := '0';
signal cactusX_1: integer := COLS;
signal cactusX_2: integer := COLS + (COLS/2);
signal cactusX_3: integer := COLS + COLS;
signal cactusY: integer := 24;
-- Game Logic
signal gameOver : std_logic := '0';
signal isJumping : std_logic := '0';
signal gameSpeed: integer := 0;
signal rand_num : integer := 0;
-- COMPONENT SIGNALS
signal sclock, cleanJump : std_logic;
signal d0, d10, d100 : std_logic_vector (3 downto 0);
signal disp1, disp2, disp3 : std_logic_vector (6 downto 0);
-- Sprites
type sprite_block is array(0 to 15, 0 to 15) of integer range 0 to 1;
constant trex_1: sprite_block:=((0,0,0,0,0,0,0,0,1,1,1,1,1,1,0,0), -- 0
(0,0,0,0,0,0,0,1,1,0,1,1,1,1,1,1), -- 1
(0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1), -- 2
(0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1), -- 3
(0,0,0,0,0,0,0,1,1,1,1,1,0,0,0,0), -- 4
(0,0,0,0,0,0,0,1,1,1,1,1,1,1,0,0), -- 5
(0,0,0,0,0,0,1,1,1,1,0,0,0,0,0,0), -- 6
(1,0,0,0,0,1,1,1,1,1,1,1,1,1,0,0), -- 7
(1,1,0,0,1,1,1,1,1,1,1,0,0,1,0,0), -- 8
(1,1,1,1,1,1,1,1,1,1,1,0,0,0,0,0), -- 9
(0,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0), -- 10
(0,0,1,1,1,1,1,1,1,1,0,0,0,0,0,0), -- 11
(0,0,0,0,1,1,1,1,1,0,0,0,0,0,0,0), -- 12
(0,0,0,0,0,1,0,0,1,1,0,0,0,0,0,0), -- 13
(0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0), -- 14
(0,0,0,0,0,1,1,0,0,0,0,0,0,0,0,0));-- 15
constant trex_2: sprite_block:=((0,0,0,0,0,0,0,0,1,1,1,1,1,1,0,0), -- 0
(0,0,0,0,0,0,0,1,1,0,1,1,1,1,1,1), -- 1
(0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1), -- 2
(0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1), -- 3
(0,0,0,0,0,0,0,1,1,1,1,1,0,0,0,0), -- 4
(0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,0), -- 5
(0,0,0,0,0,0,1,1,1,1,0,0,0,0,0,0), -- 6
(1,0,0,0,0,1,1,1,1,1,1,1,1,1,0,0), -- 7
(1,1,0,0,1,1,1,1,1,1,1,0,0,1,0,0), -- 8
(1,1,1,1,1,1,1,1,1,1,1,0,0,0,0,0), -- 9
(0,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0), -- 10
(0,0,1,1,1,1,1,1,1,1,0,0,0,0,0,0), -- 11
(0,0,0,0,1,1,1,1,1,0,0,0,0,0,0,0), -- 12
(0,0,0,0,0,1,0,0,1,0,0,0,0,0,0,0), -- 13
(0,0,0,0,0,1,1,0,1,0,0,0,0,0,0,0), -- 14
(0,0,0,0,0,0,0,0,1,1,0,0,0,0,0,0));-- 15
constant trex_dead: sprite_block:=( (0,0,0,0,0,0,0,0,1,1,1,1,1,1,0,0), -- 0
(0,0,0,0,0,0,0,1,0,0,0,1,1,1,1,1), -- 1
(0,0,0,0,0,0,0,1,0,1,0,1,1,1,1,1), -- 2
(0,0,0,0,0,0,0,1,0,0,0,1,1,1,1,1), -- 3
(0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1), -- 4
(0,0,0,0,0,0,0,1,1,1,1,1,1,1,0,0), -- 5
(0,0,0,0,0,0,1,1,1,1,0,0,0,0,0,0), -- 6
(1,0,0,0,0,1,1,1,1,1,1,1,1,1,0,0), -- 7
(1,1,0,0,1,1,1,1,1,1,1,0,0,1,0,0), -- 8
(1,1,1,1,1,1,1,1,1,1,1,0,0,0,0,0), -- 9
(0,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0), -- 10
(0,0,1,1,1,1,1,1,1,1,0,0,0,0,0,0), -- 11
(0,0,0,0,1,1,1,1,1,0,0,0,0,0,0,0), -- 12
(0,0,0,0,0,1,0,0,1,0,0,0,0,0,0,0), -- 13
(0,0,0,0,0,1,0,0,1,0,0,0,0,0,0,0), -- 14
(0,0,0,0,0,1,1,0,1,1,0,0,0,0,0,0));-- 15
constant cactus: sprite_block :=((0,0,0,0,0,0,0,1,1,0,0,0,0,0,0,0), -- 0
(0,0,0,0,0,0,0,1,1,1,0,0,0,0,0,0), -- 1
(0,0,0,0,0,0,0,1,1,1,0,0,0,0,0,0), -- 2
(0,0,0,0,0,0,0,1,1,1,0,0,0,0,0,0), -- 3
(0,0,0,0,0,1,0,1,1,1,0,1,0,0,0,0), -- 4
(0,0,0,0,1,1,0,1,1,1,0,1,0,0,0,0), -- 5
(0,0,0,0,1,1,0,1,1,1,0,1,0,0,0,0), -- 6
(0,0,0,0,1,1,0,1,1,1,0,1,0,0,0,0), -- 7
(0,0,0,0,1,1,0,1,1,1,0,1,0,0,0,0), -- 8
(0,0,0,0,1,1,1,1,1,1,1,1,0,0,0,0), -- 9
(0,0,0,0,0,1,1,1,1,1,0,0,0,0,0,0), -- 10
(0,0,0,0,0,0,0,1,1,1,0,0,0,0,0,0), -- 11
(0,0,0,0,0,0,0,1,1,1,0,0,0,0,0,0), -- 12
(0,0,0,0,0,0,0,1,1,1,0,0,0,0,0,0), -- 13
(0,0,0,0,0,0,0,1,1,1,0,0,0,0,0,0), -- 14
(0,0,0,0,0,0,0,1,1,1,0,0,0,0,0,0));-- 15
constant ptero_1: sprite_block:=((0,0,0,0,0,0,1,1,0,0,0,0,0,0,0,0), -- 0
(0,0,0,0,0,0,1,1,1,0,0,0,0,0,0,0), -- 1
(0,0,0,0,0,0,0,1,1,1,0,0,0,0,0,0), -- 2
(0,0,0,1,1,0,0,1,1,1,1,0,0,0,0,0), -- 3
(0,0,1,1,1,0,0,1,1,1,1,1,0,0,0,0), -- 4
(0,1,1,1,1,0,0,1,1,1,1,1,1,0,0,0), -- 5
(1,1,1,1,1,1,1,1,1,1,1,1,1,0,0,0), -- 6
(0,0,0,0,0,1,1,1,1,1,1,1,1,1,1,1), -- 7
(0,0,0,0,0,0,1,1,1,1,1,1,1,1,0,0), -- 8
(0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,0), -- 9
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 11
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 10
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 12
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 13
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 14
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0));-- 15
constant ptero_2: sprite_block:=((0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 0
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 1
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 2
(0,0,0,1,1,0,0,0,0,0,0,0,0,0,0,0), -- 3
(0,0,1,1,1,0,0,0,0,0,0,0,0,0,0,0), -- 4
(0,1,1,1,1,0,0,0,0,0,0,0,0,0,0,0), -- 5
(1,1,1,1,1,1,1,1,1,1,1,0,0,0,0,0), -- 6
(0,0,0,0,0,1,1,1,1,1,1,1,1,1,1,1), -- 7
(0,0,0,0,0,0,1,1,1,1,1,1,1,1,0,0), -- 8
(0,0,0,0,0,0,1,1,1,1,1,1,1,1,1,0), -- 9
(0,0,0,0,0,0,1,1,1,1,1,0,0,0,0,0), -- 10
(0,0,0,0,0,0,1,1,1,0,0,0,0,0,0,0), -- 11
(0,0,0,0,0,0,1,1,0,0,0,0,0,0,0,0), -- 12
(0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0), -- 13
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 14
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0));-- 15
constant cloud: sprite_block:=( (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 0
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 1
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 2
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 3
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 4
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 5
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 6
(0,0,0,0,0,0,1,1,1,1,1,0,0,0,0,0), -- 7
(0,0,0,0,0,1,1,0,0,0,1,1,1,1,0,0), -- 8
(0,1,1,1,1,1,0,0,0,0,0,0,0,1,1,1), -- 9
(1,1,0,0,0,0,0,0,0,0,0,0,0,0,0,1), -- 10
(1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1), -- 11
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 12
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 13
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0), -- 14
(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0));-- 15
type color_arr is array(0 to 1) of std_logic_vector(7 downto 0);
constant sprite_color : color_arr := ("11011111", "00000000");
-- COMPONENTS
-- Clock Divider
component Debo
port ( clk : in std_logic;
key : in std_logic;
pulse : out std_logic
);
end component;
-- Clock Divider
component CDiv
port ( Cin : in std_logic;
Cout : out std_logic
);
end component;
-- Counter
component Counter
port ( clk : in std_logic;
countup : in std_logic;
reset: in std_logic;
d0 : out std_logic_vector(3 downto 0);
d10 : out std_logic_vector(3 downto 0);
d100 : out std_logic_vector(3 downto 0));
end component;
--Bcd to Seg Decoder
component BcdSegDecoder
port(clk : in std_logic;
bcd : in std_logic_vector (3 downto 0);
segment7 : out std_logic_vector (6 downto 0));
end component;
--Segment Driver
component SegmentDriver
port(disp1 : in std_logic_vector (6 downto 0);
disp2 : in std_logic_vector (6 downto 0);
disp3 : in std_logic_vector (6 downto 0);
disp4 : in std_logic_vector (6 downto 0);
clk : in std_logic;
display_seg : out std_logic_vector (6 downto 0);
display_ena : out std_logic_vector (3 downto 0));
end component;
-- Behaviour Block
begin
segments(0) <= '1';
-- COMPONENTS
JumpDebo: Debo port map (clk => sclock,
key => jump,
pulse => cleanJump);
SegClock: CDiv port map (Cin => clk,
Cout => sclock);
ScoreCounter: Counter
port map( clk => clk,
countup => not gameOver,
reset => resetGame,
d0 => d0,
d10 => d10,
d100 => d100);
--d1000 => d1000);
Digit1: BcdSegDecoder
port map ( clk => clk,
bcd => d0,
segment7 => disp1);
Digit2: BcdSegDecoder
port map ( clk => clk,
bcd => d10,
segment7 => disp2);
Digit3: BcdSegDecoder
port map ( clk => clk,
bcd => d100,
segment7 => disp3);
Driver: SegmentDriver
port map ( disp1 => disp1,
disp2 => disp2,
disp3 => disp3,
disp4 => "1111111",
clk => sclock,
display_seg => segments(7 downto 1),
display_ena => anodes);
-- PROCESSES
vgaSignal: process(clk)
variable sprite_x : integer := 0;
variable sprite_y : integer := 0;
variable prescalerCount: integer := 0;
variable prescaler: integer := 5000000;
variable divide_by_2 : std_logic := '0';
variable rgbDrawColor : std_logic_vector(7 downto 0) := (others => '0');
begin
if clk'event and clk = '1' then
if reset = '1' then
hsync <= '1';
vsync <= '1';
hCount <= 640;
vCount <= 480;
nextHCount <= 641;
nextVCount <= 480;
rgbDrawColor := (others => '0');
divide_by_2 := '0';
else
-- Running at 25 Mhz (50 Mhz / 2)
if divide_by_2 = '1' then
if(hCount = 799) then
hCount <= 0;
if(vCount = 524) then
vCount <= 0;
else
vCount <= vCount + 1;
end if;
else
hCount <= hCount + 1;
end if;
-- horizontal rollover
if (nextHCount = 799) then
nextHCount <= 0;
-- vertical rollover
if (nextVCount = 524) then
nextVCount <= 0;
else
nextVCount <= vCount + 1;
end if;
else
nextHCount <= hCount + 1;
end if;
-- trigger vsync and hsync pulses
if (vCount >= 490 and vCount < 492) then
vsync <= '0';
else
vsync <= '1';
end if;
if (hCount >= 656 and hCount < 752) then
hsync <= '0';
else
hsync <= '1';
end if;
-- in display range
if (hCount < 640 and vCount < 480) then
-- Default is background
rgbDrawColor := "110" & "111" & "11";
sprite_x := hCount mod PIX;
sprite_y := vCount mod PIX;
-- Cloud1
if ((hCount / PIX) = cloudX_1) and ((vCount / PIX) = cloudY_1) then
rgbDrawColor := sprite_color(cloud(sprite_y, sprite_x));
end if;
-- Cloud2
if ((hCount / PIX) = cloudX_2) and ((vCount / PIX) = cloudY_2) then
rgbDrawColor := sprite_color(cloud(sprite_y, sprite_x));
end if;
-- Cactus1
if ((hCount / PIX) = cactusX_1) and ((vCount / PIX) = cactusY) then
rgbDrawColor := sprite_color(cactus(sprite_y, sprite_x));
end if;
-- Cactus2
if ((hCount / PIX) = cactusX_2) and ((vCount / PIX) = cactusY) then
rgbDrawColor := sprite_color(cactus(sprite_y, sprite_x));
end if;
-- Cactus3
if ((hCount / PIX) = cactusX_3) and ((vCount / PIX) = cactusY) then
rgbDrawColor := sprite_color(cactus(sprite_y, sprite_x));
end if;
-- Pterodactyl
if ((hCount / PIX) = pteroX) and ((vCount / PIX) = pteroY) then
if (gameOver = '1') or (prescalerCount <= prescaler) then
rgbDrawColor := sprite_color(ptero_1(sprite_y, sprite_x));
elsif (prescalerCount > prescaler and prescalerCount <= prescaler*2) then
rgbDrawColor := sprite_color(ptero_2(sprite_y, sprite_x));
else
prescalerCount := 0;
rgbDrawColor := sprite_color(ptero_2(sprite_y, sprite_x));
end if;
end if;
-- T-Rex
if ((hCount / PIX) = trexX) and ((vCount / PIX) = trexY) then
if (gameOver = '1') then
rgbDrawColor := sprite_color(trex_dead(sprite_y, sprite_x));
elsif (prescalerCount <= prescaler) then
rgbDrawColor := sprite_color(trex_1(sprite_y, sprite_x));
elsif (prescalerCount > prescaler and prescalerCount <= prescaler*2) then
rgbDrawColor := sprite_color(trex_2(sprite_y, sprite_x));
else
prescalerCount := 0;
rgbDrawColor := sprite_color(trex_2(sprite_y, sprite_x));
end if;
end if;
-- Ground
if ((vCount / PIX) = 24) then
if ((vCount mod PIX) = (PIX - 4)) then
rgbDrawColor := "000" & "000" & "00";
end if;
end if;
-- Show dem colors
Red <= rgbDrawColor(7 downto 5);
Green <= rgbDrawColor(4 downto 2);
Blue <= rgbDrawColor(1 downto 0);
else
Red <= "000";
Green <= "000";
Blue <= "00";
end if;
end if;
divide_by_2 := not divide_by_2;
prescalerCount := prescalerCount + 1;
end if;
end if;
end process;
gameLogic: process(clk, cleanJump)
variable endGame: std_logic := '0';
variable trexCount: integer := 0;
variable cactusCount: integer := 0;
variable pteroCount: integer := 0;
variable cloudCount: integer := 0;
variable waitCount: integer := 0;
variable waitTime: integer := T_FAC*40*25;
-- Random Number Signals
variable rand_temp : std_logic_vector(RAN_WIDTH - 1 downto 0):=(RAN_WIDTH - 1 => '1',others => '0');
variable temp : std_logic := '0';
begin
if clk'event and clk = '1' then
-- Generate Random Number
temp := rand_temp(RAN_WIDTH - 1) xor rand_temp(RAN_WIDTH - 2);
rand_temp(RAN_WIDTH - 1 downto 1) := rand_temp(RAN_WIDTH - 2 downto 0);
rand_temp(0) := temp;
rand_num <= to_integer(unsigned(rand_temp));
-- Adjust game speed
if gameSpeed < 20 and d0 = "0101" then
gameSpeed <= gameSpeed + 5;
end if;
-- Jump Logic
if cleanJump = '1' and trexY = 24 then
if (gameOver = '0') then
isJumping <= '1';
trexCount := 0;
end if;
end if;
-- Trex Jump animation
if trexCount >= T_FAC * trexSpeed then
if isJumping = '1' then
if (trexY > 20) then
trexY <= trexY - 1;
else
isJumping <= '0';
end if;
trexCount := 0;
else
if (trexY < 24) then
trexY <= trexY + 1;
end if;
trexCount := 0;
end if;
end if;
trexCount := trexCount + 1;
-- Detect Hit Cactus
if (trexY = cactusY) and ((trexX = cactusX_1) or (trexX = cactusX_2) or (trexX = cactusX_3)) then
endGame := '1';
end if;
-- Detect Hit Pterodactyl
if (trexY = pteroY) and (trexX = pteroX) then
endGame := '1';
end if;
gameOver <= endGame;
-- Game Over
if endGame = '1' then
if waitCount >= waitTime then
trexX <= 8;
trexY <= 24;
endGame := '0';
waitCount := 0;
resetGame <= '1';
end if;
waitCount := waitCount + 1;
end if;
if resetGame = '1' then
cactusX_1 <= COLS;
cactusX_2 <= COLS + (COLS/2);
cactusX_3 <= COLS + COLS;
cloudX_1 <= COLS;
cloudX_2 <= COLS + (COLS/2);
pteroX <= COLS + COLS;
gameSpeed <= 0;
resetGame <= '0';
else
-- Cactus Movement
if (endGame = '0') and (cactusCount >= T_FAC * cactusSpeed - gameSpeed) then
if (cactusX_1 <= 0) then
cactusX_1 <= COLS + rand_num;
elsif (cactusX_2 <= 0) then
cactusX_2 <= COLS + rand_num;
elsif (cactusX_3 <= 0) then
cactusX_3 <= COLS + rand_num;
else
cactusX_1 <= cactusX_1 - 1;
cactusX_2 <= cactusX_2 - 1;
cactusX_3 <= cactusX_3 - 1;
end if;
cactusCount := 0;
end if;
cactusCount := cactusCount + 1;
-- Pterodactyl Movement
if (endGame = '0') and (pteroCount >= T_FAC * pteroSpeed - gameSpeed) and (d10 >= "0001") then
if pteroX <= 0 then
pteroX <= COLS + (COLS/2) + rand_num;
else
pteroX <= pteroX - 1;
end if;
pteroCount := 0;
end if;
pteroCount := pteroCount + 1;
-- Cloud Movement
if (endGame = '0') and (cloudCount >= T_FAC * cloudSpeed) then
if cloudX_1 <= 0 then
cloudX_1 <= COLS + (COLS/2);
elsif cloudX_2 <= 0 then
cloudX_2 <= COLS + (COLS/2);
else
cloudX_1 <= cloudX_1 - 1;
cloudX_2 <= cloudX_2 - 1;
end if;
cloudCount := 0;
end if;
cloudCount := cloudCount + 1;
end if;
end if; -- end clock event
end process;
end Behavioral;
| mit | 118f6667f9b5189ce891cec27994ca05 | 0.483704 | 2.304866 | false | false | false | false |
zhlinh/vhdl_course | Assignment/IMG_LSB/LSB_DETECT.vhd | 1 | 5,281 | --LSB_DETECT entity
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE WORK.MYTYPE.ALL;
ENTITY LSB_DETECT IS
PORT(ENABLE: IN STD_LOGIC;
CLK: IN STD_LOGIC;
RESET: IN STD_LOGIC;
Y_IN: IN COLOR;
RESULT: OUT STD_LOGIC_VECTOR(2 DOWNTO 0));
END ENTITY LSB_DETECT;
ARCHITECTURE ART1 OF LSB_DETECT IS
TYPE A_STATETYPE IS (SA1,SA2,SA3,SA4,SA5,SA6);
TYPE B_STATETYPE IS (SB1,SB2,SB3,SB4,SB5,SB6);
TYPE C_STATETYPE IS (SC1,SC2,SC3,SC4,SC5,SC6);
SIGNAL A_PRESENT_STATE,A_NEXT_STATE: A_STATETYPE;
SIGNAL B_PRESENT_STATE,B_NEXT_STATE: B_STATETYPE;
SIGNAL C_PRESENT_STATE,C_NEXT_STATE: C_STATETYPE;
SIGNAL DIN: STD_LOGIC;
BEGIN
COMB_PROCESS_1: PROCESS(DIN,A_PRESENT_STATE)
BEGIN
CASE A_PRESENT_STATE IS
WHEN SA1=> RESULT(0)<='0';
IF DIN='0' THEN
A_NEXT_STATE<=SA2;
ELSE
A_NEXT_STATE<=SA1;
END IF;
WHEN SA2=> RESULT(0)<='0';
IF DIN='0' THEN
A_NEXT_STATE<=SA2;
ELSE
A_NEXT_STATE<=SA3;
END IF;
WHEN SA3=> RESULT(0)<='0';
IF DIN='0' THEN
A_NEXT_STATE<=SA2;
ELSE
A_NEXT_STATE<=SA4;
END IF;
WHEN SA4=> RESULT(0)<='0';
IF DIN='0' THEN
A_NEXT_STATE<=SA5;
ELSE
A_NEXT_STATE<=SA1;
END IF;
WHEN SA5=> RESULT(0)<='0';
IF DIN='0' THEN
A_NEXT_STATE<=SA2;
ELSE
A_NEXT_STATE<=SA6;
END IF;
WHEN SA6=> RESULT(0)<='1';
IF DIN='0' THEN
A_NEXT_STATE<=SA2;
ELSE
A_NEXT_STATE<=SA1;
END IF;
END CASE;
END PROCESS;
COMB_PROCESS_2: PROCESS(DIN,B_PRESENT_STATE)
BEGIN
CASE B_PRESENT_STATE IS
WHEN SB1=> RESULT(1)<='0';
IF DIN='0' THEN
B_NEXT_STATE<=SB1;
ELSE
B_NEXT_STATE<=SB2;
END IF;
WHEN SB2=> RESULT(1)<='0';
IF DIN='0' THEN
B_NEXT_STATE<=SB3;
ELSE
B_NEXT_STATE<=SB2;
END IF;
WHEN SB3=> RESULT(1)<='0';
IF DIN='0' THEN
B_NEXT_STATE<=SB1;
ELSE
B_NEXT_STATE<=SB4;
END IF;
WHEN SB4=> RESULT(1)<='0';
IF DIN='0' THEN
B_NEXT_STATE<=SB5;
ELSE
B_NEXT_STATE<=SB2;
END IF;
WHEN SB5=> RESULT(1)<='0';
IF DIN='0' THEN
B_NEXT_STATE<=SB1;
ELSE
B_NEXT_STATE<=SB6;
END IF;
WHEN SB6=> RESULT(1)<='1';
IF DIN='0' THEN
B_NEXT_STATE<=SB1;
ELSE
B_NEXT_STATE<=SB2;
END IF;
END CASE;
END PROCESS;
COMB_PROCESS_3: PROCESS(DIN,C_PRESENT_STATE)
BEGIN
CASE C_PRESENT_STATE IS
WHEN SC1=> RESULT(2)<='0';
IF DIN='0' THEN
C_NEXT_STATE<=SC1;
ELSE
C_NEXT_STATE<=SC2;
END IF;
WHEN SC2=> RESULT(2)<='0';
IF DIN='0' THEN
C_NEXT_STATE<=SC1;
ELSE
C_NEXT_STATE<=SC3;
END IF;
WHEN SC3=> RESULT(2)<='0';
IF DIN='0' THEN
C_NEXT_STATE<=SC4;
ELSE
C_NEXT_STATE<=SC3;
END IF;
WHEN SC4=> RESULT(2)<='0';
IF DIN='0' THEN
C_NEXT_STATE<=SC5;
ELSE
C_NEXT_STATE<=SC2;
END IF;
WHEN SC5=> RESULT(2)<='0';
IF DIN='0' THEN
C_NEXT_STATE<=SC1;
ELSE
C_NEXT_STATE<=SC6;
END IF;
WHEN SC6=> RESULT(2)<='1';
IF DIN='0' THEN
C_NEXT_STATE<=SC1;
ELSE
C_NEXT_STATE<=SC2;
END IF;
END CASE;
END PROCESS;
DATA_IN: PROCESS(Y_IN)
VARIABLE GREY_REM: STD_LOGIC_VECTOR(7 DOWNTO 0);
BEGIN
-- 取出灰度的8 bit 数据
GREY_REM:= CONV_STD_LOGIC_VECTOR(Y_IN, 8);
-- 取出LSB 位
DIN<=GREY_REM(0);
END PROCESS;
CLK_PROCESS: PROCESS(CLK,RESET,ENABLE)
BEGIN
IF(RESET='1'OR ENABLE='0') THEN
A_PRESENT_STATE<=SA1;
B_PRESENT_STATE<=SB1;
C_PRESENT_STATE<=SC1;
ELSIF(CLK'EVENT AND CLK='1') THEN
A_PRESENT_STATE<=A_NEXT_STATE;
B_PRESENT_STATE<=B_NEXT_STATE;
C_PRESENT_STATE<=C_NEXT_STATE;
END IF;
END PROCESS;
END ARCHITECTURE;
| apache-2.0 | 8b1bfeff3c7e4cf57a6a3850f09fe173 | 0.412089 | 3.871229 | false | false | false | false |
Hyvok/HardHeat | src/one_wire.vhd | 1 | 12,210 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.utils_pkg.all;
entity one_wire is
generic
(
-- Number of clock cycles for 1us delay
US_D : positive
);
port
(
clk : in std_logic;
reset : in std_logic;
-- Strobe for generating a reset on the 1-wire bus
reset_ow : in std_logic;
ow_in : in std_logic;
-- Data to be sent to the 1-wire bus
data_in : in std_logic_vector(8 - 1 downto 0);
-- Strobe indicating new data has arrived to be sent out
data_in_f : in std_logic;
-- Flag for starting the process of receiving data
receive_data_f : in std_logic;
crc_out : out std_logic_vector(8 - 1 downto 0);
-- Data received from the 1-wire bus
data_out : out std_logic_vector(8 - 1 downto 0);
-- Strobe indicating new data has been received
data_out_f : out std_logic;
ow_out : out std_logic;
-- Signal indicating the 1-wire bus is busy
busy_out : out std_logic;
-- Signal indicating there has been an error, bus needs to be reset
error_out : out std_logic;
-- ID indicating type of error, 1 = no device on bus
error_id_out : out unsigned(1 downto 0)
);
end entity;
architecture rtl of one_wire is
-- One wire bus delay values in clock cycles
constant RESET_ON_D : positive := US_D * 480;
constant RESET_SAMPLE_D : positive := US_D * 70;
constant RESET_D : positive := US_D * 410;
constant TX_ONE_LOW_D : positive := US_D * 6;
constant TX_ONE_HIGH_D : positive := US_D * 64;
constant TX_ZERO_LOW_D : positive := US_D * 60;
constant TX_ZERO_HIGH_D : positive := US_D * 10;
constant RX_SAMPLE_D : positive := US_D * 9;
constant RX_RELEASE_D : positive := US_D * 55;
signal ow_reset_out : std_logic;
signal ow_send_out : std_logic;
signal ow_receive_out : std_logic;
signal err_no_dev : std_logic;
signal busy_reset : std_logic;
signal busy_send : std_logic;
signal busy_receive : std_logic;
signal last_bit : std_logic;
signal last_bit_f : std_logic;
signal crc_reset : std_logic;
begin
-- Invert and combine signals so application logic matches bus state
ow_out <= not (not ow_reset_out or not ow_send_out or not ow_receive_out);
-- Combine process-specific busy signals
busy_out <= busy_reset or busy_send or busy_receive;
-- Handle and indicate errors
ow_error_p: process(clk, reset)
begin
if reset = '1' then
error_out <= '0';
error_id_out <= (others => '0');
elsif rising_edge(clk) then
if err_no_dev = '1' then
error_id_out <= to_unsigned(1, error_id_out'length);
error_out <= '1';
else
error_out <= '0';
error_id_out <= (others => '0');
end if;
end if;
end process;
ow_rst_p: process(clk, reset)
type ow_rst_state is (idle, reset_on, reset_sample, reset_delay);
variable state : ow_rst_state;
variable timer : unsigned(16 - 1 downto 0);
begin
if reset = '1' then
state := idle;
timer := (others => '0');
err_no_dev <= '0';
busy_reset <= '0';
ow_reset_out <= '1';
elsif rising_edge(clk) then
if state = idle then
if reset_ow = '1' then
state := reset_on;
ow_reset_out <= '0';
busy_reset <= '1';
else
busy_reset <= '0';
end if;
elsif state = reset_on then
if timer < RESET_ON_D then
timer := timer + 1;
else
-- Release bus
ow_reset_out <= '1';
state := reset_sample;
timer := (others => '0');
end if;
elsif state = reset_sample then
if timer < RESET_SAMPLE_D then
timer := timer + 1;
else
-- No device present on bus, indicate error
if ow_in = '1' then
err_no_dev <= '1';
state := idle;
timer := (others => '0');
end if;
state := reset_delay;
timer := (others => '0');
end if;
elsif state = reset_delay then
if timer < RESET_D then
timer := timer + 1;
else
state := idle;
timer := (others => '0');
end if;
end if;
end if;
end process;
ow_send_p: process(clk, reset)
type ow_send_state is (idle, tx_one_low, tx_one_high, tx_zero_low,
tx_zero_high, tx_next_bit);
variable state : ow_send_state;
variable timer : unsigned(16 - 1 downto 0);
variable data : std_logic_vector(data_in'range);
variable data_left : unsigned(ceil_log2(data_in'length) downto 0);
begin
if reset = '1' then
state := idle;
-- Bus is released on reset
ow_send_out <= '1';
busy_send <= '0';
timer := (others => '0');
data := (others => '0');
data_left := (others => '0');
elsif rising_edge(clk) then
if state = idle then
-- Bus always released when idle
ow_send_out <= '1';
if data_in_f = '1' then
data := data_in;
data_left := to_unsigned(data'length, data_left'length);
busy_send <= '1';
state := tx_next_bit;
timer := (others => '0');
-- Pull bus down on both cases
ow_send_out <= '0';
else
busy_send <= '0';
end if;
elsif state = tx_one_low then
if timer < TX_ONE_LOW_D then
timer := timer + 1;
else
-- Release bus
ow_send_out <= '1';
state := tx_one_high;
timer := (others => '0');
end if;
elsif state = tx_one_high then
if timer < TX_ONE_HIGH_D then
timer := timer + 1;
else
state := tx_next_bit;
timer := (others => '0');
end if;
elsif state = tx_zero_low then
if timer < TX_ZERO_LOW_D then
timer := timer + 1;
else
ow_send_out <= '1';
state := tx_zero_high;
timer := (others => '0');
end if;
elsif state = tx_zero_high then
if timer < TX_ZERO_HIGH_D then
timer := timer + 1;
else
state := tx_next_bit;
timer := (others => '0');
end if;
elsif state = tx_next_bit then
if data_left = 0 then
state := idle;
else
if data(data'right) = '1' then
state := tx_one_low;
else
state := tx_zero_low;
end if;
data_left := data_left - 1;
-- Shift data
data := shift_right_vec(data, 1);
-- Pull bus down on both cases
ow_send_out <= '0';
end if;
timer := (others => '0');
end if;
end if;
end process;
ow_receive_p: process(clk, reset)
type ow_receive_state is (idle, rx_low, rx_sample, rx_release,
rx_next_bit);
variable state : ow_receive_state;
variable timer : unsigned(16 - 1 downto 0);
variable data : std_logic_vector(data_in'range);
variable data_left : unsigned(ceil_log2(data_in'length) downto 0);
begin
if reset = '1' then
state := idle;
ow_receive_out <= '1';
busy_receive <= '0';
timer := (others => '0');
data := (others => '0');
data_left := (others => '0');
data_out <= (others => '0');
data_out_f <= '0';
last_bit <= '0';
last_bit_f <= '0';
crc_reset <= '0';
elsif rising_edge(clk) then
if state = idle then
-- Reset data out indicator strobe
data_out_f <= '0';
crc_reset <= '1';
if receive_data_f = '1' then
crc_reset <= '1';
-- Pull bus low
ow_receive_out <= '0';
busy_receive <= '1';
data := (others => '0');
data_left := to_unsigned(data'length, data_left'length);
state := rx_low;
timer := (others => '0');
else
busy_receive <= '0';
end if;
elsif state = rx_low then
-- Delay is same as for transmit low-state
if timer < TX_ONE_LOW_D then
timer := timer + 1;
else
-- Release bus
ow_receive_out <= '1';
state := rx_sample;
timer := (others => '0');
end if;
elsif state = rx_sample then
if timer < RX_SAMPLE_D then
timer := timer + 1;
else
data(data'left) := ow_in;
-- Signal CRC module of the last bit
last_bit <= ow_in;
last_bit_f <= '1';
data_left := data_left - 1;
state := rx_release;
timer := (others => '0');
end if;
elsif state = rx_release then
last_bit_f <= '0';
if timer < RX_RELEASE_D then
timer := timer + 1;
else
-- Release bus
ow_receive_out <= '1';
state := rx_next_bit;
timer := (others => '0');
end if;
elsif state = rx_next_bit then
if data_left = 0 then
state := idle;
data_out <= data;
data_out_f <= '1';
else
data := shift_right_vec(data, 1);
ow_receive_out <= '0';
state := rx_low;
end if;
end if;
end if;
end process;
-- CRC calculator, calculated whenever we receive a new bit
crc_p: process(clk, reset)
variable crc : std_logic_vector(crc_out'range);
begin
if reset = '1' then
crc := (others => '0');
crc_out <= (others => '0');
elsif rising_edge(clk) then
if crc_reset = '1' then
crc := (others => '0');
crc_out <= (others => '0');
end if;
if last_bit_f = '1' then
crc(crc'left) := last_bit xor crc(crc'right);
crc(4) := crc(3) xor crc(crc'left);
crc(5) := crc(4) xor crc(crc'left);
crc := shift_left_vec(crc, 1);
crc_out <= crc;
end if;
end if;
end process;
end;
| mit | a2e0b7e06abb07e439cbd002cb9640dc | 0.420147 | 4.279706 | false | false | false | false |
luk51000/tg2 | Experimentos/ex1/Sources/static/clock_station.vhd | 1 | 1,974 | library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.std_logic_unsigned.all;
entity clock_station is
port(
clk_p : in std_logic;
clk_n : in std_logic;
clk_1hz : out std_logic;
clk_2hz : out std_logic;
clk_5hz : out std_logic
);
end clock_station;
architecture Behavioral of clock_station is
signal clk_200Mhz : std_logic;
signal max_cnt_5_hz : integer := 19999999; -- divide o clock por 40M, gerando 5Hz no clk_out
signal cnt_5_hz : integer range 0 to max_cnt_5_hz := 0;
signal temp_5_hz : std_logic;
signal max_cnt_2_hz : integer := 49999999; -- divide o clock por 100M, gerando 2Hz no clk_out
signal cnt_2_hz : integer range 0 to max_cnt_2_hz := 0;
signal temp_2_hz : std_logic;
signal max_cnt_1_hz : integer := 99999999; -- divide o clock por 200M, gerando 1Hz no clk_out
signal cnt_1_hz : integer range 0 to max_cnt_1_hz := 0;
signal temp_1_hz : std_logic;
begin
clocks_i : entity work.clocks port map(
CLK_IN_P => clk_p,
CLK_IN_N => clk_n,
CLK_OUT => clk_200Mhz
);
process (clk_200Mhz)
begin
if rising_edge(clk_200Mhz) then
if (cnt_1_hz = max_cnt_1_hz) then
temp_1_hz <= not(temp_1_hz);
cnt_1_hz <= 0;
else
cnt_1_hz <= cnt_1_hz + 1;
end if;
if (cnt_2_hz = max_cnt_2_hz) then
temp_2_hz <= not(temp_2_hz);
cnt_2_hz <= 0;
else
cnt_2_hz <= cnt_2_hz + 1;
end if;
if (cnt_5_hz = max_cnt_5_hz) then
temp_5_hz <= not(temp_5_hz);
cnt_5_hz <= 0;
else
cnt_5_hz <= cnt_5_hz + 1;
end if;
end if;
end process;
clk_1hz <= temp_1_hz;
clk_2hz <= temp_2_hz;
clk_5hz <= temp_5_hz;
end Behavioral; | gpl-3.0 | 3bd19db3ae3a3278acd3cbdd3519481b | 0.504559 | 3.065217 | false | false | false | false |
Hyvok/HardHeat | sim/hardheat/hardheat_tb.vhd | 1 | 6,454 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity hardheat_tb is
generic
(
TDC_N : positive := 12;
FILT_P_SHIFT_N : integer := 0;
FILT_I_SHIFT_N : integer := -5;
FILT_INIT_OUT_VAL : positive := 2**11 - 1;
FILT_OUT_OFFSET : natural := 2**21;
FILT_OUT_LIM : positive := 2**22;
ACCUM_BITS_N : positive := 32;
ACCUM_WORD_N : positive := 23;
DT_N : positive := 16;
DT_VAL : natural := 100;
LD_LOCK_N : positive := 20;
LD_ULOCK_N : positive := 16;
LD_LOCK_LIMIT : natural := 100;
TEMP_CONV_D : natural := 1000000;
TEMP_CONV_CMD_D : natural := 750000;
TEMP_OW_US_D : positive := 100;
TEMP_PWM_N : positive := 12;
TEMP_PWM_MIN_LVL : natural := 2**12 / 5;
TEMP_PWM_EN_ON_D : natural := 2000000;
TEMP_P_SHIFT_N : integer := 4;
TEMP_I_SHIFT_N : integer := -11;
TEMP_SETPOINT : integer := 320
);
end entity;
architecture rtl of hardheat_tb is
-- Clock frequency 100 MHz
constant CLK_PERIOD : time := 1 sec / 10e7;
-- Reference signal frequency 50 kHz
constant REF_PERIOD : time := 1 sec / 90e3;
signal clk : std_logic := '0';
signal reset : std_logic;
signal ref : std_logic := '0';
signal sig : std_logic;
signal sig_lh : std_logic;
signal sig_ll : std_logic;
signal sig_rh : std_logic;
signal sig_rl : std_logic;
signal mod_lvl : unsigned(2 downto 0);
signal mod_lvl_f : std_logic;
-- Temperature controller related signals
signal ow_in : std_logic;
signal ow_out : std_logic;
signal temp : signed(16 - 1 downto 0);
signal temp_f : std_logic;
signal temp_out_f : std_logic;
begin
reset <= '1', '0' after 500 ns;
clk_gen: process(clk)
begin
clk <= not clk after CLK_PERIOD / 2;
end process;
ref_gen: process(ref)
begin
ref <= not ref after REF_PERIOD / 2;
end process;
DUT_inst: entity work.hardheat(rtl)
generic map
(
TDC_N => TDC_N,
FILT_P_SHIFT_N => FILT_P_SHIFT_N,
FILT_I_SHIFT_N => FILT_I_SHIFT_N,
FILT_INIT_OUT_VAL => FILT_INIT_OUT_VAL,
FILT_OUT_OFFSET => FILT_OUT_OFFSET,
FILT_OUT_LIM => FILT_OUT_LIM,
ACCUM_BITS_N => ACCUM_BITS_N,
ACCUM_WORD_N => ACCUM_WORD_N,
LD_LOCK_N => LD_LOCK_N,
LD_ULOCK_N => LD_ULOCK_N,
LD_LOCK_LIMIT => LD_LOCK_LIMIT,
DT_N => DT_N,
DT_VAL => DT_VAL,
TEMP_CONV_D => TEMP_CONV_D,
TEMP_CONV_CMD_D => TEMP_CONV_CMD_D,
TEMP_OW_US_D => TEMP_OW_US_D,
TEMP_PWM_N => TEMP_PWM_N,
TEMP_PWM_MIN_LVL => TEMP_PWM_MIN_LVL,
TEMP_PWM_EN_ON_D => TEMP_PWM_EN_ON_D,
TEMP_P_SHIFT_N => TEMP_P_SHIFT_N,
TEMP_I_SHIFT_N => TEMP_I_SHIFT_N,
TEMP_SETPOINT => TEMP_SETPOINT
)
port map
(
clk => clk,
reset => reset,
ref_in => ref,
sig_in => sig,
mod_lvl_in => mod_lvl,
mod_lvl_in_f => mod_lvl_f,
sig_out => sig,
sig_lh_out => sig_lh,
sig_ll_out => sig_ll,
sig_rh_out => sig_rh,
sig_rl_out => sig_rl,
ow_in => ow_in,
ow_out => ow_out,
temp_out_f => temp_out_f
);
data_gen_p: entity work.ds18b20_data_gen(rtl)
generic map
(
MICROSECOND_D => TEMP_OW_US_D
)
port map
(
clk => clk,
reset => reset,
ow_in => ow_in,
ow_out => ow_out,
temp_in => temp,
temp_in_f => temp_f
);
temp_gen: process(clk, reset)
variable cur_temp : signed(16 - 1 downto 0);
begin
if reset = '1' then
cur_temp := to_signed(320, temp'length);
temp <= cur_temp;
temp_f <= '0';
elsif rising_edge(clk) then
temp_f <= '0';
if temp_out_f = '1' then
cur_temp := cur_temp + 16;
temp <= cur_temp;
temp_f <= '1';
end if;
end if;
end process;
mod_lvl_gen: process(clk, reset)
variable mod_lvl_v : unsigned(2 downto 0);
variable cycle_count : unsigned(3 downto 0);
variable last_state : std_logic;
begin
if reset = '1' then
mod_lvl_v := to_unsigned(4, mod_lvl_v'length);
mod_lvl <= mod_lvl_v;
cycle_count := (others => '0');
last_state := '0';
mod_lvl_f <= '0';
elsif rising_edge(clk) then
if mod_lvl_f = '1' then
mod_lvl_f <= '0';
end if;
if not sig = last_state and sig = '1' then
cycle_count := cycle_count + 1;
-- Increase pulse density every 12 rising edges
if cycle_count = 12 then
cycle_count := (others => '0');
if mod_lvl = 0 then
mod_lvl_v := to_unsigned(4, mod_lvl_v'length);
else
mod_lvl_v := mod_lvl_v - 1;
end if;
mod_lvl <= mod_lvl_v;
mod_lvl_f <= '1';
end if;
end if;
last_state := sig;
end if;
end process;
-- Make sure same side high- and low-side are never on at the same time
assert not (sig_lh = sig_ll and sig_lh = '1')
report "Left h = l" severity warning;
assert not (sig_rh = sig_rl and sig_rh = '1')
report "Right h = l" severity warning;
end;
| mit | ed9edd1ba815a77793f5217f0465ff76 | 0.432135 | 3.613662 | false | false | false | false |
zhlinh/vhdl_course | Assignment/IMG_LSB/HIDE_STR.vhd | 1 | 4,444 | --HIDE_STR entity
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE WORK.MYTYPE.ALL;
ENTITY HIDE_STR IS
PORT(CLK: IN STD_LOGIC;
RESET: IN STD_LOGIC;
ENABLE: IN STD_LOGIC;
R_IN: IN COLOR;
G_IN: IN COLOR;
B_IN: IN COLOR;
XX: IN COLOR;
YY: IN COLOR;
ZZ: IN INTEGER RANGE 0 TO 2;
--VHDL中string的下标从1开始
STR: IN STRING(1 TO 20);
HR_OUT: OUT COLOR;
HG_OUT: OUT COLOR;
HB_OUT: OUT COLOR);
END ENTITY HIDE_STR;
ARCHITECTURE ART1 OF HIDE_STR IS
SIGNAL INDEX: INTEGER RANGE 0 TO 65535;
SIGNAL LEN: INTEGER RANGE 0 TO 65535;
BEGIN
COMPUTE: PROCESS(XX,YY,STR)
BEGIN
INDEX<=YY+1+256*XX;
LEN<= 8 * STR'LENGTH;
END PROCESS;
CLOCK: PROCESS(CLK,RESET,ENABLE)
VARIABLE FIXED_REM: COLOR;
VARIABLE COLOR_REM: STD_LOGIC_VECTOR(7 DOWNTO 0);
--COUNT的范围是256*256
VARIABLE COUNT: INTEGER RANGE 0 TO 65536;
VARIABLE CHAR_I: INTEGER RANGE 0 TO 8;
--STR_I的范围65535/8
VARIABLE STR_I: INTEGER RANGE 1 TO 8192;
VARIABLE CHAR_REM: STD_LOGIC_VECTOR(7 DOWNTO 0);
BEGIN
IF(RESET='1' OR ENABLE='0') THEN
HR_OUT<=0;
HG_OUT<=0;
HB_OUT<=0;
COUNT:=0;
CHAR_I:=0;
--VHDL中string的下标从1开始
STR_I:=1;
ELSIF(COUNT=65536) THEN
HR_OUT<=0;
HG_OUT<=0;
HB_OUT<=0;
ELSIF(CLK'EVENT AND CLK='1') THEN
COUNT:=COUNT+1;
IF(ZZ=0) THEN
IF( (COUNT>=INDEX) AND (COUNT<INDEX+LEN)) THEN
-- 取出8 bit 数据
COLOR_REM := CONV_STD_LOGIC_VECTOR(R_IN, 8);
IF(CHAR_I=0) THEN
CHAR_REM:=CONV_TO_VECTOR(STR(STR_I));
END IF;
-- 更改 LSB位
COLOR_REM(0):= CHAR_REM(CHAR_I);
-- 将更改输出
HR_OUT<= CONV_INTEGER(UNSIGNED(COLOR_REM));
IF(CHAR_I<=7) THEN
CHAR_I:=CHAR_I+1;
END IF;
IF(CHAR_I=8) THEN
CHAR_I:=0;
STR_I:=STR_I+1;
END IF;
ELSE
HR_OUT<=R_IN;
END IF;
HB_OUT<=B_IN;
HG_OUT<=G_IN;
ELSIF(ZZ=1) THEN
IF( (COUNT>=INDEX) AND (COUNT<INDEX+LEN)) THEN
-- 取出8 bit 数据
COLOR_REM := CONV_STD_LOGIC_VECTOR(G_IN, 8);
IF(CHAR_I=0) THEN
CHAR_REM:=CONV_TO_VECTOR(STR(STR_I));
END IF;
-- 更改 LSB位
COLOR_REM(0):= CHAR_REM(CHAR_I);
-- 将更改输出
HG_OUT<= CONV_INTEGER(UNSIGNED(COLOR_REM));
IF(CHAR_I<=7) THEN
CHAR_I:=CHAR_I+1;
END IF;
IF(CHAR_I=8) THEN
CHAR_I:=0;
STR_I:=STR_I+1;
END IF;
ELSE
HG_OUT<=G_IN;
END IF;
HR_OUT<=R_IN;
HB_OUT<=B_IN;
ELSIF(ZZ=2) THEN
IF( (COUNT>=INDEX) AND (COUNT<INDEX+LEN)) THEN
-- 取出8 bit 数据
COLOR_REM := CONV_STD_LOGIC_VECTOR(B_IN, 8);
IF(CHAR_I=0) THEN
CHAR_REM:=CONV_TO_VECTOR(STR(STR_I));
END IF;
-- 更改 LSB位
COLOR_REM(0):= CHAR_REM(CHAR_I);
-- 将更改输出
HB_OUT<= CONV_INTEGER(UNSIGNED(COLOR_REM));
IF(CHAR_I<=7) THEN
CHAR_I:=CHAR_I+1;
END IF;
IF(CHAR_I=8) THEN
CHAR_I:=0;
STR_I:=STR_I+1;
END IF;
ELSE
HB_OUT<=B_IN;
END IF;
HR_OUT<=R_IN;
HG_OUT<=G_IN;
END IF;
END IF;
END PROCESS;
END ARCHITECTURE ART1;
| apache-2.0 | 4a62e9e548abcdc4b9158f32a140ae9a | 0.406697 | 3.761946 | false | false | false | false |
jz0229/open-ephys-pcie | serdes-interface/firmware/data_merge.vhd | 2 | 3,821 | ----------------------------------------------------------------------------------
--this merges the data from different streams onto the serdes interface
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
entity data_merge is
port(
pclk : in std_logic;
reset : in std_logic;
data_rdy_pcie : in std_logic; --this is generated from the SPI interface. Here we must sample this line using 50MHz clock
vsync_o : out std_logic;
stream1 : in std_logic_vector(15 downto 0);
stream2 : in std_logic_vector(15 downto 0);
stream3 : in std_logic_vector(15 downto 0);
stream4 : in std_logic_vector(15 downto 0);
dout_o : out std_logic_vector(7 downto 0)
);
end data_merge;
architecture Behavioral of data_merge is
signal pclk_data_rdy_pcie : std_logic; --pclk synced data_rdy_pcie signal
signal dout, dout_next : std_logic_vector(7 downto 0); --digital output
signal vsync, vsync_next : std_logic;
type merge_state_type is (IDLE, S1MSB, S1LSB, S2MSB, S2LSB, S3MSB, S3LSB, S4MSB, S4LSB, WAITLOW); --state machine definition:
signal merge_state, merge_state_next : merge_state_type;
signal sm_cnt, sm_cnt_next : unsigned(3 downto 0);
begin
--signal assignment
vsync_o <= vsync;
dout_o <= dout;
--vsync triggers the data spliting process
process(reset, merge_state, pclk)
begin
if (reset='1') then
merge_state <= IDLE;
dout <= (others=>'0');
vsync <= '0';
sm_cnt <= (others=>'0');
elsif (rising_edge(pclk)) then
merge_state <= merge_state_next;
dout <= dout_next;
vsync <= vsync_next;
sm_cnt <= sm_cnt_next;
end if;
end process;
--next states
process(reset, merge_state, data_rdy_pcie, sm_cnt, dout, stream1, stream2, stream3, stream4)
begin
case merge_state is
when IDLE =>
if data_rdy_pcie = '1' then
merge_state_next <= S1MSB;
else
merge_state_next <= IDLE;
end if;
dout_next <= dout;
vsync_next <= '0';
sm_cnt_next <= (others=>'0');
when S1MSB =>
merge_state_next <= S1LSB;
dout_next <= stream1(15 downto 8);
vsync_next <= '1';
sm_cnt_next <= (others=>'0');
when S1LSB =>
merge_state_next <= S2MSB;
dout_next <= stream1(7 downto 0);
vsync_next <= '1';
sm_cnt_next <= (others=>'0');
when S2MSB =>
merge_state_next <= S2LSB;
dout_next <= stream2(15 downto 8);
vsync_next <= '1';
sm_cnt_next <= (others=>'0');
when S2LSB =>
merge_state_next <= S3MSB;
dout_next <= stream2(7 downto 0);
vsync_next <= '1';
sm_cnt_next <= (others=>'0');
when S3MSB =>
merge_state_next <= S3LSB;
dout_next <= stream3(15 downto 8);
vsync_next <= '1';
sm_cnt_next <= (others=>'0');
when S3LSB =>
merge_state_next <= S4MSB;
dout_next <= stream3(7 downto 0);
vsync_next <= '1';
sm_cnt_next <= (others=>'0');
when S4MSB =>
merge_state_next <= S4LSB;
dout_next <= stream4(15 downto 8);
vsync_next <= '1';
sm_cnt_next <= (others=>'0');
when S4LSB =>
merge_state_next <= WAITLOW;
dout_next <= stream4(7 downto 0);
vsync_next <= '1';
sm_cnt_next <= (others=>'0');
when WAITLOW =>
if data_rdy_pcie = '0' then
if sm_cnt >= 10 then
merge_state_next <= IDLE;
vsync_next <= '0';
sm_cnt_next <= (others=>'0');
else
sm_cnt_next <= sm_cnt + 1;
vsync_next <= '1';
merge_state_next <= WAITLOW;
end if;
else
merge_state_next <= WAITLOW;
vsync_next <= '1';
sm_cnt_next <= sm_cnt;
end if;
dout_next <= (others=>'0');
--sm_cnt_next <= (others=>'0');
end case;
end process;
end Behavioral;
| mit | 61437ef8e785af17ddde5e04f610575c | 0.560325 | 3.030135 | false | false | false | false |
Hyvok/HardHeat | sim/debounce/debounce_tb.vhd | 1 | 814 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity debounce_tb is
end entity;
architecture rtl of debounce_tb is
-- Main clock frequency 100 MHz
constant CLK_PERIOD : time := 1 sec / 10e7;
signal clk : std_logic := '0';
signal reset : std_logic;
signal sig : std_logic;
begin
reset <= '1', '0' after 500 ns;
sig <= '1';
clk_gen: process(clk)
begin
clk <= not clk after CLK_PERIOD / 2;
end process;
DUT_inst: entity work.debounce(rtl)
generic map
(
DEBOUNCE_D => 1000,
FLIPFLOPS_N => 5
)
port map
(
clk => clk,
reset => reset,
sig_in => sig
);
end;
| mit | adc0dd0f0776a0e5a8f02b8d789ef7bc | 0.496314 | 3.751152 | false | false | false | false |
Hyvok/HardHeat | sim/epdm/epdm_tb.vhd | 1 | 3,137 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity epdm_tb is
generic
(
TUNING_WORD_N : positive := 22
);
end entity;
architecture rtl of epdm_tb is
-- Main clock frequency 100 MHz
constant CLK_PERIOD : time := 1 sec / 10e7;
signal clk : std_logic := '0';
signal reset : std_logic;
signal sig : std_logic;
signal mod_lvl : unsigned(2 downto 0);
signal mod_lvl_f : std_logic;
signal sig_lh : std_logic;
signal sig_ll : std_logic;
signal sig_rh : std_logic;
signal sig_rl : std_logic;
begin
DUT_inst: entity work.epdm(rtl)
port map
(
clk => clk,
reset => reset,
mod_lvl_in => mod_lvl,
mod_lvl_in_f => mod_lvl_f,
sig_in => sig,
sig_lh_out => sig_lh,
sig_ll_out => sig_ll,
sig_rh_out => sig_rh,
sig_rl_out => sig_rl
);
sig_gen_p: entity work.phase_accumulator(rtl)
generic map
(
ACCUM_BITS_N => 32,
TUNING_WORD_N => TUNING_WORD_N
)
port map
(
clk => clk,
reset => reset,
tuning_word_in => to_unsigned(2**TUNING_WORD_N / 2 - 1,
TUNING_WORD_N),
sig_out => sig
);
reset <= '1', '0' after 500 ns;
clk_gen: process(clk)
begin
clk <= not clk after CLK_PERIOD / 2;
end process;
mod_lvl_gen: process(clk, reset)
variable mod_lvl_v : unsigned(2 downto 0);
variable cycle_count : unsigned(3 downto 0);
variable last_state : std_logic;
begin
if reset = '1' then
mod_lvl_v := to_unsigned(4, mod_lvl_v'length);
mod_lvl <= mod_lvl_v;
cycle_count := (others => '0');
last_state := sig;
mod_lvl_f <= '0';
elsif rising_edge(clk) then
if mod_lvl_f = '1' then
mod_lvl_f <= '0';
end if;
if not sig = last_state and sig = '1' then
cycle_count := cycle_count + 1;
-- Increase pulse density every 12 rising edges
if cycle_count = 12 then
cycle_count := (others => '0');
if mod_lvl = 0 then
mod_lvl_v := to_unsigned(4, mod_lvl_v'length);
else
mod_lvl_v := mod_lvl_v - 1;
end if;
mod_lvl <= mod_lvl_v;
mod_lvl_f <= '1';
end if;
end if;
last_state := sig;
end if;
end process;
-- Make sure same side high- and low-side are never on at the same time
assert not (sig_lh = sig_ll and sig_lh = '1')
report "Left h = l" severity warning;
assert not (sig_rh = sig_rl and sig_rh = '1')
report "Right h = l" severity warning;
end;
| mit | 0b789a385d93fef090f967672ec1a46a | 0.452981 | 3.725653 | false | false | false | false |
zhlinh/vhdl_course | Exercise/DECODER/DECODER.vhd | 1 | 1,442 | LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY DECODER IS
PORT(A,B,C:IN STD_LOGIC;
Y:OUT BIT_VECTOR(7 DOWNTO 0));
END ENTITY DECODER;
--使用SLL逻辑运算符
ARCHITECTURE ART1 OF DECODER IS
SIGNAL SR:STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
SR<=C&B&A;
Y<="00000001" SLL(CONV_INTEGER(SR));
END ARCHITECTURE ART1;
--使用PROCESS语句
ARCHITECTURE ART2 OF DECODER IS
SIGNAL SR:STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
SR<=C&B&A;
PROCESS(SR) IS
BEGIN
Y<=(OTHERS=>'1');
Y(CONV_INTEGER(SR))<='1';
END PROCESS;
END ARCHITECTURE ART2;
--使用WHEN - ELSE语句
ARCHITECTURE ART3 OF DECODER IS
SIGNAL SR:STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
SR<=C&B&A;
Y(0)<='1' WHEN SR="000" ELSE '0';
Y(1)<='1' WHEN SR="001" ELSE '0';
Y(2)<='1' WHEN SR="010" ELSE '0';
Y(3)<='1' WHEN SR="011" ELSE '0';
Y(4)<='1' WHEN SR="100" ELSE '0';
Y(5)<='1' WHEN SR="101" ELSE '0';
Y(6)<='1' WHEN SR="110" ELSE '0';
Y(7)<='1' WHEN SR="111" ELSE '0';
END ARCHITECTURE ART3;
--使用CASE - WHEN 语句
ARCHITECTURE ART4 OF DECODER IS
SIGNAL SR:STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
SR<=C&B&A;
PROCESS(SR) IS
BEGIN
CASE SR IS
WHEN "000"=>Y<="00000001";
WHEN "001"=>Y<="00000010";
WHEN "010"=>Y<="00000100";
WHEN "011"=>Y<="00001000";
WHEN "100"=>Y<="00010000";
WHEN "101"=>Y<="00100000";
WHEN "110"=>Y<="01000000";
WHEN "111"=>Y<="10000000";
END CASE;
END PROCESS;
END ARCHITECTURE ART4; | apache-2.0 | 6bcacdc0a0e5e3efbae3f86eb2ad3685 | 0.638177 | 2.511628 | false | false | false | false |
zhlinh/vhdl_course | Exercise/ENCODER/ENCODER.vhd | 1 | 1,547 | --8线-3线优先编码器。A的编码等级最低
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
ENTITY ENCODER IS
PORT(A,B,C,D,E,F,G,H:IN STD_LOGIC;
Y0,Y1,Y2:OUT STD_LOGIC);
END ENTITY ENCODER;
--使用条件赋值语句
ARCHITECTURE ART1 OF ENCODER IS
SIGNAL SY:STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
--优先级在此处体现,哈哈
SY(2 DOWNTO 0)<="111" WHEN H='1' ELSE
"110" WHEN G='1' ELSE
"101" WHEN F='1' ELSE
"100" WHEN E='1' ELSE
"011" WHEN D='1' ELSE
"010" WHEN C='1' ELSE
"001" WHEN B='1' ELSE
"000" WHEN A='1' ELSE
"XXX";
Y0<=SY(0);
Y1<=SY(1);
Y2<=SY(2);
END ARCHITECTURE ART1;
--使用LOOP语句
ARCHITECTURE ART2 OF ENCODER IS
BEGIN
PROCESS(A,B,C,D,E,F,G) IS
VARIABLE VSR:STD_LOGIC_VECTOR(7 DOWNTO 0);
VARIABLE I:INTEGER;
BEGIN
VSR:=(H,G,F,E,D,C,B,A);
I:=7;
--不等号是/=,你敢信?
WHILE I>=0 AND VSR(I)/='1' LOOP
I:=I-1;
END LOOP;
(Y2,Y1,Y0)<=CONV_STD_LOGIC_VECTOR(I,3);
END PROCESS;
END ARCHITECTURE ART2;
--使用IF条件语句
ARCHITECTURE ART3 OF ENCODER IS
SIGNAL SY:STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
PROCESS(H,G,F,E,D,C,B,A) IS
BEGIN
IF H='1' THEN SY<="111";
ELSIF G='1' THEN SY<="110";
ELSIF F='1' THEN SY<="101";
ELSIF E='1' THEN SY<="100";
ELSIF D='1' THEN SY<="011";
ELSIF C='1' THEN SY<="010";
ELSIF B='1' THEN SY<="001";
ELSIF A='1' THEN SY<="000";
ELSE SY<="XXX";
END IF;
END PROCESS;
Y0<=SY(0);
Y1<=SY(1);
Y2<=SY(2);
END ARCHITECTURE ART3;
| apache-2.0 | d9fec5631ede21e0494dc10c962c1962 | 0.59806 | 2.128319 | false | false | false | false |
sgq995/rc4-de0-nano-soc | fpga/hps/soc_system/soc_system_inst.vhd | 2 | 18,728 | component soc_system is
port (
clk_clk : in std_logic := 'X'; -- clk
hps_0_f2h_cold_reset_req_reset_n : in std_logic := 'X'; -- reset_n
hps_0_f2h_debug_reset_req_reset_n : in std_logic := 'X'; -- reset_n
hps_0_f2h_stm_hw_events_stm_hwevents : in std_logic_vector(27 downto 0) := (others => 'X'); -- stm_hwevents
hps_0_f2h_warm_reset_req_reset_n : in std_logic := 'X'; -- reset_n
hps_0_h2f_reset_reset_n : out std_logic; -- reset_n
hps_0_hps_io_hps_io_emac1_inst_TX_CLK : out std_logic; -- hps_io_emac1_inst_TX_CLK
hps_0_hps_io_hps_io_emac1_inst_TXD0 : out std_logic; -- hps_io_emac1_inst_TXD0
hps_0_hps_io_hps_io_emac1_inst_TXD1 : out std_logic; -- hps_io_emac1_inst_TXD1
hps_0_hps_io_hps_io_emac1_inst_TXD2 : out std_logic; -- hps_io_emac1_inst_TXD2
hps_0_hps_io_hps_io_emac1_inst_TXD3 : out std_logic; -- hps_io_emac1_inst_TXD3
hps_0_hps_io_hps_io_emac1_inst_RXD0 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD0
hps_0_hps_io_hps_io_emac1_inst_MDIO : inout std_logic := 'X'; -- hps_io_emac1_inst_MDIO
hps_0_hps_io_hps_io_emac1_inst_MDC : out std_logic; -- hps_io_emac1_inst_MDC
hps_0_hps_io_hps_io_emac1_inst_RX_CTL : in std_logic := 'X'; -- hps_io_emac1_inst_RX_CTL
hps_0_hps_io_hps_io_emac1_inst_TX_CTL : out std_logic; -- hps_io_emac1_inst_TX_CTL
hps_0_hps_io_hps_io_emac1_inst_RX_CLK : in std_logic := 'X'; -- hps_io_emac1_inst_RX_CLK
hps_0_hps_io_hps_io_emac1_inst_RXD1 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD1
hps_0_hps_io_hps_io_emac1_inst_RXD2 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD2
hps_0_hps_io_hps_io_emac1_inst_RXD3 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD3
hps_0_hps_io_hps_io_sdio_inst_CMD : inout std_logic := 'X'; -- hps_io_sdio_inst_CMD
hps_0_hps_io_hps_io_sdio_inst_D0 : inout std_logic := 'X'; -- hps_io_sdio_inst_D0
hps_0_hps_io_hps_io_sdio_inst_D1 : inout std_logic := 'X'; -- hps_io_sdio_inst_D1
hps_0_hps_io_hps_io_sdio_inst_CLK : out std_logic; -- hps_io_sdio_inst_CLK
hps_0_hps_io_hps_io_sdio_inst_D2 : inout std_logic := 'X'; -- hps_io_sdio_inst_D2
hps_0_hps_io_hps_io_sdio_inst_D3 : inout std_logic := 'X'; -- hps_io_sdio_inst_D3
hps_0_hps_io_hps_io_usb1_inst_D0 : inout std_logic := 'X'; -- hps_io_usb1_inst_D0
hps_0_hps_io_hps_io_usb1_inst_D1 : inout std_logic := 'X'; -- hps_io_usb1_inst_D1
hps_0_hps_io_hps_io_usb1_inst_D2 : inout std_logic := 'X'; -- hps_io_usb1_inst_D2
hps_0_hps_io_hps_io_usb1_inst_D3 : inout std_logic := 'X'; -- hps_io_usb1_inst_D3
hps_0_hps_io_hps_io_usb1_inst_D4 : inout std_logic := 'X'; -- hps_io_usb1_inst_D4
hps_0_hps_io_hps_io_usb1_inst_D5 : inout std_logic := 'X'; -- hps_io_usb1_inst_D5
hps_0_hps_io_hps_io_usb1_inst_D6 : inout std_logic := 'X'; -- hps_io_usb1_inst_D6
hps_0_hps_io_hps_io_usb1_inst_D7 : inout std_logic := 'X'; -- hps_io_usb1_inst_D7
hps_0_hps_io_hps_io_usb1_inst_CLK : in std_logic := 'X'; -- hps_io_usb1_inst_CLK
hps_0_hps_io_hps_io_usb1_inst_STP : out std_logic; -- hps_io_usb1_inst_STP
hps_0_hps_io_hps_io_usb1_inst_DIR : in std_logic := 'X'; -- hps_io_usb1_inst_DIR
hps_0_hps_io_hps_io_usb1_inst_NXT : in std_logic := 'X'; -- hps_io_usb1_inst_NXT
hps_0_hps_io_hps_io_spim1_inst_CLK : out std_logic; -- hps_io_spim1_inst_CLK
hps_0_hps_io_hps_io_spim1_inst_MOSI : out std_logic; -- hps_io_spim1_inst_MOSI
hps_0_hps_io_hps_io_spim1_inst_MISO : in std_logic := 'X'; -- hps_io_spim1_inst_MISO
hps_0_hps_io_hps_io_spim1_inst_SS0 : out std_logic; -- hps_io_spim1_inst_SS0
hps_0_hps_io_hps_io_uart0_inst_RX : in std_logic := 'X'; -- hps_io_uart0_inst_RX
hps_0_hps_io_hps_io_uart0_inst_TX : out std_logic; -- hps_io_uart0_inst_TX
hps_0_hps_io_hps_io_i2c0_inst_SDA : inout std_logic := 'X'; -- hps_io_i2c0_inst_SDA
hps_0_hps_io_hps_io_i2c0_inst_SCL : inout std_logic := 'X'; -- hps_io_i2c0_inst_SCL
hps_0_hps_io_hps_io_i2c1_inst_SDA : inout std_logic := 'X'; -- hps_io_i2c1_inst_SDA
hps_0_hps_io_hps_io_i2c1_inst_SCL : inout std_logic := 'X'; -- hps_io_i2c1_inst_SCL
hps_0_hps_io_hps_io_gpio_inst_GPIO09 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO09
hps_0_hps_io_hps_io_gpio_inst_GPIO35 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO35
hps_0_hps_io_hps_io_gpio_inst_GPIO40 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO40
hps_0_hps_io_hps_io_gpio_inst_GPIO53 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO53
hps_0_hps_io_hps_io_gpio_inst_GPIO54 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO54
hps_0_hps_io_hps_io_gpio_inst_GPIO61 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO61
memory_mem_a : out std_logic_vector(14 downto 0); -- mem_a
memory_mem_ba : out std_logic_vector(2 downto 0); -- mem_ba
memory_mem_ck : out std_logic; -- mem_ck
memory_mem_ck_n : out std_logic; -- mem_ck_n
memory_mem_cke : out std_logic; -- mem_cke
memory_mem_cs_n : out std_logic; -- mem_cs_n
memory_mem_ras_n : out std_logic; -- mem_ras_n
memory_mem_cas_n : out std_logic; -- mem_cas_n
memory_mem_we_n : out std_logic; -- mem_we_n
memory_mem_reset_n : out std_logic; -- mem_reset_n
memory_mem_dq : inout std_logic_vector(31 downto 0) := (others => 'X'); -- mem_dq
memory_mem_dqs : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs
memory_mem_dqs_n : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs_n
memory_mem_odt : out std_logic; -- mem_odt
memory_mem_dm : out std_logic_vector(3 downto 0); -- mem_dm
memory_oct_rzqin : in std_logic := 'X'; -- oct_rzqin
reset_reset_n : in std_logic := 'X' -- reset_n
);
end component soc_system;
u0 : component soc_system
port map (
clk_clk => CONNECTED_TO_clk_clk, -- clk.clk
hps_0_f2h_cold_reset_req_reset_n => CONNECTED_TO_hps_0_f2h_cold_reset_req_reset_n, -- hps_0_f2h_cold_reset_req.reset_n
hps_0_f2h_debug_reset_req_reset_n => CONNECTED_TO_hps_0_f2h_debug_reset_req_reset_n, -- hps_0_f2h_debug_reset_req.reset_n
hps_0_f2h_stm_hw_events_stm_hwevents => CONNECTED_TO_hps_0_f2h_stm_hw_events_stm_hwevents, -- hps_0_f2h_stm_hw_events.stm_hwevents
hps_0_f2h_warm_reset_req_reset_n => CONNECTED_TO_hps_0_f2h_warm_reset_req_reset_n, -- hps_0_f2h_warm_reset_req.reset_n
hps_0_h2f_reset_reset_n => CONNECTED_TO_hps_0_h2f_reset_reset_n, -- hps_0_h2f_reset.reset_n
hps_0_hps_io_hps_io_emac1_inst_TX_CLK => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_TX_CLK, -- hps_0_hps_io.hps_io_emac1_inst_TX_CLK
hps_0_hps_io_hps_io_emac1_inst_TXD0 => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_TXD0, -- .hps_io_emac1_inst_TXD0
hps_0_hps_io_hps_io_emac1_inst_TXD1 => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_TXD1, -- .hps_io_emac1_inst_TXD1
hps_0_hps_io_hps_io_emac1_inst_TXD2 => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_TXD2, -- .hps_io_emac1_inst_TXD2
hps_0_hps_io_hps_io_emac1_inst_TXD3 => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_TXD3, -- .hps_io_emac1_inst_TXD3
hps_0_hps_io_hps_io_emac1_inst_RXD0 => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_RXD0, -- .hps_io_emac1_inst_RXD0
hps_0_hps_io_hps_io_emac1_inst_MDIO => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_MDIO, -- .hps_io_emac1_inst_MDIO
hps_0_hps_io_hps_io_emac1_inst_MDC => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_MDC, -- .hps_io_emac1_inst_MDC
hps_0_hps_io_hps_io_emac1_inst_RX_CTL => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_RX_CTL, -- .hps_io_emac1_inst_RX_CTL
hps_0_hps_io_hps_io_emac1_inst_TX_CTL => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_TX_CTL, -- .hps_io_emac1_inst_TX_CTL
hps_0_hps_io_hps_io_emac1_inst_RX_CLK => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_RX_CLK, -- .hps_io_emac1_inst_RX_CLK
hps_0_hps_io_hps_io_emac1_inst_RXD1 => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_RXD1, -- .hps_io_emac1_inst_RXD1
hps_0_hps_io_hps_io_emac1_inst_RXD2 => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_RXD2, -- .hps_io_emac1_inst_RXD2
hps_0_hps_io_hps_io_emac1_inst_RXD3 => CONNECTED_TO_hps_0_hps_io_hps_io_emac1_inst_RXD3, -- .hps_io_emac1_inst_RXD3
hps_0_hps_io_hps_io_sdio_inst_CMD => CONNECTED_TO_hps_0_hps_io_hps_io_sdio_inst_CMD, -- .hps_io_sdio_inst_CMD
hps_0_hps_io_hps_io_sdio_inst_D0 => CONNECTED_TO_hps_0_hps_io_hps_io_sdio_inst_D0, -- .hps_io_sdio_inst_D0
hps_0_hps_io_hps_io_sdio_inst_D1 => CONNECTED_TO_hps_0_hps_io_hps_io_sdio_inst_D1, -- .hps_io_sdio_inst_D1
hps_0_hps_io_hps_io_sdio_inst_CLK => CONNECTED_TO_hps_0_hps_io_hps_io_sdio_inst_CLK, -- .hps_io_sdio_inst_CLK
hps_0_hps_io_hps_io_sdio_inst_D2 => CONNECTED_TO_hps_0_hps_io_hps_io_sdio_inst_D2, -- .hps_io_sdio_inst_D2
hps_0_hps_io_hps_io_sdio_inst_D3 => CONNECTED_TO_hps_0_hps_io_hps_io_sdio_inst_D3, -- .hps_io_sdio_inst_D3
hps_0_hps_io_hps_io_usb1_inst_D0 => CONNECTED_TO_hps_0_hps_io_hps_io_usb1_inst_D0, -- .hps_io_usb1_inst_D0
hps_0_hps_io_hps_io_usb1_inst_D1 => CONNECTED_TO_hps_0_hps_io_hps_io_usb1_inst_D1, -- .hps_io_usb1_inst_D1
hps_0_hps_io_hps_io_usb1_inst_D2 => CONNECTED_TO_hps_0_hps_io_hps_io_usb1_inst_D2, -- .hps_io_usb1_inst_D2
hps_0_hps_io_hps_io_usb1_inst_D3 => CONNECTED_TO_hps_0_hps_io_hps_io_usb1_inst_D3, -- .hps_io_usb1_inst_D3
hps_0_hps_io_hps_io_usb1_inst_D4 => CONNECTED_TO_hps_0_hps_io_hps_io_usb1_inst_D4, -- .hps_io_usb1_inst_D4
hps_0_hps_io_hps_io_usb1_inst_D5 => CONNECTED_TO_hps_0_hps_io_hps_io_usb1_inst_D5, -- .hps_io_usb1_inst_D5
hps_0_hps_io_hps_io_usb1_inst_D6 => CONNECTED_TO_hps_0_hps_io_hps_io_usb1_inst_D6, -- .hps_io_usb1_inst_D6
hps_0_hps_io_hps_io_usb1_inst_D7 => CONNECTED_TO_hps_0_hps_io_hps_io_usb1_inst_D7, -- .hps_io_usb1_inst_D7
hps_0_hps_io_hps_io_usb1_inst_CLK => CONNECTED_TO_hps_0_hps_io_hps_io_usb1_inst_CLK, -- .hps_io_usb1_inst_CLK
hps_0_hps_io_hps_io_usb1_inst_STP => CONNECTED_TO_hps_0_hps_io_hps_io_usb1_inst_STP, -- .hps_io_usb1_inst_STP
hps_0_hps_io_hps_io_usb1_inst_DIR => CONNECTED_TO_hps_0_hps_io_hps_io_usb1_inst_DIR, -- .hps_io_usb1_inst_DIR
hps_0_hps_io_hps_io_usb1_inst_NXT => CONNECTED_TO_hps_0_hps_io_hps_io_usb1_inst_NXT, -- .hps_io_usb1_inst_NXT
hps_0_hps_io_hps_io_spim1_inst_CLK => CONNECTED_TO_hps_0_hps_io_hps_io_spim1_inst_CLK, -- .hps_io_spim1_inst_CLK
hps_0_hps_io_hps_io_spim1_inst_MOSI => CONNECTED_TO_hps_0_hps_io_hps_io_spim1_inst_MOSI, -- .hps_io_spim1_inst_MOSI
hps_0_hps_io_hps_io_spim1_inst_MISO => CONNECTED_TO_hps_0_hps_io_hps_io_spim1_inst_MISO, -- .hps_io_spim1_inst_MISO
hps_0_hps_io_hps_io_spim1_inst_SS0 => CONNECTED_TO_hps_0_hps_io_hps_io_spim1_inst_SS0, -- .hps_io_spim1_inst_SS0
hps_0_hps_io_hps_io_uart0_inst_RX => CONNECTED_TO_hps_0_hps_io_hps_io_uart0_inst_RX, -- .hps_io_uart0_inst_RX
hps_0_hps_io_hps_io_uart0_inst_TX => CONNECTED_TO_hps_0_hps_io_hps_io_uart0_inst_TX, -- .hps_io_uart0_inst_TX
hps_0_hps_io_hps_io_i2c0_inst_SDA => CONNECTED_TO_hps_0_hps_io_hps_io_i2c0_inst_SDA, -- .hps_io_i2c0_inst_SDA
hps_0_hps_io_hps_io_i2c0_inst_SCL => CONNECTED_TO_hps_0_hps_io_hps_io_i2c0_inst_SCL, -- .hps_io_i2c0_inst_SCL
hps_0_hps_io_hps_io_i2c1_inst_SDA => CONNECTED_TO_hps_0_hps_io_hps_io_i2c1_inst_SDA, -- .hps_io_i2c1_inst_SDA
hps_0_hps_io_hps_io_i2c1_inst_SCL => CONNECTED_TO_hps_0_hps_io_hps_io_i2c1_inst_SCL, -- .hps_io_i2c1_inst_SCL
hps_0_hps_io_hps_io_gpio_inst_GPIO09 => CONNECTED_TO_hps_0_hps_io_hps_io_gpio_inst_GPIO09, -- .hps_io_gpio_inst_GPIO09
hps_0_hps_io_hps_io_gpio_inst_GPIO35 => CONNECTED_TO_hps_0_hps_io_hps_io_gpio_inst_GPIO35, -- .hps_io_gpio_inst_GPIO35
hps_0_hps_io_hps_io_gpio_inst_GPIO40 => CONNECTED_TO_hps_0_hps_io_hps_io_gpio_inst_GPIO40, -- .hps_io_gpio_inst_GPIO40
hps_0_hps_io_hps_io_gpio_inst_GPIO53 => CONNECTED_TO_hps_0_hps_io_hps_io_gpio_inst_GPIO53, -- .hps_io_gpio_inst_GPIO53
hps_0_hps_io_hps_io_gpio_inst_GPIO54 => CONNECTED_TO_hps_0_hps_io_hps_io_gpio_inst_GPIO54, -- .hps_io_gpio_inst_GPIO54
hps_0_hps_io_hps_io_gpio_inst_GPIO61 => CONNECTED_TO_hps_0_hps_io_hps_io_gpio_inst_GPIO61, -- .hps_io_gpio_inst_GPIO61
memory_mem_a => CONNECTED_TO_memory_mem_a, -- memory.mem_a
memory_mem_ba => CONNECTED_TO_memory_mem_ba, -- .mem_ba
memory_mem_ck => CONNECTED_TO_memory_mem_ck, -- .mem_ck
memory_mem_ck_n => CONNECTED_TO_memory_mem_ck_n, -- .mem_ck_n
memory_mem_cke => CONNECTED_TO_memory_mem_cke, -- .mem_cke
memory_mem_cs_n => CONNECTED_TO_memory_mem_cs_n, -- .mem_cs_n
memory_mem_ras_n => CONNECTED_TO_memory_mem_ras_n, -- .mem_ras_n
memory_mem_cas_n => CONNECTED_TO_memory_mem_cas_n, -- .mem_cas_n
memory_mem_we_n => CONNECTED_TO_memory_mem_we_n, -- .mem_we_n
memory_mem_reset_n => CONNECTED_TO_memory_mem_reset_n, -- .mem_reset_n
memory_mem_dq => CONNECTED_TO_memory_mem_dq, -- .mem_dq
memory_mem_dqs => CONNECTED_TO_memory_mem_dqs, -- .mem_dqs
memory_mem_dqs_n => CONNECTED_TO_memory_mem_dqs_n, -- .mem_dqs_n
memory_mem_odt => CONNECTED_TO_memory_mem_odt, -- .mem_odt
memory_mem_dm => CONNECTED_TO_memory_mem_dm, -- .mem_dm
memory_oct_rzqin => CONNECTED_TO_memory_oct_rzqin, -- .oct_rzqin
reset_reset_n => CONNECTED_TO_reset_reset_n -- reset.reset_n
);
| mit | fb595384c8c972d2d02bd257f6f064ab | 0.458565 | 2.883893 | false | false | false | false |
jz0229/open-ephys-pcie | oepcie_host_firmware/HDLs/cobs_encoder.vhd | 1 | 2,537 | --this is the COBS encoder
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
library work;
use work.myDeclare.all;
entity cobs_encoder is
Port (
bus_clk : in std_logic;
reset : in std_logic;
--cobs inputs
pre_cobs_data_in : in async_stream_type;
data_in_length : in std_logic_vector(4 downto 0);
cobs_conv_begin : in std_logic;
--cobs outputs
cobs_data_out : out cobs_stream_types;
data_out_length : out std_logic_vector(4 downto 0);
cobs_conv_rdy : out std_logic
);
end cobs_encoder;
architecture Behavioral of cobs_encoder is
type cobs_sm_type is (IDLE, CONV);
signal cobs_sm : cobs_sm_type;
signal vec_cnt : unsigned(4 downto 0);
signal idxreg : unsigned(4 downto 0);
signal cobs_data : cobs_stream_types;
signal pre_cobs_data : cobs_stream_types; --this is put into cobs_data format with the padding for consistency.
begin
cobs_data_out <= cobs_data;
--cobs process
cobs_proc: process(bus_clk, reset, cobs_conv_begin, idxreg, vec_cnt)
begin
if (reset = '1') then
cobs_sm <= IDLE;
vec_cnt <= (others=>'0');
idxreg <= to_unsigned(24,5); --always initialized to 254, last position of the COBS data.
cobs_conv_rdy <= '0';
for i in 0 to 25 loop
cobs_data(i) <= (others=>'0');
pre_cobs_data(i) <= (others=>'0');
end loop;
elsif (rising_edge(bus_clk)) then
case cobs_sm is
when IDLE => --idle state
if cobs_conv_begin = '1' then
cobs_sm <= CONV;
vec_cnt <= unsigned(data_in_length); --initilize the vector count to data_in_length
idxreg <= unsigned(data_in_length)+1; --initlize reg1 to data_in_length
for i in 0 to 23 loop
pre_cobs_data(i+1) <= pre_cobs_data_in(i);
end loop;
pre_cobs_data(25) <= (others=>'0'); --always fill the 255 position with 0.
pre_cobs_data(0) <= (others=>'1');
data_out_length <= (others=>'0');
end if;
cobs_conv_rdy <= '0'; --lower the conv flag
when CONV =>
if vec_cnt >= 1 then
vec_cnt <= vec_cnt - 1;
if pre_cobs_data(to_integer(vec_cnt)) = "00000000" then
cobs_data(to_integer(vec_cnt)) <= "000" & std_logic_vector(idxreg - vec_cnt);
idxreg <= vec_cnt;
else
cobs_data(to_integer(vec_cnt)) <= pre_cobs_data(to_integer(vec_cnt));
end if;
else
cobs_sm <= IDLE;
cobs_data(0) <= "000" & std_logic_vector(idxreg);
cobs_conv_rdy <= '1';
data_out_length <= std_logic_vector(unsigned(data_in_length) + 2);
end if;
end case;
end if;
end process;
end Behavioral;
| mit | 0c0b24adae0e7bc4b6a2ab3a6605b597 | 0.629878 | 2.909404 | false | false | false | false |
cafe-alpha/wasca | obsolete/fpga_firmware_V2/wasca_dev_v1_0/wasca_dev_v1_0.srcs/sources_1/bd/wasca_toplevel/hdl/wasca_toplevel_wrapper.vhd | 2 | 4,975 | --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2016.2 (win64) Build 1577090 Thu Jun 2 16:32:40 MDT 2016
--Date : Thu Mar 02 22:09:48 2017
--Host : Tho running 64-bit Service Pack 1 (build 7601)
--Command : generate_target wasca_toplevel_wrapper.bd
--Design : wasca_toplevel_wrapper
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity wasca_toplevel_wrapper is
port (
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_cas_n : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC;
abus_address : in STD_LOGIC_VECTOR ( 25 downto 0 );
abus_chipselect : in STD_LOGIC_VECTOR ( 2 downto 0 );
abus_data_dir : out STD_LOGIC;
abus_irq : inout STD_LOGIC_VECTOR ( 0 to 0 );
abus_irq_dir : out STD_LOGIC;
abus_read : in STD_LOGIC;
abus_reset : in STD_LOGIC;
abus_wait : inout STD_LOGIC;
abus_wait_dir : out STD_LOGIC;
abus_write : in STD_LOGIC_VECTOR ( 1 downto 0 );
data_to_and_from_pins : inout STD_LOGIC_VECTOR ( 15 downto 0 )
);
end wasca_toplevel_wrapper;
architecture STRUCTURE of wasca_toplevel_wrapper is
component wasca_toplevel is
port (
DDR_cas_n : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC;
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC;
data_to_and_from_pins : inout STD_LOGIC_VECTOR ( 15 downto 0 );
abus_chipselect : in STD_LOGIC_VECTOR ( 2 downto 0 );
abus_read : in STD_LOGIC;
abus_reset : in STD_LOGIC;
abus_irq : inout STD_LOGIC_VECTOR ( 0 to 0 );
abus_write : in STD_LOGIC_VECTOR ( 1 downto 0 );
abus_wait : inout STD_LOGIC;
abus_address : in STD_LOGIC_VECTOR ( 25 downto 0 );
abus_data_dir : out STD_LOGIC;
abus_irq_dir : out STD_LOGIC;
abus_wait_dir : out STD_LOGIC
);
end component wasca_toplevel;
begin
wasca_toplevel_i: component wasca_toplevel
port map (
DDR_addr(14 downto 0) => DDR_addr(14 downto 0),
DDR_ba(2 downto 0) => DDR_ba(2 downto 0),
DDR_cas_n => DDR_cas_n,
DDR_ck_n => DDR_ck_n,
DDR_ck_p => DDR_ck_p,
DDR_cke => DDR_cke,
DDR_cs_n => DDR_cs_n,
DDR_dm(3 downto 0) => DDR_dm(3 downto 0),
DDR_dq(31 downto 0) => DDR_dq(31 downto 0),
DDR_dqs_n(3 downto 0) => DDR_dqs_n(3 downto 0),
DDR_dqs_p(3 downto 0) => DDR_dqs_p(3 downto 0),
DDR_odt => DDR_odt,
DDR_ras_n => DDR_ras_n,
DDR_reset_n => DDR_reset_n,
DDR_we_n => DDR_we_n,
FIXED_IO_ddr_vrn => FIXED_IO_ddr_vrn,
FIXED_IO_ddr_vrp => FIXED_IO_ddr_vrp,
FIXED_IO_mio(53 downto 0) => FIXED_IO_mio(53 downto 0),
FIXED_IO_ps_clk => FIXED_IO_ps_clk,
FIXED_IO_ps_porb => FIXED_IO_ps_porb,
FIXED_IO_ps_srstb => FIXED_IO_ps_srstb,
abus_address(25 downto 0) => abus_address(25 downto 0),
abus_chipselect(2 downto 0) => abus_chipselect(2 downto 0),
abus_data_dir => abus_data_dir,
abus_irq(0) => abus_irq(0),
abus_irq_dir => abus_irq_dir,
abus_read => abus_read,
abus_reset => abus_reset,
abus_wait => abus_wait,
abus_wait_dir => abus_wait_dir,
abus_write(1 downto 0) => abus_write(1 downto 0),
data_to_and_from_pins(15 downto 0) => data_to_and_from_pins(15 downto 0)
);
end STRUCTURE;
| gpl-2.0 | dda0149f64e25904bd012aebb672185f | 0.601608 | 3.117168 | false | false | false | false |
upci/upci | Projeto/alu.vhd | 1 | 3,059 | ---- Arithmetic Logic Unit ---------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.numeric_std.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE work.processor_functions.all;
------------------------------------------------------------------------------------------------------------------
ENTITY alu IS
PORT (clk, nrst: IN STD_LOGIC; -- reset ativo em zero
ALU_cmd: IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- 3 bits que indicam a operacao a ser executada pela alu
ALU_zero: OUT STD_LOGIC; -- flag que indica se o resultado da alu foi zero
ALU_slt: OUT STD_LOGIC; -- flag que indica se o resultado da alu foi menor que zero
ALU_valid: IN STD_LOGIC; -- sinal que indica que o resultado da ALU deve ser colocado em ALU_bus (ou Z se 0)
ALU_enable: IN STD_LOGIC; -- sinal que indica se a ALU deve realizar alguma operacao
ALU_bus: INOUT STD_LOGIC_VECTOR(n-1 DOWNTO 0)); -- barramento de entrada/saida
END ENTITY alu;
------------------------------------------------------------------------------------------------------------------
ARCHITECTURE rtl OF alu IS
SIGNAL ACC: STD_LOGIC_VECTOR (n-1 DOWNTO 0); -- acumulador que guardara os resultados da alu
BEGIN
-- Se o ALU_valid = '1', manda o valor do resultado da ALU pro barramento. Caso contrario, manda Z.
ALU_bus <= ACC
WHEN ALU_valid = '1'
ELSE (others => 'Z');
-- Define a flag ALU_zero como 1 caso o acumulador seja todo 0
ALU_zero <= '1'
WHEN UNSIGNED(ACC) = reg_zero
ELSE '0';
ALU_slt <= '1'
WHEN SIGNED(ACC) < 0
ELSE '0';
PROCESS (clk, nrst) IS
BEGIN
-- De forma assincrona, se o reset ficar em nivel 0, volta o acumulador para 0
IF nrst = '0' THEN
ACC <= (others => '0');
-- Se teve uma borda de subida no clock, faz as outras coisas
ELSIF rising_edge(clk) THEN
IF ALU_enable = '1' THEN
-- Verifica o comando para poder decidir o que fazer
CASE ALU_cmd IS
-- Carrega o valor do barramento no ACC (ACC = 0 + BUS)
WHEN "0000" => ACC <= ALU_bus;
-- Soma o valor do barramento ao ACC (ACC = ACC + BUS)
WHEN "0001" => ACC <= ACC + ALU_bus;
-- NOT do valor do barramento (ACC = not BUS)
WHEN "0010" => ACC <= NOT ALU_bus;
-- OR do valor do barramento com o ACC (ACC = ACC or BUS)
WHEN "0011" => ACC <= ACC OR ALU_bus;
-- AND do valor do barramento com o ACC (ACC = ACC and BUS)
WHEN "0100" => ACC <= ACC AND ALU_bus;
-- XOR do valor do barramento com o ACC (ACC = ACC xor BUS)
WHEN "0101" => ACC <= ACC XOR ALU_bus;
-- Incrementa o ACC (ACC = ACC + 1)
WHEN "0110" => ACC <= ACC + 1;
-- Subtracao
WHEN "0111" => ACC <= ACC - ALU_bus;
-- Decremento
WHEN "1000" => ACC <= ACC - 1;
WHEN OTHERS => ACC <= ACC;
END CASE;
END IF;
END IF;
END PROCESS;
END ARCHITECTURE rtl;
------------------------------------------------------------------------------------------------------------------ | gpl-2.0 | 9fad5f86142e6013a5091528786a0552 | 0.531219 | 3.776543 | false | false | false | false |
zhlinh/vhdl_course | Assignment/CHKSEQ/CHKSEQ.vhd | 1 | 1,422 | LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY CHKSEQ IS
PORT(DIN:IN STD_LOGIC;
CLK,RESET:IN STD_LOGIC;
DOUT:OUT STD_LOGIC);
END ENTITY CHKSEQ;
ARCHITECTURE ART OF CHKSEQ IS
TYPE STATETYPE IS(S1,S2,S3,S4,S5,S6,S7,S8);
SIGNAL PRESENT_STATE,NEXT_STATE:STATETYPE;
BEGIN
COMB_PROCESS:PROCESS(DIN,PRESENT_STATE)
BEGIN
CASE PRESENT_STATE IS
WHEN S1=>DOUT<='0';
IF DIN='0' THEN
NEXT_STATE<=S1;
ELSE
NEXT_STATE<=S2;
END IF;
WHEN S2=>DOUT<='0';
IF DIN='0' THEN
NEXT_STATE<=S2;
ELSE
NEXT_STATE<=S3;
END IF;
WHEN S3=>DOUT<='0';
IF DIN='0' THEN
NEXT_STATE<=S1;
ELSE
NEXT_STATE<=S4;
END IF;
WHEN S4=>DOUT<='0';
IF DIN='0' THEN
NEXT_STATE<=S5;
ELSE
NEXT_STATE<=S4;
END IF;
WHEN S5=>DOUT<='0';
IF DIN='0' THEN
NEXT_STATE<=S6;
ELSE
NEXT_STATE<=S2;
END IF;
WHEN S6=>DOUT<='0';
IF DIN='0' THEN
NEXT_STATE<=S1;
ELSE
NEXT_STATE<=S7;
END IF;
WHEN S7=>DOUT<='0';
IF DIN='0' THEN
NEXT_STATE<=S8;
ELSE
NEXT_STATE<=S3;
END IF;
WHEN S8=>DOUT<='1';
IF DIN='0' THEN
NEXT_STATE<=S1;
ELSE
NEXT_STATE<=S2;
END IF;
END CASE;
END PROCESS;
CLK_PROCESS:PROCESS(CLK,RESET)
BEGIN
IF(RESET='1') THEN
PRESENT_STATE<=S1;
ELSIF(CLK'EVENT AND CLK='1') THEN
PRESENT_STATE<=NEXT_STATE;
END IF;
END PROCESS;
END ARCHITECTURE ART;
| apache-2.0 | 44fddfabe331ffb8a68add7e8f4c687b | 0.585091 | 2.512367 | false | false | false | false |
upci/upci | Simulações/testes_memoria_16bits/memory.vhd | 1 | 3,655 | ---- Memory ------------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
USE work.processor_functions.all;
------------------------------------------------------------------------------------------------------------------
ENTITY memory IS
PORT (clk, nrst: IN STD_LOGIC; -- reset ativo em zero
MDR_load: IN STD_LOGIC; -- sinal de carregamento do BUS para MDR
MAR_load: IN STD_LOGIC; -- sinal de carregamento do BUS para MAR
MEM_valid: IN STD_LOGIC; -- sinal que indica que o resultado da MDR deve ser colocado em MEM_bus (ou Z se 0)
MEM_en: IN STD_LOGIC; -- ativacao da memorica para operacoes de leitura e escrita
MEM_rw: IN STD_LOGIC; -- flag que indica se a operacao a ser realizada eh de leitura ou escrita
MEM_bus: INOUT STD_LOGIC_VECTOR(n-1 DOWNTO 0)); -- barramento de entrada/saida
END ENTITY memory;
------------------------------------------------------------------------------------------------------------------
ARCHITECTURE rtl OF memory IS
SIGNAL mdr: STD_LOGIC_VECTOR(wordlen-1 DOWNTO 0); -- registrador de dados
SIGNAL mar: UNSIGNED(wordlen-oplen-1 DOWNTO 0); -- registrador de enderecos
SIGNAL dataIn: std_logic_vector (wordlen-1 DOWNTO 0);
SIGNAL dataOut: std_logic_vector (wordlen-1 DOWNTO 0);
COMPONENT ram_infer IS
PORT
(
clock: IN std_logic;
data: IN std_logic_vector (wordlen-1 DOWNTO 0);
write_address: IN integer RANGE 0 to 2**(n-oplen-1);
read_address: IN integer RANGE 0 to 2**(n-oplen-1);
we: IN std_logic;
q: OUT std_logic_vector (wordlen-1 DOWNTO 0)
);
END COMPONENT;
BEGIN
mem1: ram_infer PORT MAP (clk, dataIn, to_integer(mar), to_integer(mar), MEM_rw, dataOut);
-- Se o MEM_valid = '1', manda o valor do resultado do MDR pro barramento. Caso contrario, manda Z.
MEM_bus <= mdr
WHEN MEM_valid = '1'
ELSE (others => 'Z');
PROCESS (clk, nrst) IS
-- VARIABLE contents: memory_array; -- conteudo da memoria
-- Definicao do valor padrao da memoria (para simular ROM com programa)
CONSTANT program: memory_array := (0 => "0000000000000011",
1 => "0000001000000100",
2 => "0000000100000101",
3 => "0000000000001100",
4 => "0000000000000011",
5 => "0000000000000000" ,
OTHERS => (OTHERS => '0'));
BEGIN
-- De forma assincrona, se o reset ficar em nivel 0, reseta os registradores e conteudo da memoria
IF nrst = '0' THEN
mdr <= (OTHERS => '0');
mar <= (OTHERS => '0');
FOR i IN 0 TO 2**(n-oplen-1) LOOP
dataIn <= program(i);
END LOOP;
-- Se teve uma borda de subida no clock, faz as outras coisas
ELSIF (clk'EVENT AND clk='1') THEN
-- A ordem de prioridade eh: Carregamento do MAR, Carregamento do MDR e leitura/escrita
IF MAR_load = '1' THEN
mar <= UNSIGNED(MEM_bus(n-oplen-1 DOWNTO 0)); -- Para carregar MAR, basta ler o endereco do que tem no BUS (desconsidera o OPCODE)
ELSIF MDR_load = '1' THEN
mdr <= MEM_bus; -- Para carregar MDR, basta ler direto do BUS
ELSIF MEM_en = '1' THEN
IF MEM_rw = '0' THEN
-- mdr <= contents(to_integer(mar)); -- Se for leitura, pega o conteudo do endereco salvo em MAR e manda para MDR
mdr <= dataOut;
ELSE
-- contents(to_integer(mar)) := mdr; -- Se for escrita, escreve MDR no endereco salvo em MAR
dataIn <= mdr;
END IF;
END IF;
END IF;
END PROCESS;
END ARCHITECTURE rtl;
------------------------------------------------------------------------------------------------------------------- | gpl-2.0 | 3dcf1102b4036e01a36fe5d2dc3cd359 | 0.56881 | 3.799376 | false | false | false | false |
thequbit/af_paper | code/xilinx/focus_calculation_pixel_difference_1d.vhd | 1 | 4,432 |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
entity focus_calculation_pixel_difference_1d is
Port ( i_clk : in STD_LOGIC;
i_reset : in STD_LOGIC;
i_framevalid : in STD_LOGIC;
i_linevalid : in STD_LOGIC;
i_Y : in STD_LOGIC_VECTOR(7 downto 0);
--i_dv : in STD_LOGIC;
o_focusvalue : out STD_LOGIC_VECTOR (15 downto 0);
o_dv : out STD_LOGIC);
end focus_calculation_pixel_difference_1d;
architecture Behavioral of focus_calculation_pixel_difference_1d is
--
-- images are 865x577
--
-- ROI box size is 128x128
--
-- (865/2) - (128/2) = 368, "0101110000" (note: -1 for inclusive)
-- (865/2) + (128/2) = 496, "0111110000" (note: +1 for inclusive)
-- (577/2) - (128/2) = 224, "0011100000" (note: -1 for inclusive)
-- (577/2) + (128/2) = 352, "0101100000" (note: +1 for inclusive)
constant C_STARTPIXELCOUNT : STD_LOGIC_VECTOR(9 downto 0) := "0101111110";
constant C_STOPPIXELCOUNT : STD_LOGIC_VECTOR(9 downto 0) := "0111110001";
constant C_STARTLINECOUNT : STD_LOGIC_VECTOR(9 downto 0) := "0011111110";
constant C_STOPLINECOUNT : STD_LOGIC_VECTOR(9 downto 0) := "0101100001";
signal r_framevalidlast : STD_LOGIC;
signal r_linevalidlast : STD_LOGIC;
signal r_linecount : STD_LOGIC_VECTOR(9 downto 0);
signal r_pixelcount : STD_LOGIC_VECTOR(9 downto 0);
signal r_pixelvalid : STD_LOGIC;
signal r_y : STD_LOGIC_VECTOR(7 downto 0);
signal r_y1 : STD_LOGIC_VECTOR(7 downto 0);
signal r_pixelsum : STD_LOGIC_VECTOR(15 downto 0);
signal r_dv : STD_LOGIC;
signal r_focusvalue : STD_LOGIC_VECTOR(15 downto 0);
begin
o_focusvalue <= r_focusvalue;
o_dv <= r_dv;
process( i_clk )
begin
if ( rising_edge( i_clk ) ) then
if ( i_reset = '1' ) then
r_framevalidlast <= '0';
r_linevalidlast <= '0';
else
r_framevalidlast <= i_framevalid;
r_linevalidlast <= i_linevalid;
end if;
end if;
end process;
process( i_clk )
begin
if ( rising_edge( i_clk ) ) then
if ( i_reset = '1' ) then
r_Y <= (others => '0');
r_Y1 <= (others => '0');
else
-- delayed 2 clocks to compensate for r_pixelvalid calculation
r_Y <= i_Y;
r_Y1 <= r_Y;
end if;
end if;
end process;
-- linecount
process( i_clk )
begin
if ( rising_edge( i_clk ) ) then
if ( i_reset = '1' ) then
r_linecount <= (others => '0');
else
r_linecount <= r_linecount;
if ( r_framevalidlast = '0' and i_framevalid = '1' ) then
r_linecount <= (others => '0');
elsif ( i_framevalid = '1' ) then
r_linecount <= r_linecount + '1';
end if;
end if;
end if;
end process;
-- pixelcount
process( i_clk )
begin
if ( rising_edge( i_clk ) ) then
if ( i_reset = '1' ) then
r_pixelcount <= (others => '0');
else
r_pixelcount <= r_pixelcount;
if ( r_linevalidlast = '0' and i_linevalid = '1' ) then
r_pixelcount <= (others => '0');
elsif ( i_framevalid = '1' ) then
r_pixelcount <= r_pixelcount + '1';
end if;
end if;
end if;
end process;
process( i_clk )
begin
if ( rising_edge( i_clk ) ) then
if ( i_reset = '1' ) then
else
r_pixelvalid <= '0';
if ( r_pixelcount > C_STARTPIXELCOUNT and r_pixelcount < C_STOPPIXELCOUNT and r_linecount > C_STARTLINECOUNT and r_linecount < C_STOPLINECOUNT ) then
r_pixelvalid <= '1';
end if;
end if;
end if;
end process;
-- pixelsum
process( i_clk )
begin
if ( rising_edge ( i_clk ) ) then
if ( i_reset = '1' ) then
r_pixelsum <= (others => '0');
else
r_pixelsum <= r_pixelsum;
if ( r_framevalidlast = '0' and i_framevalid = '1' ) and ( r_linevalidlast = '0' and i_linevalid = '1' ) then
r_pixelsum <= (others => '0');
else
if ( r_pixelvalid = '1' ) then
if ( r_Y > r_Y1 ) then
r_pixelsum <= r_pixelsum + (r_Y - r_Y1);
else
r_pixelsum <= r_pixelsum + (r_Y1 - r_Y);
end if;
end if;
end if;
end if;
end if;
end process;
process( i_clk )
begin
if ( rising_edge( i_clk ) ) then
if ( i_reset = '1' ) then
r_dv <= '0';
r_focusvalue <= (others => '0');
else
r_dv <= '0';
r_focusvalue <= r_focusvalue;
if ( r_pixelcount = C_STOPPIXELCOUNT and r_linecount = C_STOPLINECOUNT ) then
r_dv <= '1';
r_focusvalue <= r_pixelsum;
end if;
end if;
end if;
end process;
end Behavioral; | gpl-3.0 | ffde90d5ab01f714674b4eb8b23f49b4 | 0.592283 | 2.790932 | false | false | false | false |
summershrimp/VHDLClock | Counter24.vhd | 1 | 1,018 | Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
Entity Counter24 is
Port(
h:out std_logic_vector(2 downto 0);
l:out std_logic_vector(3 downto 0);
co:out std_logic;
en:in std_logic;
clk:in std_logic;
rst:in std_logic
);
End Entity Counter24;
Architecture ArchCounter24 of Counter24 is
Begin
Process(clk, rst)
Variable tlow:std_logic_vector(3 downto 0);
Variable thigh:std_logic_vector(2 downto 0);
Begin
If rst = '1' then
tlow := (Others => '0' );
thigh := (Others => '0' );
Elsif clk'event and clk='1' Then
co<='0';
If en = '1' Then
If tlow < 10 Then
tlow := tlow + 1;
End If;
If tlow = 10 Then
thigh := thigh + 1;
tlow := (Others => '0' );
End If;
If thigh = 2 Then
if tlow = 4 Then
thigh := (Others => '0');
tlow := (Others => '0');
co<='1';
End If;
End If;
h<=thigh;
l<=tlow;
End If;
End If;
End Process;
End Architecture;
| gpl-2.0 | 09fc4eabca4f2d919097a2c7dd99966c | 0.559921 | 2.796703 | false | false | false | false |
iamllama/EE2020 | ee2020.ip_user_files/ipstatic/hdl/cordic_v6_0_vh_rfs.vhd | 1 | 587,436 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CrZvMcRTbJzopeUzE3WHs8tg4BNq+nGqKVEgw+1mzTP4lUhlSY2Ml4y3RYecjxvxrhvVNAf2LD3W
21QqBm29nw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qh9j2FnmiDcGikf85N1LNuAPtWZt0ZyjxOdeDOjPiw7vy2U0Jt6g2rO3SZ0NONTncF3iI5rUSQfb
RG+Nf0I3cuLQZaJ15NX2Z4E6J/xNOJ4p56V/jYkliiBzwHBc1LhD8notAPU79WSfkKqPLsmNm1Lg
L6X7Gh/y53k3l/4WLkg=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
zAVHAQ2uoHVEPlzwvTfzeTtSdaaD4hO73vuH7IdyX5/CYxbhjGg3Tn74s/jpE2p/rQD9ql7/B3aL
7rtbEaffgTq7ZCcJ0KQdTGRjo26X43w9ROYS2VQLaJhylEXo1V52L3ZQKEPKkcWD/XfmH32wO6k9
Yf0Fg70SAFqRIVyI618=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o8waKvjSvUZO4WFJkdTFo5kxX4lE7JEF6KZiZMNrZ/xkYd7RfJL9hoh9Cr7f1cMyh9Q3nV+EiTxq
ZocVFY4ocZb/5y+Cu8mOZ2I0Qo05n1Av1xltfhUlBCcGQhOrUSr+f/dgJiIi2bKe9/nH8MnSGqxz
NQW8ZtExrMqis5LnJKLpF4/lA01EhfLr/GSxZLXJzVGL6Qtp56Iq0L08ujpjE1y9hpbuYszA/ndo
+0Oc3yYExS1k6L2HfslZgjNLV6elFhsiQFYJ09BHN3cn7oKnI/5ZLhw79zxM3KDg/KBLmCar6Zut
DkC0Py/KQ5erIamb+oHzogeqZHCIHHaLUhLFzQ==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Y4E8tJYFBYgPuvbDhfHOsy5OJa7kQfC0S7yhoVks96NUr7dm62HYVq7efZxDQUEGs4fk3fLRS903
jk8ba9RVIcj7KreaI3fLTl8R2JGJE8sZcdWHcdsK/6LRwL5eQSrMr+wTzaJUONYGJ1a5EBpKuaG5
zj3gk0wFeD10Lu50YUMVwek53worQfbj5o8AIiwNiwAcbLIU5vXCAD2kTpauw8nyS35K3MP2MjDu
DU+q145Fb9915x0mg65y/ov2ra77ZWVvCLQtnKZ+jZ9fmMjLfC+g11QJ0m5yum7Q7hswaou44VYI
IBxIeIqJ3nfB3s74i3Lzp016Yz2AIS3fi8k71g==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hI9SQF0K6RI0kLdSmHIzoNiZMcgcDVxDdkwelw2NQT9EtCHZ7SH8TDU/R7UOtaEL5lVQdgTfa+y2
0ONsLdQ02iWylryr9MKv2/+rSlzfTQrFETuzGNKh0CNs+YgYdOYEbqWBxm+hnSKyLJJSVEG745fY
Y32Qt9TssWLU4zqFciXf4T8O/BAj6TbxIYeWNuyuXPcoe5I8yAb236ayfN2FO2v8s6otpx/a7pie
yXBxuuOUXx08q+AzSSZlIkVvBIxRNgwTe3qhHeekm1YxRKl37TirZlKdCUev7JBWU3TaExPOUoDa
wAMU8Ysmqv/zIZ+01v0rrXZ7+8iUBV1EMCatVw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 432720)
`protect data_block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`protect end_protected
| gpl-3.0 | 780bc25ad0b3216369f8b0d38c58e613 | 0.955668 | 1.828145 | false | false | false | false |
zhlinh/vhdl_course | Assignment/FREQ_CNT/ALERT.vhd | 1 | 1,321 | --Alert
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY ALERT IS
PORT( DATA_RANGE :IN STD_LOGIC;
CARRY_LABEL :IN STD_LOGIC;
D1_IN :IN STD_LOGIC_VECTOR(3 DOWNTO 0);
D2_IN :IN STD_LOGIC_VECTOR(3 DOWNTO 0);
D3_IN :IN STD_LOGIC_VECTOR(3 DOWNTO 0);
D4_IN :IN STD_LOGIC_VECTOR(3 DOWNTO 0);
D1_OUT :OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
D2_OUT :OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
D3_OUT :OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
BEEP :OUT STD_LOGIC;
RANGE_DISP :OUT STD_LOGIC);
END ENTITY ALERT;
ARCHITECTURE ART1 OF ALERT IS
BEGIN
PROCESS(DATA_RANGE,D1_IN,D2_IN,D3_IN)
BEGIN
IF (DATA_RANGE='0') THEN
IF (D4_IN /= "0000")THEN
D1_OUT <= "0000";
D2_OUT <= "0000";
D3_OUT <= "0000";
RANGE_DISP <= '0';
BEEP <= '1';
ELSE
D1_OUT <= D1_IN;
D2_OUT <= D2_IN;
D3_OUT <= D3_IN;
RANGE_DISP <= '0';
BEEP <= '0';
END IF;
ELSE
IF (CARRY_LABEL = '1') THEN
D1_OUT <= "0000";
D2_OUT <= "0000";
D3_OUT <= "0000";
RANGE_DISP <= '1';
BEEP <= '1';
ELSE
D1_OUT <= D2_IN;
D2_OUT <= D3_IN;
D3_OUT <= D4_IN;
RANGE_DISP <= '1';
BEEP <= '0';
END IF;
END IF;
END PROCESS;
END ARCHITECTURE ART1;
| apache-2.0 | 5e762fedb4375dfe1dded040571875ef | 0.551098 | 2.406193 | false | false | false | false |
kjellhar/ArtixPi | spi_test/src/hdl/top.vhd | 1 | 6,020 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06/16/2016 03:43:39 AM
-- Design Name:
-- Module Name: top - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top is
Port ( clk1 : in STD_LOGIC;
clk1_en : out STD_LOGIC;
usr_led1 : out std_logic;
usr_led2 : out std_logic;
PI_GPIO8 : in std_logic; -- SPI SS_N
PI_GPIO9 : out std_logic; -- SPI_MISO
PI_GPIO10 : in std_logic; -- SPI_MOSI
PI_GPIO11 : in std_logic); -- SPI_CLK
end top;
architecture Behavioral of top is
component clk_wiz_0
port (-- Clock in ports
clk_in1 : in std_logic;
-- Clock out ports
clk_out1 : out std_logic);
end component;
component spi_slave is
Generic (
N : positive := 32; -- 32bit serial word length is default
CPOL : std_logic := '0'; -- SPI mode selection (mode 0 default)
CPHA : std_logic := '0'; -- CPOL = clock polarity, CPHA = clock phase.
PREFETCH : positive := 3); -- prefetch lookahead cycles
Port (
clk_i : in std_logic := 'X'; -- internal interface clock (clocks di/do registers)
spi_ssel_i : in std_logic := 'X'; -- spi bus slave select line
spi_sck_i : in std_logic := 'X'; -- spi bus sck clock (clocks the shift register core)
spi_mosi_i : in std_logic := 'X'; -- spi bus mosi input
spi_miso_o : out std_logic := 'X'; -- spi bus spi_miso_o output
di_req_o : out std_logic; -- preload lookahead data request line
di_i : in std_logic_vector (N-1 downto 0) := (others => 'X'); -- parallel load data in (clocked in on rising edge of clk_i)
wren_i : in std_logic := 'X'; -- user data write enable
wr_ack_o : out std_logic; -- write acknowledge
do_valid_o : out std_logic; -- do_o data valid strobe, valid during one clk_i rising edge.
do_o : out std_logic_vector (N-1 downto 0); -- parallel output (clocked out on falling clk_i)
--- debug ports: can be removed for the application circuit ---
do_transfer_o : out std_logic; -- debug: internal transfer driver
wren_o : out std_logic; -- debug: internal state of the wren_i pulse stretcher
rx_bit_next_o : out std_logic; -- debug: internal rx bit
state_dbg_o : out std_logic_vector (3 downto 0); -- debug: internal state register
sh_reg_dbg_o : out std_logic_vector (N-1 downto 0) -- debug: internal shift register
);
end component;
signal clk100m : std_logic;
signal spi_ss_n : std_logic;
signal spi_clk : std_logic;
signal spi_miso : std_logic;
signal spi_mosi : std_logic;
signal di_req : std_logic;
signal di : std_logic_vector(7 downto 0);
signal wren : std_logic;
signal wr_ack : std_logic;
signal do_valid : std_logic;
signal do : std_logic_vector (7 downto 0);
signal di_reg : std_logic_vector (7 downto 0) := X"00";
signal do_reg : std_logic_vector (7 downto 0);
signal di_data_ready : std_logic := '1';
begin
clkgen : clk_wiz_0
port map (
-- Clock in ports
clk_in1 => clk1,
-- Clock out ports
clk_out1 => clk100m
);
clk1_en <= '1';
spi_ss_n <= PI_GPIO8;
spi_clk <= PI_GPIO11;
PI_GPIO9 <= spi_miso;
spi_mosi <= PI_GPIO10;
u_spi_slave : spi_slave
Generic map (
N => 8,
CPOL => '0',
CPHA => '0',
PREFETCH => 3)
Port map(
clk_i => clk100m,
spi_ssel_i => spi_ss_n,
spi_sck_i => spi_clk,
spi_mosi_i => spi_mosi,
spi_miso_o => spi_miso,
di_req_o => di_req,
di_i => di,
wren_i => wren,
wr_ack_o => wr_ack,
do_valid_o => do_valid,
do_o => do);
process
variable counter : integer range 0 to 255 := 0;
begin
wait until rising_edge (clk100m);
if do_valid = '1' then
do_reg <= do;
end if;
if di_data_ready = '1' then
di_reg <= do_reg;
wren <= '1';
di_data_ready <= '0';
else
wren <= '0';
end if;
if wr_ack = '1' then
di_data_ready <= '1';
end if;
end process;
di <= di_reg;
--usr_led1 <= reg_di(0);
--usr_led2 <= reg_di(1);
end Behavioral;
| gpl-3.0 | d5bfb95d909ce0eb52c0b9fa704e05fc | 0.454651 | 4.163209 | false | false | false | false |
Hyvok/HardHeat | src/debounce.vhd | 1 | 1,207 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.utils_pkg.all;
entity debounce is
generic
(
-- Debounce time in clock cycles
DEBOUNCE_D : natural;
FLIPFLOPS_N : positive
);
port
(
clk : in std_logic;
reset : in std_logic;
sig_in : in std_logic;
sig_out : out std_logic
);
end entity;
architecture rtl of debounce is
signal flipflops : std_logic_vector(FLIPFLOPS_N - 1 downto 0);
signal timer_set : std_logic;
signal timer : unsigned(ceil_log2(DEBOUNCE_D) downto 0);
begin
timer_set <= flipflops(flipflops'high) xor flipflops(flipflops'high - 1);
process(clk, reset)
begin
if reset = '1' then
flipflops <= (others => '0');
timer <= (others => '0');
sig_out <= '0';
elsif rising_edge(clk) then
flipflops <= shift_left_vec(flipflops, 1, sig_in);
-- Reset counter, input is changing
if timer_set = '1' then
timer <= (others => '0');
elsif timer < DEBOUNCE_D then
timer <= timer + 1;
else
sig_out <= flipflops(flipflops'high);
end if;
end if;
end process;
end;
| mit | 1be796eb0cc4d4448f157574cd5fe5b6 | 0.584093 | 3.201592 | false | false | false | false |
zhlinh/vhdl_course | Assignment/IMG_LSB/RGB2YUV.vhd | 1 | 1,678 | --RGB2YUV entity
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE WORK.MYTYPE.ALL;
ENTITY RGB2YUV IS
PORT(RESET: IN STD_LOGIC;
CLK: IN STD_LOGIC;
ENABLE: IN STD_LOGIC;
R_IN: IN COLOR;
G_IN: IN COLOR;
B_IN: IN COLOR;
Y_OUT: OUT COLOR;
U_OUT: OUT COLOR;
V_OUT: OUT COLOR);
END ENTITY RGB2YUV;
ARCHITECTURE ART1 OF RGB2YUV IS
BEGIN
CLOCK: PROCESS(CLK,RESET,ENABLE)
VARIABLE Y_REG: COLOR;
VARIABLE U_REG: COLOR;
VARIABLE V_REG: COLOR;
VARIABLE TEMP: INTEGER RANGE -512 TO 512;
BEGIN
IF(RESET='1' OR ENABLE='0') THEN
Y_OUT<=0;
U_OUT<=0;
V_OUT<=0;
ELSIF(CLK'EVENT AND CLK='1') THEN
TEMP:=(299*R_IN + 587*G_IN + 114*B_IN)/1000;
--调整
IF(TEMP<0) THEN
Y_REG:=0;
ELSIF(TEMP>255) THEN
Y_REG:=255;
ELSE
Y_REG:=TEMP;
END IF;
TEMP:=(-169*R_IN - 331*G_IN + 500*B_IN)/1000 + 128;
--调整
IF(TEMP<0) THEN
U_REG:=0;
ELSIF(TEMP>255) THEN
U_REG:=255;
ELSE
U_REG:=TEMP;
END IF;
TEMP:=(500*R_IN - 419*G_IN - 81*B_IN)/1000 + 128;
--调整
IF(TEMP<0) THEN
V_REG:=0;
ELSIF(TEMP>255) THEN
V_REG:=255;
ELSE
V_REG:=TEMP;
END IF;
Y_OUT<=Y_REG;
U_OUT<=U_REG;
V_OUT<=V_REG;
END IF;
END PROCESS;
END ARCHITECTURE ART1;
| apache-2.0 | 99196b9d70a414f5b8d276deb5828fda | 0.452581 | 3.352113 | false | false | false | false |
jz0229/open-ephys-pcie | oepcie_host_firmware/HDLs/myDeclare.vhd | 1 | 1,943 |
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use ieee.numeric_std.all;
package myDeclare is
constant MEMARRAYLENGTH : integer := 11;
constant HS_MEMARRAY_LENGTH : integer := 5;
constant MAXDEVICENUMBER : integer := 16;
constant LOG2_MAX_DEVICE_NUMBER : integer := 4;
constant LOG2_MAX_DATA_FRAME_PER_DEVICE: integer := 10;
--memory register blocks
type mem_type is array (0 to MEMARRAYLENGTH-1) of std_logic_vector(31 downto 0);
type async_stream_type is array (0 to 23) of std_logic_vector(7 downto 0);
type cobs_stream_types is array (0 to 25) of std_logic_vector(7 downto 0);
--constants below are used at the headstage only
constant NUMBEROFDEVICE : integer := 3;
constant LOG2NUMBEROFDEVICE : integer := 2;
type data_length_array_type is array (0 to NUMBEROFDEVICE-1) of integer;
constant data_length_array : data_length_array_type := (67, 67, 9); --this is in units of 32bits
constant MAXSAMPLES : integer := 10;
constant LOG2MAXSAMPLES : integer := 4;
type device_data_array_type is array (0 to NUMBEROFDEVICE-1) of std_logic_vector(15 downto 0);
--FIFO declarations
COMPONENT fifo_imu_16bits
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT fifo_intan_16bits
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC
);
END COMPONENT;
end myDeclare;
package body myDeclare is
end myDeclare;
| mit | fa0a075b5c533a2150fc4af88d49d417 | 0.685538 | 3.164495 | false | false | false | false |
jz0229/open-ephys-pcie | serdes-interface/firmware/i2c_slave.vhd | 2 | 18,827 | ----------------------------------------------------------------------------------
-- This slave I2C interface
<<<<<<< HEAD
-- this slave module does not stretch the clock, because it doesn't need to.
-- by: Jie (Jack) Zhang MWL-MIT
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity i2c_slave is
generic (
input_clk : integer := 10_000_000; --input clock speed from user logic in Hz
bus_clk : integer := 100_000; --speed the i2c bus (scl) will run at in Hz
ID : std_logic_vector(6 downto 0) := "1010000"); --Device specific ID
port (
clk : in std_logic; --system clock
reset : in std_logic; --active high reset
sda : inout std_logic; --serial data i2c bus
scl : inout std_logic; --serial clock i2c bus
wr_enb : out std_logic; --0: write to slave 1: read from slave
rd_enb : out std_logic;
addrout : out std_logic_vector(7 downto 0);
regin : in std_logic_vector(7 downto 0); --register values to send through i2c
regout : out std_logic_vector(7 downto 0)
);
end i2c_slave;
architecture Behavioral of i2c_slave is
signal clk10x : std_logic;
signal sda_sync, scl_sync, sda_sync_dl, scl_sync_dl : std_logic;
signal rx_cnt, rx_cnt_next : unsigned(3 downto 0);
signal tx_cnt, tx_cnt_next : unsigned(2 downto 0);
signal data_reg, data_reg_next : std_logic_vector(7 downto 0);
signal wr_reg, wr_reg_next : std_logic_vector(7 downto 0);
signal rd_reg, rd_reg_next : std_logic_vector(7 downto 0);
signal addr_reg, addr_reg_next : std_logic_vector(7 downto 0);
signal sda_i, sda_i_next : std_logic;
signal wr_rd, wr_rd_next : std_logic;
signal datacnt, datacnt_next : std_logic;
constant divider : integer := (input_clk/bus_clk)/10; --number of clocks in 1/10 cycle of scl
type machine is(READY, DEVICEID, SLV_ACK1, WRVALUE, SLV_ACK2, RDVALUE, PRESTOP, STOP); --needed states
=======
-- currently only does supports WRITE options
-- by: Jie (Jack) Zhang MWL-MIT
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity i2c_slave is
generic (
input_clk : integer := 50_000_000; --input clock speed from user logic in Hz
bus_clk : integer := 500_000; --speed the i2c bus (scl) will run at in Hz
ID : std_logic_vector(6 downto 0) := "1010101"); --Device specific ID
port (
clk : in std_logic; --system clock
reset : in std_logic; --active high reset
sda : inout std_logic; --serial data i2c bus
scl : inout std_logic; --serial clock i2c bus
wr_enb : out std_logic; --0: write to slave 1: read from slave
rd_enb : out std_logic;
addrout : out std_logic_vector(7 downto 0);
regin : in std_logic_vector(7 downto 0); --register values to send through i2c
regout : out std_logic_vector(7 downto 0)
);
end i2c_slave;
architecture Behavioral of i2c_slave is
signal clk4x : std_logic;
signal sda_sync, scl_sync, sda_sync_dl, scl_sync_dl : std_logic;
signal rx_cnt, rx_cnt_next : unsigned(3 downto 0);
signal data_reg, data_reg_next : std_logic_vector(7 downto 0);
signal wr_reg, wr_reg_next : std_logic_vector(7 downto 0);
signal rd_reg, rd_reg_next : std_logic_vector(7 downto 0);
signal addr_reg, addr_reg_next : std_logic_vector(7 downto 0);
signal sda_i, sda_i_next : std_logic;
signal wr_rd, wr_rd_next : std_logic;
signal datacnt, datacnt_next : std_logic;
constant divider : integer := (input_clk/bus_clk)/4; --number of clocks in 1/4 cycle of scl
type machine is(READY, DEVICEID, SLV_ACK1, WRVALUE, SLV_ACK2, RDVALUE, STOP); --needed states
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
signal slv_state, slv_state_next : machine;
--a general clock divider
component clk_div is
generic (MAXD : natural := 5);
port (
<<<<<<< HEAD
clk : in std_logic;
reset : in std_logic;
div : in integer range 0 to MAXD;
div_clk : out std_logic
=======
clk : in std_logic;
reset : in std_logic;
div : in integer range 0 to MAXD;
div_clk : out std_logic
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
);
end component;
begin
--mapping
addrout <= addr_reg(7 downto 0);
<<<<<<< HEAD
regout <= wr_reg(7 downto 0);
--sync sda and scl inout pins and delay them for 1 clock cycle
i2c_sync_proc : process (clk10x, reset)
begin
if (reset = '1') then
sda_sync <= '0';
scl_sync <= '0';
sda_sync_dl <= '0';
scl_sync_dl <= '0';
elsif (rising_edge(clk10x)) then
sda_sync <= to_x01(sda);
scl_sync <= to_x01(scl);
=======
regout <= wr_reg(7 downto 0);
--sync sda and scl inout pins and delay them for 1 clock cycle
i2c_sync_proc : process (clk4x, reset)
begin
if (reset = '1') then
sda_sync <= '0';
scl_sync <= '0';
sda_sync_dl <= '0';
scl_sync_dl <= '0';
elsif (rising_edge(clk4x)) then
sda_sync <= to_x01(sda);
scl_sync <= to_x01(scl);
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
sda_sync_dl <= sda_sync;
scl_sync_dl <= scl_sync;
end if;
end process;
--get a clock: use 50MHz to divide by the divider
<<<<<<< HEAD
clk_div_10x : clk_div
generic map(MAXD => divider)
port map(clk => clk, reset => reset, div => divider, div_clk => clk10x);
main_slave_sm : process (clk10x, reset)
begin
if reset = '1' then
slv_state <= READY;
rx_cnt <= (others => '0');
tx_cnt <= to_unsigned(7, 3);
data_reg <= (others => '0');
addr_reg <= (others => '0');
wr_reg <= (others => '0');
rd_reg <= (others => '0');
sda_i <= '0';
datacnt <= '0';
elsif rising_edge(clk10x) then
slv_state <= slv_state_next;
rx_cnt <= rx_cnt_next;
tx_cnt <= tx_cnt_next;
data_reg <= data_reg_next;
addr_reg <= addr_reg_next;
wr_reg <= wr_reg_next;
rd_reg <= rd_reg_next;
sda_i <= sda_i_next;
datacnt <= datacnt_next;
end if;
end process;
--next state logics in a two-segmented approach
main_slave_sm_next : process (clk10x, reset, sda_i, tx_cnt, slv_state, sda_sync, scl_sync, sda_sync_dl, datacnt, scl_sync_dl, rx_cnt, data_reg, wr_reg, rd_reg, regin, addr_reg)
=======
clk_div_4x : clk_div
generic map(MAXD => divider)
port map(clk => clk, reset => reset, div => divider, div_clk => clk4x);
main_slave_sm : process (clk4x, reset)
begin
if reset = '1' then
slv_state <= READY;
rx_cnt <= (others => '0');
data_reg <= (others => '0');
addr_reg <= (others => '0');
wr_reg <= (others => '0');
rd_reg <= (others => '0');
sda_i <= '0';
datacnt <= '0';
elsif rising_edge(clk4x) then
slv_state <= slv_state_next;
rx_cnt <= rx_cnt_next;
data_reg <= data_reg_next;
addr_reg <= addr_reg_next;
wr_reg <= wr_reg_next;
rd_reg <= rd_reg_next;
sda_i <= sda_i_next;
datacnt <= datacnt_next;
end if;
end process;
--next state logics in a two-segmented approach
main_slave_sm_next : process (clk4x, reset, slv_state, sda_sync, scl_sync, sda_sync_dl, datacnt, scl_sync_dl, rx_cnt, data_reg, wr_reg, rd_reg, regin, addr_reg)
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
begin
case slv_state is
when READY =>
data_reg_next <= (others => '0'); --reset addr value
<<<<<<< HEAD
rx_cnt_next <= (others => '0');
sda_i_next <= '1'; --sitting high if not used
wr_reg_next <= wr_reg;
rd_reg_next <= rd_reg;
=======
rx_cnt_next <= (others => '0');
sda_i_next <= '1'; --sitting high if not used
wr_reg_next <= wr_reg;
rd_reg_next <= rd_reg;
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
addr_reg_next <= addr_reg;
if (sda_sync_dl = '1' and sda_sync = '0') and (scl_sync_dl = '1' and scl_sync = '1') then --detects a downward transition on the sda line while no change on scl line
slv_state_next <= DEVICEID;
else
slv_state_next <= READY;
end if;
datacnt_next <= '0';
<<<<<<< HEAD
tx_cnt_next <= to_unsigned(7, 3);
when DEVICEID => --this state gets the device id, if it matches with the id then send ack signal. Otherwise do nothing.
wr_reg_next <= wr_reg;
rd_reg_next <= rd_reg;
addr_reg_next <= addr_reg;
datacnt_next <= datacnt;
tx_cnt_next <= to_unsigned(7, 3);
=======
when DEVICEID => --this state gets the device id, if it matches with the id then send ack signal. Otherwise do nothing.
wr_reg_next <= wr_reg;
rd_reg_next <= rd_reg;
addr_reg_next <= addr_reg;
datacnt_next <= datacnt;
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
if rx_cnt < 8 then
if (scl_sync_dl = '0' and scl_sync = '1') then --detects a rising edge of scl
--latch data
data_reg_next <= data_reg(6 downto 0) & sda_sync;
<<<<<<< HEAD
rx_cnt_next <= rx_cnt + 1;
else
data_reg_next <= data_reg; --keep value
rx_cnt_next <= rx_cnt;
end if;
slv_state_next <= DEVICEID; --
sda_i_next <= '1';
else
--wait for the falling edge before making an action ...
if (scl_sync_dl = '1' and scl_sync = '0') then
if data_reg(7 downto 1) = ID then --(7 downto 1) is the id, 0th bit is the R/W bit
slv_state_next <= SLV_ACK1;
sda_i_next <= '1';
rx_cnt_next <= (others => '0');
else
slv_state_next <= READY;
sda_i_next <= '1';
rx_cnt_next <= rx_cnt;
end if;
else
slv_state_next <= DEVICEID;
sda_i_next <= '1';
rx_cnt_next <= rx_cnt;
end if;
data_reg_next <= data_reg;
end if;
when SLV_ACK1 =>
wr_reg_next <= wr_reg;
rd_reg_next <= rd_reg;
addr_reg_next <= addr_reg;
datacnt_next <= datacnt;
--wait for clock falling edge ...
if (scl_sync_dl = '1' and scl_sync = '0') then
if data_reg(0) = '0' then
slv_state_next <= WRVALUE;
data_reg_next <= (others => '0'); --reset data value
sda_i_next <= '0';
tx_cnt_next <= tx_cnt;
else
slv_state_next <= RDVALUE;
data_reg_next <= regin;
sda_i_next <= regin(to_integer(tx_cnt));
tx_cnt_next <= to_unsigned(7, 3);
end if;
else
sda_i_next <= '0';
slv_state_next <= SLV_ACK1;
data_reg_next <= data_reg; --keep addr value
tx_cnt_next <= tx_cnt;
end if;
rx_cnt_next <= rx_cnt;
when WRVALUE =>
rd_reg_next <= rd_reg;
datacnt_next <= datacnt;
tx_cnt_next <= to_unsigned(7, 3);
if rx_cnt < 8 then
if ((sda_sync_dl = '1' and sda_sync = '0') and (scl_sync_dl = '1' and scl_sync = '1')) then --this is a start bit!
slv_state_next <= DEVICEID; --
rx_cnt_next <= (others => '0');
data_reg_next <= data_reg;
elsif (scl_sync_dl = '0' and scl_sync = '1') then --detects a rising edge of scl
--latch data
data_reg_next <= data_reg(6 downto 0) & sda_sync;
rx_cnt_next <= rx_cnt + 1;
slv_state_next <= WRVALUE; --
else
data_reg_next <= data_reg; --keep value
rx_cnt_next <= rx_cnt;
slv_state_next <= WRVALUE; --
end if;
sda_i_next <= '1';
wr_reg_next <= wr_reg;
addr_reg_next <= addr_reg;
else
if (scl_sync_dl = '1' and scl_sync = '0') then
slv_state_next <= SLV_ACK2;
data_reg_next <= data_reg;
rx_cnt_next <= (others => '0');
sda_i_next <= '0';
if datacnt = '0' then
wr_reg_next <= wr_reg;
addr_reg_next <= data_reg;
else
wr_reg_next <= data_reg;
addr_reg_next <= addr_reg;
end if;
else
data_reg_next <= data_reg; --keep value
rx_cnt_next <= rx_cnt;
slv_state_next <= WRVALUE;
sda_i_next <= '1';
wr_reg_next <= wr_reg;
=======
rx_cnt_next <= rx_cnt + 1;
else
data_reg_next <= data_reg; --keep value
rx_cnt_next <= rx_cnt;
end if;
slv_state_next <= DEVICEID; --
sda_i_next <= '1';
else
if data_reg(7 downto 1) = ID then --(7 downto 1) is the id, 0th bit is the R/W bit
slv_state_next <= SLV_ACK1;
sda_i_next <= '0';
else
slv_state_next <= READY;
sda_i_next <= '1';
end if;
rx_cnt_next <= (others => '0');
data_reg_next <= data_reg;
end if;
when SLV_ACK1 =>
sda_i_next <= '0';
wr_reg_next <= wr_reg;
rd_reg_next <= rd_reg;
addr_reg_next <= addr_reg;
datacnt_next <= datacnt;
if rx_cnt < 4 then
sda_i_next <= '0';
slv_state_next <= SLV_ACK1;
rx_cnt_next <= rx_cnt + 1;
data_reg_next <= data_reg; --keep addr value
else
if data_reg(0) = '0' then
slv_state_next <= WRVALUE;
data_reg_next <= (others => '0'); --reset data value
else
slv_state_next <= RDVALUE;
data_reg_next <= regin;
end if;
sda_i_next <= '1';
rx_cnt_next <= (others => '0');
end if;
when WRVALUE =>
rd_reg_next <= rd_reg;
datacnt_next <= datacnt;
if rx_cnt < 8 then
if (scl_sync_dl = '0' and scl_sync = '1') then --detects a rising edge of scl
--latch data
data_reg_next <= data_reg(6 downto 0) & sda_sync;
rx_cnt_next <= rx_cnt + 1;
else
data_reg_next <= data_reg; --keep value
rx_cnt_next <= rx_cnt;
end if;
slv_state_next <= WRVALUE; --
sda_i_next <= '1';
wr_reg_next <= wr_reg;
addr_reg_next <= addr_reg;
else
slv_state_next <= SLV_ACK2;
data_reg_next <= data_reg;
rx_cnt_next <= (others => '0');
sda_i_next <= '0';
if datacnt = '0' then
wr_reg_next <= wr_reg;
addr_reg_next <= data_reg;
else
wr_reg_next <= data_reg;
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
addr_reg_next <= addr_reg;
end if;
end if;
when SLV_ACK2 =>
<<<<<<< HEAD
sda_i_next <= '0';
wr_reg_next <= wr_reg;
rd_reg_next <= rd_reg;
addr_reg_next <= addr_reg;
tx_cnt_next <= to_unsigned(7, 3);
data_reg_next <= data_reg; --keep addr value
--wait for the falling edge
if (scl_sync_dl = '1' and scl_sync = '0') then
if datacnt = '0' then
slv_state_next <= WRVALUE;
datacnt_next <= '1';
else
slv_state_next <= STOP;
datacnt_next <= datacnt;
end if;
rx_cnt_next <= (others => '0');
else
slv_state_next <= SLV_ACK2;
rx_cnt_next <= rx_cnt + 1;
datacnt_next <= datacnt;
end if;
when RDVALUE =>
wr_reg_next <= wr_reg;
rd_reg_next <= rd_reg;
datacnt_next <= datacnt;
addr_reg_next <= addr_reg;
data_reg_next <= data_reg;
if (scl_sync_dl = '1' and scl_sync = '0') then
if tx_cnt = 0 then
tx_cnt_next <= tx_cnt;
slv_state_next <= PRESTOP;
else
tx_cnt_next <= tx_cnt - 1;
slv_state_next <= RDVALUE;
end if;
else
tx_cnt_next <= tx_cnt;
slv_state_next <= RDVALUE;
end if;
sda_i_next <= regin(to_integer(tx_cnt));
rx_cnt_next <= rx_cnt;
when PRESTOP =>
--wait for a falling edge
if (scl_sync_dl = '1' and scl_sync = '0') then
slv_state_next <= PRESTOP;
sda_i_next <= sda_i;
rx_cnt_next <= rx_cnt;
else
slv_state_next <= STOP;
sda_i_next <= '1';
rx_cnt_next <= (others => '0');
end if;
tx_cnt_next <= tx_cnt;
wr_reg_next <= wr_reg;
rd_reg_next <= rd_reg;
datacnt_next <= datacnt;
addr_reg_next <= addr_reg;
data_reg_next <= data_reg;
when STOP =>
if (sda_sync_dl = '0' and sda_sync = '1' and scl_sync = '1' and scl_sync_dl = '1') then --detect the stop condition
slv_state_next <= READY;
rx_cnt_next <= rx_cnt;
else
if rx_cnt < 9 then --put a timeout and make it go back to READY state
slv_state_next <= STOP;
rx_cnt_next <= rx_cnt + 1;
else
slv_state_next <= READY;
rx_cnt_next <= rx_cnt;
end if;
end if;
data_reg_next <= data_reg;
sda_i_next <= '1';
wr_reg_next <= wr_reg;
addr_reg_next <= addr_reg;
datacnt_next <= datacnt;
tx_cnt_next <= to_unsigned(7, 3);
=======
sda_i_next <= '0';
wr_reg_next <= wr_reg;
rd_reg_next <= rd_reg;
addr_reg_next <= addr_reg;
if rx_cnt < 4 then
sda_i_next <= '0';
slv_state_next <= SLV_ACK2;
rx_cnt_next <= rx_cnt + 1;
data_reg_next <= data_reg; --keep addr value
datacnt_next <= datacnt;
else
if datacnt = '0' then
slv_state_next <= WRVALUE;
data_reg_next <= (others => '0'); --reset data value
datacnt_next <= '1';
else
slv_state_next <= STOP;
data_reg_next <= (others => '0'); --reset data value
datacnt_next <= datacnt;
end if;
sda_i_next <= '1';
rx_cnt_next <= (others => '0');
end if;
when RDVALUE =>
wr_reg_next <= wr_reg;
rd_reg_next <= rd_reg;
datacnt_next <= datacnt;
addr_reg_next <= addr_reg;
if rx_cnt < 8 then
if (scl_sync_dl = '0' and scl_sync = '1') then --detects a rising edge of scl
--latch data
data_reg_next <= data_reg(6 downto 0) & '0';
rx_cnt_next <= rx_cnt + 1;
else
data_reg_next <= data_reg; --keep value
rx_cnt_next <= rx_cnt;
end if;
slv_state_next <= WRVALUE; --
sda_i_next <= data_reg(7);
rd_reg_next <= rd_reg;
else
slv_state_next <= SLV_ACK2;
data_reg_next <= data_reg;
rx_cnt_next <= (others => '0');
sda_i_next <= '0';
rd_reg_next <= data_reg;
end if;
when STOP =>
if (sda_sync_dl = '0' and sda_sync = '1' and scl_sync = '1' and scl_sync_dl = '1') then --detect the stop condition
slv_state_next <= READY;
rx_cnt_next <= rx_cnt;
else
if rx_cnt < 8 then --put a timeout and make it go back to READY state
slv_state_next <= STOP;
rx_cnt_next <= rx_cnt + 1;
else
slv_state_next <= READY;
rx_cnt_next <= rx_cnt;
end if;
end if;
data_reg_next <= data_reg;
sda_i_next <= '1';
wr_reg_next <= wr_reg;
addr_reg_next <= addr_reg;
datacnt_next <= datacnt;
>>>>>>> 9e62c29c2a11e27a321e2c4a2c9d40dc76aee79c
end case;
end process;
--set scl and sda outputs
scl <= 'Z';
sda <= '0' when sda_i = '0' else 'Z';
end Behavioral; | mit | 9a2500c5f21e7bc68c9927241c16028c | 0.543687 | 2.826028 | false | false | false | false |
thequbit/af_paper | code/xilinx/focus_calculation_pixel_difference_2d.vhd | 1 | 5,846 |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
entity focus_calculation_pixel_difference_2d is
Port ( i_clk : in STD_LOGIC;
i_reset : in STD_LOGIC;
i_framevalid : in STD_LOGIC;
i_linevalid : in STD_LOGIC;
i_Y : in STD_LOGIC_VECTOR(7 downto 0);
--i_dv : in STD_LOGIC;
o_focusvalue : out STD_LOGIC_VECTOR (31 downto 0);
o_dv : out STD_LOGIC);
end focus_calculation_pixel_difference_2d;
architecture Behavioral of focus_calculation_pixel_difference_2d is
--
-- images are 865x577
--
-- ROI box size is 128x128
--
-- (865/2) - (128/2) = 368, "0101110000" (note: -1 for inclusive)
-- (865/2) + (128/2) = 496, "0111110000" (note: +1 for inclusive)
-- (577/2) - (128/2) = 224, "0011100000" (note: -1 for inclusive)
-- (577/2) + (128/2) = 352, "0101100000" (note: +1 for inclusive)
constant C_STARTPIXELCOUNT : STD_LOGIC_VECTOR(9 downto 0) := "0101111110";
constant C_STOPPIXELCOUNT : STD_LOGIC_VECTOR(9 downto 0) := "0111110001";
constant C_STARTLINECOUNT : STD_LOGIC_VECTOR(9 downto 0) := "0011111110";
constant C_STOPLINECOUNT : STD_LOGIC_VECTOR(9 downto 0) := "0101100001";
signal r_framevalidlast : STD_LOGIC;
signal r_linevalidlast : STD_LOGIC;
signal r_linecount : STD_LOGIC_VECTOR(9 downto 0);
signal r_pixelcount : STD_LOGIC_VECTOR(9 downto 0);
signal r_pixelvalid : STD_LOGIC := '0';
signal r_pixelvalid_last : STD_LOGIC := '0';
signal r_y : STD_LOGIC_VECTOR(7 downto 0);
signal r_y1 : STD_LOGIC_VECTOR(7 downto 0);
signal r_pixelsum : STD_LOGIC_VECTOR(31 downto 0);
signal r_dv : STD_LOGIC;
signal r_focusvalue : STD_LOGIC_VECTOR(31 downto 0);
type linetype is array( 0 to 128 ) of std_logic_vector(7 downto 0);
signal lastline : linetype := (others => "00000000");
--signal lineB : linetype;
--signal linepingpong : std_logic := '0';
signal roi_pixelcount : integer range 0 to 129 := 1;
begin
o_focusvalue <= r_focusvalue;
o_dv <= r_dv;
process( i_clk )
begin
if ( rising_edge( i_clk ) ) then
if ( i_reset = '1' ) then
r_framevalidlast <= '0';
r_linevalidlast <= '0';
else
r_framevalidlast <= i_framevalid;
r_linevalidlast <= i_linevalid;
end if;
end if;
end process;
process( i_clk )
begin
if ( rising_edge( i_clk ) ) then
if ( i_reset = '1' ) then
r_Y <= (others => '0');
r_Y1 <= (others => '0');
else
-- delayed 2 clocks to compensate for r_pixelvalid calculation
r_Y <= i_Y;
r_Y1 <= r_Y;
end if;
end if;
end process;
-- linecount
process( i_clk )
begin
if ( rising_edge( i_clk ) ) then
if ( i_reset = '1' ) then
r_linecount <= (others => '0');
else
r_linecount <= r_linecount;
if ( r_framevalidlast = '0' and i_framevalid = '1' ) then
r_linecount <= (others => '0');
elsif ( i_framevalid = '1' ) then
r_linecount <= r_linecount + '1';
end if;
end if;
end if;
end process;
-- pixelcount
process( i_clk )
begin
if ( rising_edge( i_clk ) ) then
if ( i_reset = '1' ) then
r_pixelcount <= (others => '0');
else
r_pixelcount <= r_pixelcount;
if ( r_linevalidlast = '0' and i_linevalid = '1' ) then
r_pixelcount <= (others => '0');
elsif ( i_framevalid = '1' ) then
r_pixelcount <= r_pixelcount + '1';
end if;
end if;
end if;
end process;
process( i_clk )
begin
if ( rising_edge( i_clk ) ) then
if ( i_reset = '1' ) then
else
r_pixelvalid <= '0';
if ( r_pixelcount > C_STARTPIXELCOUNT and r_pixelcount < C_STOPPIXELCOUNT and r_linecount > C_STARTLINECOUNT and r_linecount < C_STOPLINECOUNT ) then
r_pixelvalid <= '1';
end if;
r_pixelvalid_last <= r_pixelvalid;
end if;
end if;
end process;
-- pixelsum
process( i_clk )
variable xdiff : std_logic_vector(7 downto 0) := (others => '0');
variable ydiff : std_logic_vector(7 downto 0) := (others => '0');
begin
if ( rising_edge ( i_clk ) ) then
if ( i_reset = '1' ) then
r_pixelsum <= (others => '0');
--roi_pixelcount <= 1;
else
r_pixelsum <= r_pixelsum;
--roi_pixelcount <= roi_pixelcount;
if ( r_framevalidlast = '0' and i_framevalid = '1' ) and ( r_linevalidlast = '0' and i_linevalid = '1' ) then
r_pixelsum <= (others => '0');
else
if ( r_pixelvalid = '1' ) then
if ( r_Y > r_Y1 ) then
xdiff := (r_Y - r_Y1);
else
xdiff := (r_Y1 - r_Y);
end if;
if ( lastline(roi_pixelcount-1) > r_Y1 ) then
ydiff := (lastline(roi_pixelcount-1) - r_Y1);
else
ydiff := (r_Y1 - lastline(roi_pixelcount-1));
end if;
r_pixelsum <= r_pixelsum + ( ( xdiff * xdiff ) + ( xdiff * xdiff ) );
lastline(roi_pixelcount) <= r_Y1;
--roi_pixelcount <= roi_pixelcount + 1;
end if;
end if;
end if;
end if;
end process;
process( i_clk )
begin
if ( rising_edge ( i_clk ) ) then
if ( i_reset = '1' ) then
roi_pixelcount <= 1;
else
roi_pixelcount <= roi_pixelcount;
if ( r_pixelvalid = '0' ) then
roi_pixelcount <= 1;
else
--if ( (r_pixelvalid_last = '1' and r_pixelvalid = '0') or (r_pixelvalid_last = '0' and r_pixelvalid = '1') ) then
-- roi_pixelcount <= 1;
--else
roi_pixelcount <= roi_pixelcount + 1;
--end if;
end if;
end if;
end if;
end process;
process( i_clk )
begin
if ( rising_edge( i_clk ) ) then
if ( i_reset = '1' ) then
r_dv <= '0';
r_focusvalue <= (others => '0');
else
r_dv <= '0';
r_focusvalue <= r_focusvalue;
if ( r_pixelcount = C_STOPPIXELCOUNT and r_linecount = C_STOPLINECOUNT ) then
r_dv <= '1';
r_focusvalue <= r_pixelsum;
end if;
end if;
end if;
end process;
end Behavioral; | gpl-3.0 | 8e6ab335e28e0a8a00144937ad456488 | 0.583647 | 2.851707 | false | false | false | false |
sudov/options-accel | final_design/verilog/ieee_FP_pkg/float_pkg_c.vhd | 2 | 298,081 | -- --------------------------------------------------------------------
-- "float_pkg" package contains functions for floating point math.
-- Please see the documentation for the floating point package.
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee.numeric_std.all;
-- use ieee_proposed.fixed_float_types.all;
-- use ieee_proposed.fixed_pkg.all;
-- use ieee_proposed.float_pkg.all;
--
-- This verison is designed to work with the VHDL-93 compilers. Please
-- note the "%%%" comments. These are where we diverge from the
-- VHDL-200X LRM.
--
-- --------------------------------------------------------------------
-- Version : $Revision: 2.2 $
-- Date : $Date: 2010/09/22 18:26:46 $
-- --------------------------------------------------------------------
use STD.TEXTIO.all;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.fixed_pkg.all;
package float_pkg is
-- generic (
-- Defaults for sizing routines, when you do a "to_float" this will be
-- the default size. Example float32 would be 8 and 23 (8 downto -23)
constant float_exponent_width : NATURAL := 8;
constant float_fraction_width : NATURAL := 23;
-- Rounding algorithm, "round_nearest" is default, other valid values
-- are "round_zero" (truncation), "round_inf" (round up), and
-- "round_neginf" (round down)
constant float_round_style : round_type := round_nearest;
-- Denormal numbers (very small numbers near zero) true or false
constant float_denormalize : BOOLEAN := true;
-- Turns on NAN processing (invalid numbers and overflow) true of false
constant float_check_error : BOOLEAN := true;
-- Guard bits are added to the bottom of every operation for rounding.
-- any natural number (including 0) are valid.
constant float_guard_bits : NATURAL := 3;
-- If TRUE, then turn off warnings on "X" propagation
constant no_warning : BOOLEAN := (false
);
-- Author David Bishop ([email protected])
-- Note that the size of the vector is not defined here, but in
-- the package which calls this one.
type UNRESOLVED_float is array (INTEGER range <>) of STD_ULOGIC; -- main type
subtype U_float is UNRESOLVED_float;
subtype float is UNRESOLVED_float;
-----------------------------------------------------------------------------
-- Use the float type to define your own floating point numbers.
-- There must be a negative index or the packages will error out.
-- Minimum supported is "subtype float7 is float (3 downto -3);"
-- "subtype float16 is float (6 downto -9);" is probably the smallest
-- practical one to use.
-----------------------------------------------------------------------------
-- IEEE 754 single precision
subtype UNRESOLVED_float32 is UNRESOLVED_float (8 downto -23);
alias U_float32 is UNRESOLVED_float32;
subtype float32 is float (8 downto -23);
-----------------------------------------------------------------------------
-- IEEE-754 single precision floating point. This is a "float"
-- in C, and a FLOAT in Fortran. The exponent is 8 bits wide, and
-- the fraction is 23 bits wide. This format can hold roughly 7 decimal
-- digits. Infinity is 2**127 = 1.7E38 in this number system.
-- The bit representation is as follows:
-- 1 09876543 21098765432109876543210
-- 8 76543210 12345678901234567890123
-- 0 00000000 00000000000000000000000
-- 8 7 0 -1 -23
-- +/- exp. fraction
-----------------------------------------------------------------------------
-- IEEE 754 double precision
subtype UNRESOLVED_float64 is UNRESOLVED_float (11 downto -52);
alias U_float64 is UNRESOLVED_float64;
subtype float64 is float (11 downto -52);
-----------------------------------------------------------------------------
-- IEEE-754 double precision floating point. This is a "double float"
-- in C, and a FLOAT*8 in Fortran. The exponent is 11 bits wide, and
-- the fraction is 52 bits wide. This format can hold roughly 15 decimal
-- digits. Infinity is 2**2047 in this number system.
-- The bit representation is as follows:
-- 3 21098765432 1098765432109876543210987654321098765432109876543210
-- 1 09876543210 1234567890123456789012345678901234567890123456789012
-- S EEEEEEEEEEE FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
-- 11 10 0 -1 -52
-- +/- exponent fraction
-----------------------------------------------------------------------------
-- IEEE 854 & C extended precision
subtype UNRESOLVED_float128 is UNRESOLVED_float (15 downto -112);
alias U_float128 is UNRESOLVED_float128;
subtype float128 is float (15 downto -112);
-----------------------------------------------------------------------------
-- The 128 bit floating point number is "long double" in C (on
-- some systems this is a 70 bit floating point number) and FLOAT*32
-- in Fortran. The exponent is 15 bits wide and the fraction is 112
-- bits wide. This number can handle approximately 33 decimal digits.
-- Infinity is 2**32,767 in this number system.
-----------------------------------------------------------------------------
-- purpose: Checks for a valid floating point number
type valid_fpstate is (nan, -- Signaling NaN (C FP_NAN)
quiet_nan, -- Quiet NaN (C FP_NAN)
neg_inf, -- Negative infinity (C FP_INFINITE)
neg_normal, -- negative normalized nonzero
neg_denormal, -- negative denormalized (FP_SUBNORMAL)
neg_zero, -- -0 (C FP_ZERO)
pos_zero, -- +0 (C FP_ZERO)
pos_denormal, -- Positive denormalized (FP_SUBNORMAL)
pos_normal, -- positive normalized nonzero
pos_inf, -- positive infinity
isx); -- at least one input is unknown
-- This deferred constant will tell you if the package body is synthesizable
-- or implemented as real numbers.
constant fphdlsynth_or_real : BOOLEAN; -- deferred constant
-- Returns the class which X falls into
function Classfp (
x : UNRESOLVED_float; -- floating point input
check_error : BOOLEAN := float_check_error) -- check for errors
return valid_fpstate;
-- Arithmetic functions, these operators do not require parameters.
function "abs" (arg : UNRESOLVED_float) return UNRESOLVED_float;
function "-" (arg : UNRESOLVED_float) return UNRESOLVED_float;
-- These allows the base math functions to use the default values
-- of their parameters. Thus they do full IEEE floating point.
function "+" (l, r : UNRESOLVED_float) return UNRESOLVED_float;
function "-" (l, r : UNRESOLVED_float) return UNRESOLVED_float;
function "*" (l, r : UNRESOLVED_float) return UNRESOLVED_float;
function "/" (l, r : UNRESOLVED_float) return UNRESOLVED_float;
function "rem" (l, r : UNRESOLVED_float) return UNRESOLVED_float;
function "mod" (l, r : UNRESOLVED_float) return UNRESOLVED_float;
-- Basic parameter list
-- round_style - Selects the rounding algorithm to use
-- guard - extra bits added to the end if the operation to add precision
-- check_error - When "false" turns off NAN and overflow checks
-- denormalize - When "false" turns off denormal number processing
function add (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
function subtract (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
function multiply (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
function divide (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
function remainder (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
function modulo (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
-- reciprocal
function reciprocal (
arg : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
function dividebyp2 (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
-- Multiply accumulate result = l*r + c
function mac (
l, r, c : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
-- Square root (all 754 based implementations need this)
function sqrt (
arg : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style;
constant guard : NATURAL := float_guard_bits;
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return UNRESOLVED_float;
function Is_Negative (arg : UNRESOLVED_float) return BOOLEAN;
-----------------------------------------------------------------------------
-- compare functions
-- =, /=, >=, <=, <, >, maximum, minimum
function eq ( -- equal =
l, r : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return BOOLEAN;
function ne ( -- not equal /=
l, r : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return BOOLEAN;
function lt ( -- less than <
l, r : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return BOOLEAN;
function gt ( -- greater than >
l, r : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return BOOLEAN;
function le ( -- less than or equal to <=
l, r : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return BOOLEAN;
function ge ( -- greater than or equal to >=
l, r : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return BOOLEAN;
-- Need to overload the default versions of these
function "=" (l, r : UNRESOLVED_float) return BOOLEAN;
function "/=" (l, r : UNRESOLVED_float) return BOOLEAN;
function ">=" (l, r : UNRESOLVED_float) return BOOLEAN;
function "<=" (l, r : UNRESOLVED_float) return BOOLEAN;
function ">" (l, r : UNRESOLVED_float) return BOOLEAN;
function "<" (l, r : UNRESOLVED_float) return BOOLEAN;
function \?=\ (l, r : UNRESOLVED_float) return STD_ULOGIC;
function \?/=\ (l, r : UNRESOLVED_float) return STD_ULOGIC;
function \?>\ (l, r : UNRESOLVED_float) return STD_ULOGIC;
function \?>=\ (l, r : UNRESOLVED_float) return STD_ULOGIC;
function \?<\ (l, r : UNRESOLVED_float) return STD_ULOGIC;
function \?<=\ (l, r : UNRESOLVED_float) return STD_ULOGIC;
function std_match (l, r : UNRESOLVED_float) return BOOLEAN;
function find_rightmost (arg : UNRESOLVED_float; y : STD_ULOGIC)
return INTEGER;
function find_leftmost (arg : UNRESOLVED_float; y : STD_ULOGIC)
return INTEGER;
function maximum (l, r : UNRESOLVED_float) return UNRESOLVED_float;
function minimum (l, r : UNRESOLVED_float) return UNRESOLVED_float;
-- conversion functions
-- Converts one floating point number into another.
function resize (
arg : UNRESOLVED_float; -- Floating point input
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error;
constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
function resize (
arg : UNRESOLVED_float; -- Floating point input
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error;
constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
function to_float32 (
arg : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error;
constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float32;
function to_float64 (
arg : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error;
constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float64;
function to_float128 (
arg : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error;
constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float128;
-- Converts an fp into an SLV (needed for synthesis)
function to_slv (arg : UNRESOLVED_float) return STD_LOGIC_VECTOR;
alias to_StdLogicVector is to_slv [UNRESOLVED_float return STD_LOGIC_VECTOR];
alias to_Std_Logic_Vector is to_slv [UNRESOLVED_float return STD_LOGIC_VECTOR];
-- Converts an fp into an std_ulogic_vector (sulv)
function to_sulv (arg : UNRESOLVED_float) return STD_ULOGIC_VECTOR;
alias to_StdULogicVector is to_sulv [UNRESOLVED_float return STD_ULOGIC_VECTOR];
alias to_Std_ULogic_Vector is to_sulv [UNRESOLVED_float return STD_ULOGIC_VECTOR];
-- std_ulogic_vector to float
function to_float (
arg : STD_ULOGIC_VECTOR;
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width) -- length of FP output fraction
return UNRESOLVED_float;
-- Integer to float
function to_float (
arg : INTEGER;
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction
constant round_style : round_type := float_round_style) -- rounding option
return UNRESOLVED_float;
-- real to float
function to_float (
arg : REAL;
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction
constant round_style : round_type := float_round_style; -- rounding option
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
-- unsigned to float
function to_float (
arg : UNSIGNED;
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction
constant round_style : round_type := float_round_style) -- rounding option
return UNRESOLVED_float;
-- signed to float
function to_float (
arg : SIGNED;
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction
constant round_style : round_type := float_round_style) -- rounding option
return UNRESOLVED_float;
-- unsigned fixed point to float
function to_float (
arg : UNRESOLVED_ufixed; -- unsigned fixed point input
constant exponent_width : NATURAL := float_exponent_width; -- width of exponent
constant fraction_width : NATURAL := float_fraction_width; -- width of fraction
constant round_style : round_type := float_round_style; -- rounding
constant denormalize : BOOLEAN := float_denormalize) -- use ieee extensions
return UNRESOLVED_float;
-- signed fixed point to float
function to_float (
arg : UNRESOLVED_sfixed;
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction
constant round_style : round_type := float_round_style; -- rounding
constant denormalize : BOOLEAN := float_denormalize) -- rounding option
return UNRESOLVED_float;
-- size_res functions
-- Integer to float
function to_float (
arg : INTEGER;
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style) -- rounding option
return UNRESOLVED_float;
-- real to float
function to_float (
arg : REAL;
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding option
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
-- unsigned to float
function to_float (
arg : UNSIGNED;
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style) -- rounding option
return UNRESOLVED_float;
-- signed to float
function to_float (
arg : SIGNED;
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style) -- rounding option
return UNRESOLVED_float;
-- sulv to float
function to_float (
arg : STD_ULOGIC_VECTOR;
size_res : UNRESOLVED_float)
return UNRESOLVED_float;
-- unsigned fixed point to float
function to_float (
arg : UNRESOLVED_ufixed; -- unsigned fixed point input
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding
constant denormalize : BOOLEAN := float_denormalize) -- use ieee extensions
return UNRESOLVED_float;
-- signed fixed point to float
function to_float (
arg : UNRESOLVED_sfixed;
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding
constant denormalize : BOOLEAN := float_denormalize) -- rounding option
return UNRESOLVED_float;
-- float to unsigned
function to_unsigned (
arg : UNRESOLVED_float; -- floating point input
constant size : NATURAL; -- length of output
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error) -- check for errors
return UNSIGNED;
-- float to signed
function to_signed (
arg : UNRESOLVED_float; -- floating point input
constant size : NATURAL; -- length of output
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error) -- check for errors
return SIGNED;
-- purpose: Converts a float to unsigned fixed point
function to_ufixed (
arg : UNRESOLVED_float; -- fp input
constant left_index : INTEGER; -- integer part
constant right_index : INTEGER; -- fraction part
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate
constant round_style : fixed_round_style_type := fixed_round_style; -- rounding
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize)
return UNRESOLVED_ufixed;
-- float to signed fixed point
function to_sfixed (
arg : UNRESOLVED_float; -- fp input
constant left_index : INTEGER; -- integer part
constant right_index : INTEGER; -- fraction part
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate
constant round_style : fixed_round_style_type := fixed_round_style; -- rounding
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize)
return UNRESOLVED_sfixed;
-- size_res versions
-- float to unsigned
function to_unsigned (
arg : UNRESOLVED_float; -- floating point input
size_res : UNSIGNED;
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error) -- check for errors
return UNSIGNED;
-- float to signed
function to_signed (
arg : UNRESOLVED_float; -- floating point input
size_res : SIGNED;
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error) -- check for errors
return SIGNED;
-- purpose: Converts a float to unsigned fixed point
function to_ufixed (
arg : UNRESOLVED_float; -- fp input
size_res : UNRESOLVED_ufixed;
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate
constant round_style : fixed_round_style_type := fixed_round_style; -- rounding
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize)
return UNRESOLVED_ufixed;
-- float to signed fixed point
function to_sfixed (
arg : UNRESOLVED_float; -- fp input
size_res : UNRESOLVED_sfixed;
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate
constant round_style : fixed_round_style_type := fixed_round_style; -- rounding
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize)
return UNRESOLVED_sfixed;
-- float to real
function to_real (
arg : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return REAL;
-- float to integer
function to_integer (
arg : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error) -- check for errors
return INTEGER;
-- For Verilog compatability
function realtobits (arg : REAL) return STD_ULOGIC_VECTOR;
function bitstoreal (arg : STD_ULOGIC_VECTOR) return REAL;
-- Maps metalogical values
function to_01 (
arg : UNRESOLVED_float; -- floating point input
XMAP : STD_LOGIC := '0')
return UNRESOLVED_float;
function Is_X (arg : UNRESOLVED_float) return BOOLEAN;
function to_X01 (arg : UNRESOLVED_float) return UNRESOLVED_float;
function to_X01Z (arg : UNRESOLVED_float) return UNRESOLVED_float;
function to_UX01 (arg : UNRESOLVED_float) return UNRESOLVED_float;
-- These two procedures were copied out of the body because they proved
-- very useful for vendor specific algorithm development
-- Break_number converts a floating point number into it's parts
-- Exponent is biased by -1
procedure break_number (
arg : in UNRESOLVED_float;
denormalize : in BOOLEAN := float_denormalize;
check_error : in BOOLEAN := float_check_error;
fract : out UNSIGNED;
expon : out SIGNED; -- NOTE: Add 1 to get the real exponent!
sign : out STD_ULOGIC);
procedure break_number (
arg : in UNRESOLVED_float;
denormalize : in BOOLEAN := float_denormalize;
check_error : in BOOLEAN := float_check_error;
fract : out ufixed; -- a number between 1.0 and 2.0
expon : out SIGNED; -- NOTE: Add 1 to get the real exponent!
sign : out STD_ULOGIC);
-- Normalize takes a fraction and and exponent and converts them into
-- a floating point number. Does the shifting and the rounding.
-- Exponent is assumed to be biased by -1
function normalize (
fract : UNSIGNED; -- fraction, unnormalized
expon : SIGNED; -- exponent - 1, normalized
sign : STD_ULOGIC; -- sign bit
sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding)
constant exponent_width : NATURAL := float_exponent_width; -- size of output exponent
constant fraction_width : NATURAL := float_fraction_width; -- size of output fraction
constant round_style : round_type := float_round_style; -- rounding option
constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant nguard : NATURAL := float_guard_bits) -- guard bits
return UNRESOLVED_float;
-- Exponent is assumed to be biased by -1
function normalize (
fract : ufixed; -- unsigned fixed point
expon : SIGNED; -- exponent - 1, normalized
sign : STD_ULOGIC; -- sign bit
sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding)
constant exponent_width : NATURAL := float_exponent_width; -- size of output exponent
constant fraction_width : NATURAL := float_fraction_width; -- size of output fraction
constant round_style : round_type := float_round_style; -- rounding option
constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant nguard : NATURAL := float_guard_bits) -- guard bits
return UNRESOLVED_float;
function normalize (
fract : UNSIGNED; -- unsigned
expon : SIGNED; -- exponent - 1, normalized
sign : STD_ULOGIC; -- sign bit
sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding)
size_res : UNRESOLVED_float; -- used for sizing only
constant round_style : round_type := float_round_style; -- rounding option
constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant nguard : NATURAL := float_guard_bits) -- guard bits
return UNRESOLVED_float;
-- Exponent is assumed to be biased by -1
function normalize (
fract : ufixed; -- unsigned fixed point
expon : SIGNED; -- exponent - 1, normalized
sign : STD_ULOGIC; -- sign bit
sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding)
size_res : UNRESOLVED_float; -- used for sizing only
constant round_style : round_type := float_round_style; -- rounding option
constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant nguard : NATURAL := float_guard_bits) -- guard bits
return UNRESOLVED_float;
-- overloaded versions
function "+" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float;
function "+" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float;
function "+" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float;
function "+" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float;
function "-" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float;
function "-" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float;
function "-" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float;
function "-" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float;
function "*" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float;
function "*" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float;
function "*" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float;
function "*" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float;
function "/" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float;
function "/" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float;
function "/" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float;
function "/" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float;
function "rem" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float;
function "rem" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float;
function "rem" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float;
function "rem" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float;
function "mod" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float;
function "mod" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float;
function "mod" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float;
function "mod" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float;
-- overloaded compare functions
function "=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN;
function "/=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN;
function ">=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN;
function "<=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN;
function ">" (l : UNRESOLVED_float; r : REAL) return BOOLEAN;
function "<" (l : UNRESOLVED_float; r : REAL) return BOOLEAN;
function "=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN;
function "/=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN;
function ">=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN;
function "<=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN;
function ">" (l : REAL; r : UNRESOLVED_float) return BOOLEAN;
function "<" (l : REAL; r : UNRESOLVED_float) return BOOLEAN;
function "=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN;
function "/=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN;
function ">=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN;
function "<=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN;
function ">" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN;
function "<" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN;
function "=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN;
function "/=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN;
function ">=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN;
function "<=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN;
function ">" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN;
function "<" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN;
function \?=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC;
function \?/=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC;
function \?>\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC;
function \?>=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC;
function \?<\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC;
function \?<=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC;
function \?=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC;
function \?/=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC;
function \?>\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC;
function \?>=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC;
function \?<\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC;
function \?<=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC;
function \?=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC;
function \?/=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC;
function \?>\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC;
function \?>=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC;
function \?<\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC;
function \?<=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC;
function \?=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC;
function \?/=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC;
function \?>\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC;
function \?>=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC;
function \?<\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC;
function \?<=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC;
-- minimum and maximum overloads
function maximum (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float;
function minimum (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float;
function maximum (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float;
function minimum (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float;
function maximum (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float;
function minimum (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float;
function maximum (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float;
function minimum (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float;
----------------------------------------------------------------------------
-- logical functions
----------------------------------------------------------------------------
function "not" (l : UNRESOLVED_float) return UNRESOLVED_float;
function "and" (l, r : UNRESOLVED_float) return UNRESOLVED_float;
function "or" (l, r : UNRESOLVED_float) return UNRESOLVED_float;
function "nand" (l, r : UNRESOLVED_float) return UNRESOLVED_float;
function "nor" (l, r : UNRESOLVED_float) return UNRESOLVED_float;
function "xor" (l, r : UNRESOLVED_float) return UNRESOLVED_float;
function "xnor" (l, r : UNRESOLVED_float) return UNRESOLVED_float;
-- Vector and std_ulogic functions, same as functions in numeric_std
function "and" (l : STD_ULOGIC; r : UNRESOLVED_float)
return UNRESOLVED_float;
function "and" (l : UNRESOLVED_float; r : STD_ULOGIC)
return UNRESOLVED_float;
function "or" (l : STD_ULOGIC; r : UNRESOLVED_float)
return UNRESOLVED_float;
function "or" (l : UNRESOLVED_float; r : STD_ULOGIC)
return UNRESOLVED_float;
function "nand" (l : STD_ULOGIC; r : UNRESOLVED_float)
return UNRESOLVED_float;
function "nand" (l : UNRESOLVED_float; r : STD_ULOGIC)
return UNRESOLVED_float;
function "nor" (l : STD_ULOGIC; r : UNRESOLVED_float)
return UNRESOLVED_float;
function "nor" (l : UNRESOLVED_float; r : STD_ULOGIC)
return UNRESOLVED_float;
function "xor" (l : STD_ULOGIC; r : UNRESOLVED_float)
return UNRESOLVED_float;
function "xor" (l : UNRESOLVED_float; r : STD_ULOGIC)
return UNRESOLVED_float;
function "xnor" (l : STD_ULOGIC; r : UNRESOLVED_float)
return UNRESOLVED_float;
function "xnor" (l : UNRESOLVED_float; r : STD_ULOGIC)
return UNRESOLVED_float;
-- Reduction operators, same as numeric_std functions
function and_reduce (l : UNRESOLVED_float) return STD_ULOGIC;
function nand_reduce (l : UNRESOLVED_float) return STD_ULOGIC;
function or_reduce (l : UNRESOLVED_float) return STD_ULOGIC;
function nor_reduce (l : UNRESOLVED_float) return STD_ULOGIC;
function xor_reduce (l : UNRESOLVED_float) return STD_ULOGIC;
function xnor_reduce (l : UNRESOLVED_float) return STD_ULOGIC;
-- Note: "sla", "sra", "sll", "slr", "rol" and "ror" not implemented.
-----------------------------------------------------------------------------
-- Recommended Functions from the IEEE 754 Appendix
-----------------------------------------------------------------------------
-- returns x with the sign of y.
function Copysign (x, y : UNRESOLVED_float) return UNRESOLVED_float;
-- Returns y * 2**n for integral values of N without computing 2**n
function Scalb (
y : UNRESOLVED_float; -- floating point input
N : INTEGER; -- exponent to add
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
-- Returns y * 2**n for integral values of N without computing 2**n
function Scalb (
y : UNRESOLVED_float; -- floating point input
N : SIGNED; -- exponent to add
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float;
-- returns the unbiased exponent of x
function Logb (x : UNRESOLVED_float) return INTEGER;
function Logb (x : UNRESOLVED_float) return SIGNED;
-- returns the next representable neighbor of x in the direction toward y
function Nextafter (
x, y : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize)
return UNRESOLVED_float;
-- Returns TRUE if X is unordered with Y.
function Unordered (x, y : UNRESOLVED_float) return BOOLEAN;
function Finite (x : UNRESOLVED_float) return BOOLEAN;
function Isnan (x : UNRESOLVED_float) return BOOLEAN;
-- Function to return constants.
function zerofp (
constant exponent_width : NATURAL := float_exponent_width; -- exponent
constant fraction_width : NATURAL := float_fraction_width) -- fraction
return UNRESOLVED_float;
function nanfp (
constant exponent_width : NATURAL := float_exponent_width; -- exponent
constant fraction_width : NATURAL := float_fraction_width) -- fraction
return UNRESOLVED_float;
function qnanfp (
constant exponent_width : NATURAL := float_exponent_width; -- exponent
constant fraction_width : NATURAL := float_fraction_width) -- fraction
return UNRESOLVED_float;
function pos_inffp (
constant exponent_width : NATURAL := float_exponent_width; -- exponent
constant fraction_width : NATURAL := float_fraction_width) -- fraction
return UNRESOLVED_float;
function neg_inffp (
constant exponent_width : NATURAL := float_exponent_width; -- exponent
constant fraction_width : NATURAL := float_fraction_width) -- fraction
return UNRESOLVED_float;
function neg_zerofp (
constant exponent_width : NATURAL := float_exponent_width; -- exponent
constant fraction_width : NATURAL := float_fraction_width) -- fraction
return UNRESOLVED_float;
-- size_res versions
function zerofp (
size_res : UNRESOLVED_float) -- variable is only use for sizing
return UNRESOLVED_float;
function nanfp (
size_res : UNRESOLVED_float) -- variable is only use for sizing
return UNRESOLVED_float;
function qnanfp (
size_res : UNRESOLVED_float) -- variable is only use for sizing
return UNRESOLVED_float;
function pos_inffp (
size_res : UNRESOLVED_float) -- variable is only use for sizing
return UNRESOLVED_float;
function neg_inffp (
size_res : UNRESOLVED_float) -- variable is only use for sizing
return UNRESOLVED_float;
function neg_zerofp (
size_res : UNRESOLVED_float) -- variable is only use for sizing
return UNRESOLVED_float;
-- ===========================================================================
-- string and textio Functions
-- ===========================================================================
-- rtl_synthesis off
-- pragma synthesis_off
-- writes S:EEEE:FFFFFFFF
procedure WRITE (
L : inout LINE; -- access type (pointer)
VALUE : in UNRESOLVED_float; -- value to write
JUSTIFIED : in SIDE := right; -- which side to justify text
FIELD : in WIDTH := 0); -- width of field
-- Reads SEEEEFFFFFFFF, "." and ":" are ignored
procedure READ (L : inout LINE; VALUE : out UNRESOLVED_float);
procedure READ (L : inout LINE; VALUE : out UNRESOLVED_float;
GOOD : out BOOLEAN);
alias BREAD is READ [LINE, UNRESOLVED_float, BOOLEAN];
alias BREAD is READ [LINE, UNRESOLVED_float];
alias BWRITE is WRITE [LINE, UNRESOLVED_float, SIDE, WIDTH];
alias BINARY_READ is READ [LINE, UNRESOLVED_FLOAT, BOOLEAN];
alias BINARY_READ is READ [LINE, UNRESOLVED_FLOAT];
alias BINARY_WRITE is WRITE [LINE, UNRESOLVED_float, SIDE, WIDTH];
procedure OWRITE (
L : inout LINE; -- access type (pointer)
VALUE : in UNRESOLVED_float; -- value to write
JUSTIFIED : in SIDE := right; -- which side to justify text
FIELD : in WIDTH := 0); -- width of field
-- Octal read with padding, no separators used
procedure OREAD (L : inout LINE; VALUE : out UNRESOLVED_float);
procedure OREAD (L : inout LINE; VALUE : out UNRESOLVED_float;
GOOD : out BOOLEAN);
alias OCTAL_READ is OREAD [LINE, UNRESOLVED_FLOAT, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, UNRESOLVED_FLOAT];
alias OCTAL_WRITE is OWRITE [LINE, UNRESOLVED_FLOAT, SIDE, WIDTH];
-- Hex write with padding, no separators
procedure HWRITE (
L : inout LINE; -- access type (pointer)
VALUE : in UNRESOLVED_float; -- value to write
JUSTIFIED : in SIDE := right; -- which side to justify text
FIELD : in WIDTH := 0); -- width of field
-- Hex read with padding, no separators used
procedure HREAD (L : inout LINE; VALUE : out UNRESOLVED_float);
procedure HREAD (L : inout LINE; VALUE : out UNRESOLVED_float;
GOOD : out BOOLEAN);
alias HEX_READ is HREAD [LINE, UNRESOLVED_FLOAT, BOOLEAN];
alias HEX_READ is HREAD [LINE, UNRESOLVED_FLOAT];
alias HEX_WRITE is HWRITE [LINE, UNRESOLVED_FLOAT, SIDE, WIDTH];
-- returns "S:EEEE:FFFFFFFF"
function to_string (value : UNRESOLVED_float) return STRING;
alias TO_BSTRING is TO_STRING [UNRESOLVED_FLOAT return STRING];
alias TO_BINARY_STRING is TO_STRING [UNRESOLVED_FLOAT return STRING];
-- Returns a HEX string, with padding
function to_hstring (value : UNRESOLVED_float) return STRING;
alias TO_HEX_STRING is TO_HSTRING [UNRESOLVED_FLOAT return STRING];
-- Returns and octal string, with padding
function to_ostring (value : UNRESOLVED_float) return STRING;
alias TO_OCTAL_STRING is TO_OSTRING [UNRESOLVED_FLOAT return STRING];
function from_string (
bstring : STRING; -- binary string
constant exponent_width : NATURAL := float_exponent_width;
constant fraction_width : NATURAL := float_fraction_width)
return UNRESOLVED_float;
alias from_bstring is from_string [STRING, NATURAL, NATURAL
return UNRESOLVED_float];
alias from_binary_string is from_string [STRING, NATURAL, NATURAL
return UNRESOLVED_float];
function from_ostring (
ostring : STRING; -- Octal string
constant exponent_width : NATURAL := float_exponent_width;
constant fraction_width : NATURAL := float_fraction_width)
return UNRESOLVED_float;
alias from_octal_string is from_ostring [STRING, NATURAL, NATURAL
return UNRESOLVED_float];
function from_hstring (
hstring : STRING; -- hex string
constant exponent_width : NATURAL := float_exponent_width;
constant fraction_width : NATURAL := float_fraction_width)
return UNRESOLVED_float;
alias from_hex_string is from_hstring [STRING, NATURAL, NATURAL
return UNRESOLVED_float];
function from_string (
bstring : STRING; -- binary string
size_res : UNRESOLVED_float) -- used for sizing only
return UNRESOLVED_float;
alias from_bstring is from_string [STRING, UNRESOLVED_float
return UNRESOLVED_float];
alias from_binary_string is from_string [STRING, UNRESOLVED_float
return UNRESOLVED_float];
function from_ostring (
ostring : STRING; -- Octal string
size_res : UNRESOLVED_float) -- used for sizing only
return UNRESOLVED_float;
alias from_octal_string is from_ostring [STRING, UNRESOLVED_float
return UNRESOLVED_float];
function from_hstring (
hstring : STRING; -- hex string
size_res : UNRESOLVED_float) -- used for sizing only
return UNRESOLVED_float;
alias from_hex_string is from_hstring [STRING, UNRESOLVED_float
return UNRESOLVED_float];
-- rtl_synthesis on
-- pragma synthesis_on
-- IN VHDL-2006 std_logic_vector is a subtype of std_ulogic_vector, so these
-- extra functions are needed for compatability.
function to_float (
arg : STD_LOGIC_VECTOR;
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width) -- length of FP output fraction
return UNRESOLVED_float;
function to_float (
arg : STD_LOGIC_VECTOR;
size_res : UNRESOLVED_float)
return UNRESOLVED_float;
-- For Verilog compatability
function realtobits (arg : REAL) return STD_LOGIC_VECTOR;
function bitstoreal (arg : STD_LOGIC_VECTOR) return REAL;
end package float_pkg;
-------------------------------------------------------------------------------
-- Proposed package body for the VHDL-200x-FT float_pkg package
-- This version is optimized for Synthesis, and not for simulation.
-- Note that there are functional differences between the synthesis and
-- simulation packages bodies. The Synthesis version is preferred.
-- This package body supplies a recommended implementation of these functions
-- Version : $Revision: 2.2 $
-- Date : $Date: 2010/09/22 18:26:46 $
--
-- Created for VHDL-200X par, David Bishop ([email protected])
-------------------------------------------------------------------------------
package body float_pkg is
-- Author David Bishop ([email protected])
-----------------------------------------------------------------------------
-- type declarations
-----------------------------------------------------------------------------
-- This deferred constant will tell you if the package body is synthesizable
-- or implemented as real numbers, set to "true" if synthesizable.
constant fphdlsynth_or_real : BOOLEAN := true; -- deferred constant
-- types of boundary conditions
type boundary_type is (normal, infinity, zero, denormal);
-- null range array constant
constant NAFP : UNRESOLVED_float (0 downto 1) := (others => '0');
constant NSLV : STD_ULOGIC_VECTOR (0 downto 1) := (others => '0');
-- %%% Replicated functions
-- These functions are replicated so that we don't need to reference the new
-- 2006 package std.standard, std_logic_1164 and numeric_std.
function maximum (
l, r : INTEGER) -- inputs
return INTEGER is
begin -- function max
if l > r then return l;
else return r;
end if;
end function maximum;
function minimum (
l, r : INTEGER) -- inputs
return INTEGER is
begin -- function min
if l > r then return r;
else return l;
end if;
end function minimum;
function or_reduce (arg : STD_ULOGIC_VECTOR)
return STD_LOGIC is
variable Upper, Lower : STD_ULOGIC;
variable Half : INTEGER;
variable BUS_int : STD_ULOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_ULOGIC;
begin
if (arg'length < 1) then -- In the case of a NULL range
Result := '0';
else
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int (BUS_int'right) or BUS_int (BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := or_reduce (BUS_int (BUS_int'left downto Half));
Lower := or_reduce (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper or Lower;
end if;
end if;
return Result;
end function or_reduce;
function or_reduce (arg : UNSIGNED)
return STD_ULOGIC is
begin
return or_reduce (STD_ULOGIC_VECTOR (arg));
end function or_reduce;
function or_reduce (arg : SIGNED)
return STD_ULOGIC is
begin
return or_reduce (STD_ULOGIC_VECTOR (arg));
end function or_reduce;
function or_reduce (arg : STD_LOGIC_VECTOR)
return STD_ULOGIC is
begin
return or_reduce (STD_ULOGIC_VECTOR (arg));
end function or_reduce;
-- purpose: AND all of the bits in a vector together
-- This is a copy of the proposed "and_reduce" from 1076.3
function and_reduce (arg : STD_ULOGIC_VECTOR)
return STD_LOGIC is
variable Upper, Lower : STD_ULOGIC;
variable Half : INTEGER;
variable BUS_int : STD_ULOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_ULOGIC;
begin
if (arg'length < 1) then -- In the case of a NULL range
Result := '1';
else
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int (BUS_int'right) and BUS_int (BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := and_reduce (BUS_int (BUS_int'left downto Half));
Lower := and_reduce (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper and Lower;
end if;
end if;
return Result;
end function and_reduce;
function and_reduce (arg : UNSIGNED)
return STD_ULOGIC is
begin
return and_reduce (STD_ULOGIC_VECTOR (arg));
end function and_reduce;
function and_reduce (arg : SIGNED)
return STD_ULOGIC is
begin
return and_reduce (STD_ULOGIC_VECTOR (arg));
end function and_reduce;
function xor_reduce (arg : STD_ULOGIC_VECTOR) return STD_ULOGIC is
variable Upper, Lower : STD_ULOGIC;
variable Half : INTEGER;
variable BUS_int : STD_ULOGIC_VECTOR (arg'length - 1 downto 0);
variable Result : STD_ULOGIC := '0'; -- In the case of a NULL range
begin
if (arg'length >= 1) then
BUS_int := to_ux01 (arg);
if (BUS_int'length = 1) then
Result := BUS_int (BUS_int'left);
elsif (BUS_int'length = 2) then
Result := BUS_int(BUS_int'right) xor BUS_int(BUS_int'left);
else
Half := (BUS_int'length + 1) / 2 + BUS_int'right;
Upper := xor_reduce (BUS_int (BUS_int'left downto Half));
Lower := xor_reduce (BUS_int (Half - 1 downto BUS_int'right));
Result := Upper xor Lower;
end if;
end if;
return Result;
end function xor_reduce;
function nand_reduce(arg : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return not and_reduce (arg);
end function nand_reduce;
function nor_reduce(arg : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return not or_reduce (arg);
end function nor_reduce;
function xnor_reduce(arg : STD_ULOGIC_VECTOR) return STD_ULOGIC is
begin
return not xor_reduce (arg);
end function xnor_reduce;
function find_leftmost (ARG : UNSIGNED; Y : STD_ULOGIC)
return INTEGER is
begin
for INDEX in ARG'range loop
if ARG(INDEX) = Y then
return INDEX;
end if;
end loop;
return -1;
end function find_leftmost;
-- Match table, copied form new std_logic_1164
type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC;
constant match_logic_table : stdlogic_table := (
-----------------------------------------------------
-- U X 0 1 Z W L H - | |
-----------------------------------------------------
('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z |
('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W |
('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L |
('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H |
('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - |
);
-------------------------------------------------------------------
-- ?= functions, Similar to "std_match", but returns "std_ulogic".
-------------------------------------------------------------------
-- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS
function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return match_logic_table (l, r);
end function \?=\;
-- %%% END FUNCTION "?=";
-- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is
function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is
begin
return not match_logic_table (l, r);
end function \?/=\;
-- %%% END FUNCTION "?/=";
function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is
alias lv : STD_ULOGIC_VECTOR(1 to l'length) is l;
alias rv : STD_ULOGIC_VECTOR(1 to r'length) is r;
variable result, result1 : STD_ULOGIC;
begin
-- Logically identical to an "=" operator.
if ((l'length < 1) and (r'length < 1)) then
-- VHDL-2008 LRM 9.2.3 Two NULL arrays of the same type are equal
return '1';
elsif lv'length /= rv'length then
-- Two arrays of different lengths are false
return '0';
else
result := '1';
for i in lv'low to lv'high loop
result1 := match_logic_table(lv(i), rv(i));
result := result and result1;
end loop;
return result;
end if;
end function \?=\;
function Is_X (s : UNSIGNED) return BOOLEAN is
begin
return Is_X (STD_LOGIC_VECTOR (s));
end function Is_X;
function Is_X (s : SIGNED) return BOOLEAN is
begin
return Is_X (STD_LOGIC_VECTOR (s));
end function Is_X;
-- %%% END replicated functions
-- Special version of "minimum" to do some boundary checking
function mine (L, R : INTEGER)
return INTEGER is
begin -- function minimum
if (L = INTEGER'low or R = INTEGER'low) then
report float_pkg'instance_name
& " Unbounded number passed, was a literal used?"
severity error;
return 0;
end if;
return minimum (L, R);
end function mine;
-- Generates the base number for the exponent normalization offset.
function gen_expon_base (
constant exponent_width : NATURAL)
return SIGNED is
variable result : SIGNED (exponent_width-1 downto 0);
begin
result := (others => '1');
result (exponent_width-1) := '0';
return result;
end function gen_expon_base;
-- Integer version of the "log2" command (contributed by Peter Ashenden)
function log2 (A : NATURAL) return NATURAL is
variable quotient : NATURAL;
variable result : NATURAL := 0;
begin
quotient := A / 2;
while quotient > 0 loop
quotient := quotient / 2;
result := result + 1;
end loop;
return result;
end function log2;
-- Function similar to the ILOGB function in MATH_REAL
function log2 (A : REAL) return INTEGER is
variable Y : REAL;
variable N : INTEGER := 0;
begin
if (A = 1.0 or A = 0.0) then
return 0;
end if;
Y := A;
if(A > 1.0) then
while Y >= 2.0 loop
Y := Y / 2.0;
N := N + 1;
end loop;
return N;
end if;
-- O < Y < 1
while Y < 1.0 loop
Y := Y * 2.0;
N := N - 1;
end loop;
return N;
end function log2;
-- purpose: Test the boundary conditions of a Real number
procedure test_boundary (
arg : in REAL; -- Input, converted to real
constant fraction_width : in NATURAL; -- length of FP output fraction
constant exponent_width : in NATURAL; -- length of FP exponent
constant denormalize : in BOOLEAN := true; -- Use IEEE extended FP
variable btype : out boundary_type;
variable log2i : out INTEGER
) is
constant expon_base : SIGNED (exponent_width-1 downto 0) :=
gen_expon_base(exponent_width); -- exponent offset
constant exp_min : SIGNED (12 downto 0) :=
-(resize(expon_base, 13)) + 1; -- Minimum normal exponent
constant exp_ext_min : SIGNED (12 downto 0) :=
exp_min - fraction_width; -- Minimum for denormal exponent
variable log2arg : INTEGER; -- log2 of argument
begin -- function test_boundary
-- Check to see if the exponent is big enough
-- Note that the argument is always an absolute value at this point.
log2arg := log2(arg);
if arg = 0.0 then
btype := zero;
elsif exponent_width > 11 then -- Exponent for Real is 11 (64 bit)
btype := normal;
else
if log2arg < to_integer(exp_min) then
if denormalize then
if log2arg < to_integer(exp_ext_min) then
btype := zero;
else
btype := denormal;
end if;
else
if log2arg < to_integer(exp_min)-1 then
btype := zero;
else
btype := normal; -- Can still represent this number
end if;
end if;
elsif exponent_width < 11 then
if log2arg > to_integer(expon_base)+1 then
btype := infinity;
else
btype := normal;
end if;
else
btype := normal;
end if;
end if;
log2i := log2arg;
end procedure test_boundary;
-- purpose: Rounds depending on the state of the "round_style"
-- Logic taken from
-- "What Every Computer Scientist Should Know About Floating Point Arithmetic"
-- by David Goldberg (1991)
function check_round (
fract_in : STD_ULOGIC; -- input fraction
sign : STD_ULOGIC; -- sign bit
remainder : UNSIGNED; -- remainder to round from
sticky : STD_ULOGIC := '0'; -- Sticky bit
constant round_style : round_type) -- rounding type
return BOOLEAN is
variable result : BOOLEAN;
variable or_reduced : STD_ULOGIC;
begin -- function check_round
result := false;
if (remainder'length > 0) then -- if remainder in a null array
or_reduced := or_reduce (remainder & sticky);
rounding_case : case round_style is
when round_nearest => -- Round Nearest, default mode
if remainder(remainder'high) = '1' then -- round
if (remainder'length > 1) then
if ((or_reduce (remainder(remainder'high-1
downto remainder'low)) = '1'
or sticky = '1')
or fract_in = '1') then
-- Make the bottom bit zero if possible if we are at 1/2
result := true;
end if;
else
result := (fract_in = '1' or sticky = '1');
end if;
end if;
when round_inf => -- round up if positive, else truncate.
if or_reduced = '1' and sign = '0' then
result := true;
end if;
when round_neginf => -- round down if negative, else truncate.
if or_reduced = '1' and sign = '1' then
result := true;
end if;
when round_zero => -- round toward 0 Truncate
null;
end case rounding_case;
end if;
return result;
end function check_round;
-- purpose: Rounds depending on the state of the "round_style"
-- unsigned version
procedure fp_round (
fract_in : in UNSIGNED; -- input fraction
expon_in : in SIGNED; -- input exponent
fract_out : out UNSIGNED; -- output fraction
expon_out : out SIGNED) is -- output exponent
begin -- procedure fp_round
if and_reduce (fract_in) = '1' then -- Fraction is all "1"
expon_out := expon_in + 1;
fract_out := to_unsigned(0, fract_out'high+1);
else
expon_out := expon_in;
fract_out := fract_in + 1;
end if;
end procedure fp_round;
-- This version of break_number doesn't call "classfp"
procedure break_number ( -- internal version
arg : in UNRESOLVED_float;
fptyp : in valid_fpstate;
denormalize : in BOOLEAN := true;
fract : out UNSIGNED;
expon : out SIGNED) is
constant fraction_width : NATURAL := -arg'low; -- length of FP output fraction
constant exponent_width : NATURAL := arg'high; -- length of FP output exponent
constant expon_base : SIGNED (exponent_width-1 downto 0) :=
gen_expon_base(exponent_width); -- exponent offset
variable exp : SIGNED (expon'range);
begin
fract (fraction_width-1 downto 0) :=
UNSIGNED (to_slv(arg(-1 downto -fraction_width)));
breakcase : case fptyp is
when pos_zero | neg_zero =>
fract (fraction_width) := '0';
exp := -expon_base;
when pos_denormal | neg_denormal =>
if denormalize then
exp := -expon_base;
fract (fraction_width) := '0';
else
exp := -expon_base - 1;
fract (fraction_width) := '1';
end if;
when pos_normal | neg_normal | pos_inf | neg_inf =>
fract (fraction_width) := '1';
exp := SIGNED(arg(exponent_width-1 downto 0));
exp (exponent_width-1) := not exp(exponent_width-1);
when others =>
assert NO_WARNING
report float_pkg'instance_name
& "BREAK_NUMBER: " &
"Meta state detected in fp_break_number process"
severity warning;
-- complete the case, if a NAN goes in, a NAN comes out.
exp := (others => '1');
fract (fraction_width) := '1';
end case breakcase;
expon := exp;
end procedure break_number;
-- purpose: floating point to UNSIGNED
-- Used by to_integer, to_unsigned, and to_signed functions
procedure float_to_unsigned (
arg : in UNRESOLVED_float; -- floating point input
variable sign : out STD_ULOGIC; -- sign of output
variable frac : out UNSIGNED; -- unsigned biased output
constant denormalize : in BOOLEAN; -- turn on denormalization
constant bias : in NATURAL; -- bias for fixed point
constant round_style : in round_type) is -- rounding method
constant fraction_width : INTEGER := -mine(arg'low, arg'low); -- length of FP output fraction
constant exponent_width : INTEGER := arg'high; -- length of FP output exponent
variable fract : UNSIGNED (frac'range); -- internal version of frac
variable isign : STD_ULOGIC; -- internal version of sign
variable exp : INTEGER; -- Exponent
variable expon : SIGNED (exponent_width-1 downto 0); -- Vectorized exp
-- Base to divide fraction by
variable frac_shift : UNSIGNED (frac'high+3 downto 0); -- Fraction shifted
variable shift : INTEGER;
variable remainder : UNSIGNED (2 downto 0);
variable round : STD_ULOGIC; -- round BIT
begin
isign := to_x01(arg(arg'high));
-- exponent /= '0', normal floating point
expon := to_01(SIGNED(arg (exponent_width-1 downto 0)), 'X');
expon(exponent_width-1) := not expon(exponent_width-1);
exp := to_integer (expon);
-- Figure out the fraction
fract := (others => '0'); -- fill with zero
fract (fract'high) := '1'; -- Add the "1.0".
shift := (fract'high-1) - exp;
if fraction_width > fract'high then -- Can only use size-2 bits
fract (fract'high-1 downto 0) := UNSIGNED (to_slv (arg(-1 downto
-fract'high)));
else -- can use all bits
fract (fract'high-1 downto fract'high-fraction_width) :=
UNSIGNED (to_slv (arg(-1 downto -fraction_width)));
end if;
frac_shift := fract & "000";
if shift < 0 then -- Overflow
fract := (others => '1');
else
frac_shift := shift_right (frac_shift, shift);
fract := frac_shift (frac_shift'high downto 3);
remainder := frac_shift (2 downto 0);
-- round (round_zero will bypass this and truncate)
case round_style is
when round_nearest =>
round := remainder(2) and
(fract (0) or (or_reduce (remainder (1 downto 0))));
when round_inf =>
round := remainder(2) and not isign;
when round_neginf =>
round := remainder(2) and isign;
when others =>
round := '0';
end case;
if round = '1' then
fract := fract + 1;
end if;
end if;
frac := fract;
sign := isign;
end procedure float_to_unsigned;
-- purpose: returns a part of a vector, this function is here because
-- or (fractr (to_integer(shiftx) downto 0));
-- can't be synthesized in some synthesis tools.
function smallfract (
arg : UNSIGNED;
shift : NATURAL)
return STD_ULOGIC is
variable orx : STD_ULOGIC;
begin
orx := arg(shift);
for i in arg'range loop
if i < shift then
orx := arg(i) or orx;
end if;
end loop;
return orx;
end function smallfract;
---------------------------------------------------------------------------
-- Visible functions
---------------------------------------------------------------------------
-- purpose: converts the negative index to a positive one
-- negative indices are illegal in 1164 and 1076.3
function to_sulv (
arg : UNRESOLVED_float) -- fp vector
return STD_ULOGIC_VECTOR is
variable result : STD_ULOGIC_VECTOR (arg'length-1 downto 0);
begin -- function to_std_ulogic_vector
if arg'length < 1 then
return NSLV;
end if;
result := STD_ULOGIC_VECTOR (arg);
return result;
end function to_sulv;
-- Converts an fp into an SLV
function to_slv (arg : UNRESOLVED_float) return STD_LOGIC_VECTOR is
begin
return to_stdlogicvector (to_sulv (arg));
end function to_slv;
-- purpose: normalizes a floating point number
-- This version assumes an "unsigned" input with
function normalize (
fract : UNSIGNED; -- fraction, unnormalized
expon : SIGNED; -- exponent, normalized by -1
sign : STD_ULOGIC; -- sign BIT
sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding)
constant exponent_width : NATURAL := float_exponent_width; -- size of output exponent
constant fraction_width : NATURAL := float_fraction_width; -- size of output fraction
constant round_style : round_type := float_round_style; -- rounding option
constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant nguard : NATURAL := float_guard_bits) -- guard bits
return UNRESOLVED_float is
variable sfract : UNSIGNED (fract'high downto 0); -- shifted fraction
variable rfract : UNSIGNED (fraction_width-1 downto 0); -- fraction
variable exp : SIGNED (exponent_width+1 downto 0); -- exponent
variable rexp : SIGNED (exponent_width+1 downto 0); -- result exponent
variable rexpon : UNSIGNED (exponent_width-1 downto 0); -- exponent
variable result : UNRESOLVED_float (exponent_width downto -fraction_width); -- result
variable shiftr : INTEGER; -- shift amount
variable stickyx : STD_ULOGIC; -- version of sticky
constant expon_base : SIGNED (exponent_width-1 downto 0) :=
gen_expon_base(exponent_width); -- exponent offset
variable round, zerores, infres : BOOLEAN;
begin -- function normalize
zerores := false;
infres := false;
round := false;
shiftr := find_leftmost (to_01(fract), '1') -- Find the first "1"
- fraction_width - nguard; -- subtract the length we want
exp := resize (expon, exp'length) + shiftr;
if (or_reduce (fract) = '0') then -- Zero
zerores := true;
elsif ((exp <= -resize(expon_base, exp'length)-1) and denormalize)
or ((exp < -resize(expon_base, exp'length)-1) and not denormalize) then
if (exp >= -resize(expon_base, exp'length)-fraction_width-1)
and denormalize then
exp := -resize(expon_base, exp'length)-1;
shiftr := -to_integer (expon + expon_base); -- new shift
else -- return zero
zerores := true;
end if;
elsif (exp > expon_base-1) then -- infinity
infres := true;
end if;
if zerores then
result := zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
elsif infres then
result := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
else
sfract := fract srl shiftr; -- shift
if shiftr > 0 then
-- stickyx := sticky or (or_reduce(fract (shiftr-1 downto 0)));
stickyx := sticky or smallfract (fract, shiftr-1);
else
stickyx := sticky;
end if;
if nguard > 0 then
round := check_round (
fract_in => sfract (nguard),
sign => sign,
remainder => sfract(nguard-1 downto 0),
sticky => stickyx,
round_style => round_style);
end if;
if round then
fp_round(fract_in => sfract (fraction_width-1+nguard downto nguard),
expon_in => exp(rexp'range),
fract_out => rfract,
expon_out => rexp);
else
rfract := sfract (fraction_width-1+nguard downto nguard);
rexp := exp(rexp'range);
end if;
-- result
rexpon := UNSIGNED (rexp(exponent_width-1 downto 0));
rexpon (exponent_width-1) := not rexpon(exponent_width-1);
result (rexpon'range) := UNRESOLVED_float(rexpon);
result (-1 downto -fraction_width) := UNRESOLVED_float(rfract);
end if;
result (exponent_width) := sign; -- sign BIT
return result;
end function normalize;
-- purpose: normalizes a floating point number
-- This version assumes a "ufixed" input
function normalize (
fract : ufixed; -- unsigned fixed point
expon : SIGNED; -- exponent, normalized by -1
sign : STD_ULOGIC; -- sign bit
sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding)
constant exponent_width : NATURAL := float_exponent_width; -- size of output exponent
constant fraction_width : NATURAL := float_fraction_width; -- size of output fraction
constant round_style : round_type := float_round_style; -- rounding option
constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant nguard : NATURAL := float_guard_bits) -- guard bits
return UNRESOLVED_float is
variable result : UNRESOLVED_float (exponent_width downto -fraction_width);
variable arguns : UNSIGNED (fract'high + fraction_width + nguard
downto 0) := (others => '0');
begin -- function normalize
arguns (arguns'high downto maximum (arguns'high-fract'length+1, 0)) :=
UNSIGNED (to_slv (fract));
result := normalize (fract => arguns,
expon => expon,
sign => sign,
sticky => sticky,
fraction_width => fraction_width,
exponent_width => exponent_width,
round_style => round_style,
denormalize => denormalize,
nguard => nguard);
return result;
end function normalize;
-- purpose: normalizes a floating point number
-- This version assumes a "ufixed" input with a "size_res" input
function normalize (
fract : ufixed; -- unsigned fixed point
expon : SIGNED; -- exponent, normalized by -1
sign : STD_ULOGIC; -- sign bit
sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding)
size_res : UNRESOLVED_float; -- used for sizing only
constant round_style : round_type := float_round_style; -- rounding option
constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant nguard : NATURAL := float_guard_bits) -- guard bits
return UNRESOLVED_float is
constant fraction_width : NATURAL := -size_res'low;
constant exponent_width : NATURAL := size_res'high;
variable result : UNRESOLVED_float (exponent_width downto -fraction_width);
variable arguns : UNSIGNED (fract'high + fraction_width + nguard
downto 0) := (others => '0');
begin -- function normalize
arguns (arguns'high downto maximum (arguns'high-fract'length+1, 0)) :=
UNSIGNED (to_slv (fract));
result := normalize (fract => arguns,
expon => expon,
sign => sign,
sticky => sticky,
fraction_width => fraction_width,
exponent_width => exponent_width,
round_style => round_style,
denormalize => denormalize,
nguard => nguard);
return result;
end function normalize;
-- Regular "normalize" function with a "size_res" input.
function normalize (
fract : UNSIGNED; -- unsigned
expon : SIGNED; -- exponent - 1, normalized
sign : STD_ULOGIC; -- sign bit
sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding)
size_res : UNRESOLVED_float; -- used for sizing only
constant round_style : round_type := float_round_style; -- rounding option
constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant nguard : NATURAL := float_guard_bits) -- guard bits
return UNRESOLVED_float is
begin
return normalize (fract => fract,
expon => expon,
sign => sign,
sticky => sticky,
fraction_width => -size_res'low,
exponent_width => size_res'high,
round_style => round_style,
denormalize => denormalize,
nguard => nguard);
end function normalize;
-- Returns the class which X falls into
function Classfp (
x : UNRESOLVED_float; -- floating point input
check_error : BOOLEAN := float_check_error) -- check for errors
return valid_fpstate is
constant fraction_width : INTEGER := -mine(x'low, x'low); -- length of FP output fraction
constant exponent_width : INTEGER := x'high; -- length of FP output exponent
variable arg : UNRESOLVED_float (exponent_width downto -fraction_width);
begin -- classfp
if (arg'length < 1 or fraction_width < 3 or exponent_width < 3
or x'left < x'right) then
report float_pkg'instance_name
& "CLASSFP: " &
"Floating point number detected with a bad range"
severity error;
return isx;
end if;
-- Check for "X".
arg := to_01 (x, 'X');
if (arg(0) = 'X') then
return isx; -- If there is an X in the number
-- Special cases, check for illegal number
elsif check_error and
(and_reduce (STD_ULOGIC_VECTOR (arg (exponent_width-1 downto 0)))
= '1') then -- Exponent is all "1".
if or_reduce (to_slv (arg (-1 downto -fraction_width)))
/= '0' then -- Fraction must be all "0" or this is not a number.
if (arg(-1) = '1') then -- From "W. Khan - IEEE standard
return nan; -- 754 binary FP Signaling nan (Not a number)
else
return quiet_nan;
end if;
-- Check for infinity
elsif arg(exponent_width) = '0' then
return pos_inf; -- Positive infinity
else
return neg_inf; -- Negative infinity
end if;
-- check for "0"
elsif or_reduce (STD_LOGIC_VECTOR (arg (exponent_width-1 downto 0)))
= '0' then -- Exponent is all "0"
if or_reduce (to_slv (arg (-1 downto -fraction_width)))
= '0' then -- Fraction is all "0"
if arg(exponent_width) = '0' then
return pos_zero; -- Zero
else
return neg_zero;
end if;
else
if arg(exponent_width) = '0' then
return pos_denormal; -- Denormal number (ieee extended fp)
else
return neg_denormal;
end if;
end if;
else
if arg(exponent_width) = '0' then
return pos_normal; -- Normal FP number
else
return neg_normal;
end if;
end if;
end function Classfp;
procedure break_number (
arg : in UNRESOLVED_float;
denormalize : in BOOLEAN := float_denormalize;
check_error : in BOOLEAN := float_check_error;
fract : out UNSIGNED;
expon : out SIGNED;
sign : out STD_ULOGIC) is
constant fraction_width : NATURAL := -mine(arg'low, arg'low); -- length of FP output fraction
variable fptyp : valid_fpstate;
begin
fptyp := Classfp (arg, check_error);
sign := to_x01(arg(arg'high));
break_number (
arg => arg,
fptyp => fptyp,
denormalize => denormalize,
fract => fract,
expon => expon);
end procedure break_number;
procedure break_number (
arg : in UNRESOLVED_float;
denormalize : in BOOLEAN := float_denormalize;
check_error : in BOOLEAN := float_check_error;
fract : out ufixed; -- 1 downto -fraction_width
expon : out SIGNED; -- exponent_width-1 downto 0
sign : out STD_ULOGIC) is
constant fraction_width : NATURAL := -mine(arg'low, arg'low); -- length of FP output fraction
variable fptyp : valid_fpstate;
variable ufract : UNSIGNED (fraction_width downto 0); -- unsigned fraction
begin
fptyp := Classfp (arg, check_error);
sign := to_x01(arg(arg'high));
break_number (
arg => arg,
fptyp => fptyp,
denormalize => denormalize,
fract => ufract,
expon => expon);
fract (0 downto -fraction_width) := ufixed (ufract);
end procedure break_number;
-- Arithmetic functions
function "abs" (
arg : UNRESOLVED_float) -- floating point input
return UNRESOLVED_float is
variable result : UNRESOLVED_float (arg'range); -- result
begin
if (arg'length > 0) then
result := to_01 (arg, 'X');
result (arg'high) := '0'; -- set the sign bit to positive
return result;
else
return NAFP;
end if;
end function "abs";
-- IEEE 754 "negative" function
function "-" (
arg : UNRESOLVED_float) -- floating point input
return UNRESOLVED_float is
variable result : UNRESOLVED_float (arg'range); -- result
begin
if (arg'length > 0) then
result := to_01 (arg, 'X');
result (arg'high) := not result (arg'high); -- invert sign bit
return result;
else
return NAFP;
end if;
end function "-";
-- Addition, adds two floating point numbers
function add (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction
constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent
constant addguard : NATURAL := guard; -- add one guard bit
variable lfptype, rfptype : valid_fpstate;
variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width);
variable fractl, fractr : UNSIGNED (fraction_width+1+addguard downto 0); -- fractions
variable fractc, fracts : UNSIGNED (fractl'range); -- constant and shifted variables
variable urfract, ulfract : UNSIGNED (fraction_width downto 0);
variable ufract : UNSIGNED (fraction_width+1+addguard downto 0);
variable exponl, exponr : SIGNED (exponent_width-1 downto 0); -- exponents
variable rexpon : SIGNED (exponent_width downto 0); -- result exponent
variable shiftx : SIGNED (exponent_width downto 0); -- shift fractions
variable sign : STD_ULOGIC; -- sign of the output
variable leftright : BOOLEAN; -- left or right used
variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width);
variable sticky : STD_ULOGIC; -- Holds precision for rounding
begin -- addition
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
lfptype := isx;
else
lfptype := classfp (l, check_error);
rfptype := classfp (r, check_error);
end if;
if (lfptype = isx or rfptype = isx) then
fpresult := (others => 'X');
elsif (lfptype = nan or lfptype = quiet_nan or
rfptype = nan or rfptype = quiet_nan)
-- Return quiet NAN, IEEE754-1985-7.1,1
or (lfptype = pos_inf and rfptype = neg_inf)
or (lfptype = neg_inf and rfptype = pos_inf) then
-- Return quiet NAN, IEEE754-1985-7.1,2
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
elsif (lfptype = pos_inf or rfptype = pos_inf) then -- x + inf = inf
fpresult := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
elsif (lfptype = neg_inf or rfptype = neg_inf) then -- x - inf = -inf
fpresult := neg_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
elsif (lfptype = neg_zero and rfptype = neg_zero) then -- -0 + -0 = -0
fpresult := neg_zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
else
lresize := resize (arg => to_x01(l),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
lfptype := classfp (lresize, false); -- errors already checked
rresize := resize (arg => to_x01(r),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
rfptype := classfp (rresize, false); -- errors already checked
break_number (
arg => lresize,
fptyp => lfptype,
denormalize => denormalize,
fract => ulfract,
expon => exponl);
fractl := (others => '0');
fractl (fraction_width+addguard downto addguard) := ulfract;
break_number (
arg => rresize,
fptyp => rfptype,
denormalize => denormalize,
fract => urfract,
expon => exponr);
fractr := (others => '0');
fractr (fraction_width+addguard downto addguard) := urfract;
shiftx := (exponl(exponent_width-1) & exponl) - exponr;
if shiftx < -fractl'high then
rexpon := exponr(exponent_width-1) & exponr;
fractc := fractr;
fracts := (others => '0'); -- add zero
leftright := false;
sticky := or_reduce (fractl);
elsif shiftx < 0 then
shiftx := - shiftx;
fracts := shift_right (fractl, to_integer(shiftx));
fractc := fractr;
rexpon := exponr(exponent_width-1) & exponr;
leftright := false;
-- sticky := or_reduce (fractl (to_integer(shiftx) downto 0));
sticky := smallfract (fractl, to_integer(shiftx));
elsif shiftx = 0 then
rexpon := exponl(exponent_width-1) & exponl;
sticky := '0';
if fractr > fractl then
fractc := fractr;
fracts := fractl;
leftright := false;
else
fractc := fractl;
fracts := fractr;
leftright := true;
end if;
elsif shiftx > fractr'high then
rexpon := exponl(exponent_width-1) & exponl;
fracts := (others => '0'); -- add zero
fractc := fractl;
leftright := true;
sticky := or_reduce (fractr);
elsif shiftx > 0 then
fracts := shift_right (fractr, to_integer(shiftx));
fractc := fractl;
rexpon := exponl(exponent_width-1) & exponl;
leftright := true;
-- sticky := or_reduce (fractr (to_integer(shiftx) downto 0));
sticky := smallfract (fractr, to_integer(shiftx));
end if;
-- add
fracts (0) := fracts (0) or sticky; -- Or the sticky bit into the LSB
if l(l'high) = r(r'high) then
ufract := fractc + fracts;
sign := l(l'high);
else -- signs are different
ufract := fractc - fracts; -- always positive result
if leftright then -- Figure out which sign to use
sign := l(l'high);
else
sign := r(r'high);
end if;
end if;
if or_reduce (ufract) = '0' then
sign := '0'; -- IEEE 854, 6.3, paragraph 2.
end if;
-- normalize
fpresult := normalize (fract => ufract,
expon => rexpon,
sign => sign,
sticky => sticky,
fraction_width => fraction_width,
exponent_width => exponent_width,
round_style => round_style,
denormalize => denormalize,
nguard => addguard);
end if;
return fpresult;
end function add;
-- Subtraction, Calls "add".
function subtract (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
variable negr : UNRESOLVED_float (r'range); -- negative version of r
begin
negr := -r;
return add (l => l,
r => negr,
round_style => round_style,
guard => guard,
check_error => check_error,
denormalize => denormalize);
end function subtract;
-- Floating point multiply
function multiply (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction
constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent
constant multguard : NATURAL := guard; -- guard bits
variable lfptype, rfptype : valid_fpstate;
variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width);
variable fractl, fractr : UNSIGNED (fraction_width downto 0); -- fractions
variable rfract : UNSIGNED ((2*(fraction_width))+1 downto 0); -- result fraction
variable sfract : UNSIGNED (fraction_width+1+multguard downto 0); -- result fraction
variable shifty : INTEGER; -- denormal shift
variable exponl, exponr : SIGNED (exponent_width-1 downto 0); -- exponents
variable rexpon : SIGNED (exponent_width+1 downto 0); -- result exponent
variable fp_sign : STD_ULOGIC; -- sign of result
variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width);
variable sticky : STD_ULOGIC; -- Holds precision for rounding
begin -- multiply
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
lfptype := isx;
else
lfptype := classfp (l, check_error);
rfptype := classfp (r, check_error);
end if;
if (lfptype = isx or rfptype = isx) then
fpresult := (others => 'X');
elsif ((lfptype = nan or lfptype = quiet_nan or
rfptype = nan or rfptype = quiet_nan)) then
-- Return quiet NAN, IEEE754-1985-7.1,1
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
elsif (((lfptype = pos_inf or lfptype = neg_inf) and
(rfptype = pos_zero or rfptype = neg_zero)) or
((rfptype = pos_inf or rfptype = neg_inf) and
(lfptype = pos_zero or lfptype = neg_zero))) then -- 0 * inf
-- Return quiet NAN, IEEE754-1985-7.1,3
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
elsif (lfptype = pos_inf or rfptype = pos_inf
or lfptype = neg_inf or rfptype = neg_inf) then -- x * inf = inf
fpresult := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
-- figure out the sign
fp_sign := l(l'high) xor r(r'high); -- figure out the sign
fpresult (exponent_width) := fp_sign;
else
fp_sign := l(l'high) xor r(r'high); -- figure out the sign
lresize := resize (arg => to_x01(l),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
lfptype := classfp (lresize, false); -- errors already checked
rresize := resize (arg => to_x01(r),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
rfptype := classfp (rresize, false); -- errors already checked
break_number (
arg => lresize,
fptyp => lfptype,
denormalize => denormalize,
fract => fractl,
expon => exponl);
break_number (
arg => rresize,
fptyp => rfptype,
denormalize => denormalize,
fract => fractr,
expon => exponr);
if (rfptype = pos_denormal or rfptype = neg_denormal) then
shifty := fraction_width - find_leftmost(fractr, '1');
fractr := shift_left (fractr, shifty);
elsif (lfptype = pos_denormal or lfptype = neg_denormal) then
shifty := fraction_width - find_leftmost(fractl, '1');
fractl := shift_left (fractl, shifty);
else
shifty := 0;
-- Note that a denormal number * a denormal number is always zero.
end if;
-- multiply
-- add the exponents
rexpon := resize (exponl, rexpon'length) + exponr - shifty + 1;
rfract := fractl * fractr; -- Multiply the fraction
sfract := rfract (rfract'high downto
rfract'high - (fraction_width+1+multguard));
sticky := or_reduce (rfract (rfract'high-(fraction_width+1+multguard)
downto 0));
-- normalize
fpresult := normalize (fract => sfract,
expon => rexpon,
sign => fp_sign,
sticky => sticky,
fraction_width => fraction_width,
exponent_width => exponent_width,
round_style => round_style,
denormalize => denormalize,
nguard => multguard);
end if;
return fpresult;
end function multiply;
function short_divide (
lx, rx : UNSIGNED)
return UNSIGNED is
-- This is a special divider for the floating point routines.
-- For a true unsigned divider, "stages" needs to = lx'high
constant stages : INTEGER := lx'high - rx'high; -- number of stages
variable partial : UNSIGNED (lx'range);
variable q : UNSIGNED (stages downto 0);
variable partial_argl : SIGNED (rx'high + 2 downto 0);
variable partial_arg : SIGNED (rx'high + 2 downto 0);
begin
partial := lx;
for i in stages downto 0 loop
partial_argl := resize ("0" & SIGNED (partial(lx'high downto i)),
partial_argl'length);
partial_arg := partial_argl - SIGNED ("0" & rx);
if (partial_arg (partial_arg'high) = '1') then -- negative
q(i) := '0';
else
q(i) := '1';
partial (lx'high+i-stages downto lx'high+i-stages-rx'high) :=
UNSIGNED (partial_arg(rx'range));
end if;
end loop;
-- to make the output look like that of the unsigned IEEE divide.
return resize (q, lx'length);
end function short_divide;
-- 1/X function. Needed for algorithm development.
function reciprocal (
arg : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
constant fraction_width : NATURAL := -mine(arg'low, arg'low); -- length of FP output fraction
constant exponent_width : NATURAL := arg'high; -- length of FP output exponent
constant divguard : NATURAL := guard; -- guard bits
function onedivy (
arg : UNSIGNED)
return UNSIGNED is
variable q : UNSIGNED((2*arg'high)+1 downto 0);
variable one : UNSIGNED (q'range);
begin
one := (others => '0');
one(one'high) := '1';
q := short_divide (one, arg); -- Unsigned divide
return resize (q, arg'length+1);
end function onedivy;
variable fptype : valid_fpstate;
variable expon : SIGNED (exponent_width-1 downto 0); -- exponents
variable denorm_offset : NATURAL range 0 to 2;
variable fract : UNSIGNED (fraction_width downto 0);
variable fractg : UNSIGNED (fraction_width+divguard downto 0);
variable sfract : UNSIGNED (fraction_width+1+divguard downto 0); -- result fraction
variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width);
begin -- reciprocal
fptype := classfp(arg, check_error);
classcase : case fptype is
when isx =>
fpresult := (others => 'X');
when nan | quiet_nan =>
-- Return quiet NAN, IEEE754-1985-7.1,1
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
when pos_inf | neg_inf => -- 1/inf, return 0
fpresult := zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
when neg_zero | pos_zero => -- 1/0
report float_pkg'instance_name
& "RECIPROCAL: Floating Point divide by zero"
severity error;
fpresult := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
when others =>
if (fptype = pos_denormal or fptype = neg_denormal)
and ((arg (-1) or arg(-2)) /= '1') then
-- 1/denormal = infinity, with the exception of 2**-expon_base
fpresult := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
fpresult (exponent_width) := to_x01 (arg (exponent_width));
else
break_number (
arg => arg,
fptyp => fptype,
denormalize => denormalize,
fract => fract,
expon => expon);
fractg := (others => '0');
if (fptype = pos_denormal or fptype = neg_denormal) then
-- The reciprocal of a denormal number is typically zero,
-- except for two special cases which are trapped here.
if (to_x01(arg (-1)) = '1') then
fractg (fractg'high downto divguard+1) :=
fract (fract'high-1 downto 0); -- Shift to not denormal
denorm_offset := 1; -- add 1 to exponent compensate
else -- arg(-2) = '1'
fractg (fractg'high downto divguard+2) :=
fract (fract'high-2 downto 0); -- Shift to not denormal
denorm_offset := 2; -- add 2 to exponent compensate
end if;
else
fractg (fractg'high downto divguard) := fract;
denorm_offset := 0;
end if;
expon := - expon - 3 + denorm_offset;
sfract := onedivy (fractg);
-- normalize
fpresult := normalize (fract => sfract,
expon => expon,
sign => arg(exponent_width),
sticky => '1',
fraction_width => fraction_width,
exponent_width => exponent_width,
round_style => round_style,
denormalize => denormalize,
nguard => divguard);
end if;
end case classcase;
return fpresult;
end function reciprocal;
-- floating point division
function divide (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction
constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent
constant divguard : NATURAL := guard; -- division guard bits
variable lfptype, rfptype : valid_fpstate;
variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width);
variable ulfract, urfract : UNSIGNED (fraction_width downto 0);
variable fractl : UNSIGNED ((2*(fraction_width+divguard)+1) downto 0); -- left
variable fractr : UNSIGNED (fraction_width+divguard downto 0); -- right
variable rfract : UNSIGNED (fractl'range); -- result fraction
variable sfract : UNSIGNED (fraction_width+1+divguard downto 0); -- result fraction
variable exponl, exponr : SIGNED (exponent_width-1 downto 0); -- exponents
variable rexpon : SIGNED (exponent_width+1 downto 0); -- result exponent
variable fp_sign, sticky : STD_ULOGIC; -- sign of result
variable shifty, shiftx : INTEGER; -- denormal number shift
variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width);
begin -- divide
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
lfptype := isx;
else
lfptype := classfp (l, check_error);
rfptype := classfp (r, check_error);
end if;
classcase : case rfptype is
when isx =>
fpresult := (others => 'X');
when nan | quiet_nan =>
-- Return quiet NAN, IEEE754-1985-7.1,1
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
when pos_inf | neg_inf =>
if lfptype = pos_inf or lfptype = neg_inf -- inf / inf
or lfptype = quiet_nan or lfptype = nan then
-- Return quiet NAN, IEEE754-1985-7.1,4
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
else -- x / inf = 0
fpresult := zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
fp_sign := l(l'high) xor r(r'high); -- sign
fpresult (fpresult'high) := fp_sign; -- sign
end if;
when pos_zero | neg_zero =>
if lfptype = pos_zero or lfptype = neg_zero -- 0 / 0
or lfptype = quiet_nan or lfptype = nan then
-- Return quiet NAN, IEEE754-1985-7.1,4
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
else
report float_pkg'instance_name
& "DIVIDE: Floating Point divide by zero"
severity error;
-- Infinity, define in 754-1985-7.2
fpresult := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
fp_sign := l(l'high) xor r(r'high); -- sign
fpresult (fpresult'high) := fp_sign; -- sign
end if;
when others =>
classcase2 : case lfptype is
when isx =>
fpresult := (others => 'X');
when nan | quiet_nan =>
-- Return quiet NAN, IEEE754-1985-7.1,1
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
when pos_inf | neg_inf => -- inf / x = inf
fpresult := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
fp_sign := l(l'high) xor r(r'high); -- sign
fpresult(exponent_width) := fp_sign;
when pos_zero | neg_zero => -- 0 / X = 0
fpresult := zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
fp_sign := l(l'high) xor r(r'high); -- sign
fpresult(exponent_width) := fp_sign;
when others =>
fp_sign := l(l'high) xor r(r'high); -- sign
lresize := resize (arg => to_x01(l),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
lfptype := classfp (lresize, false); -- errors already checked
rresize := resize (arg => to_x01(r),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
rfptype := classfp (rresize, false); -- errors already checked
break_number (
arg => lresize,
fptyp => lfptype,
denormalize => denormalize,
fract => ulfract,
expon => exponl);
-- right side
break_number (
arg => rresize,
fptyp => rfptype,
denormalize => denormalize,
fract => urfract,
expon => exponr);
-- Compute the exponent
rexpon := resize (exponl, rexpon'length) - exponr - 2;
if (rfptype = pos_denormal or rfptype = neg_denormal) then
-- Do the shifting here not after. That way we have a smaller
-- shifter, and need a smaller divider, because the top
-- bit in the divisor will always be a "1".
shifty := fraction_width - find_leftmost(urfract, '1');
urfract := shift_left (urfract, shifty);
rexpon := rexpon + shifty;
end if;
fractr := (others => '0');
fractr (fraction_width+divguard downto divguard) := urfract;
if (lfptype = pos_denormal or lfptype = neg_denormal) then
shiftx := fraction_width - find_leftmost(ulfract, '1');
ulfract := shift_left (ulfract, shiftx);
rexpon := rexpon - shiftx;
end if;
fractl := (others => '0');
fractl (fractl'high downto fractl'high-fraction_width) := ulfract;
-- divide
rfract := short_divide (fractl, fractr); -- unsigned divide
sfract := rfract (sfract'range); -- lower bits
sticky := '1';
-- normalize
fpresult := normalize (fract => sfract,
expon => rexpon,
sign => fp_sign,
sticky => sticky,
fraction_width => fraction_width,
exponent_width => exponent_width,
round_style => round_style,
denormalize => denormalize,
nguard => divguard);
end case classcase2;
end case classcase;
return fpresult;
end function divide;
-- division by a power of 2
function dividebyp2 (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction
constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent
variable lfptype, rfptype : valid_fpstate;
variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width);
variable ulfract, urfract : UNSIGNED (fraction_width downto 0);
variable exponl, exponr : SIGNED(exponent_width-1 downto 0); -- exponents
variable rexpon : SIGNED(exponent_width downto 0); -- result exponent
variable fp_sign : STD_ULOGIC; -- sign of result
variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width);
begin -- divisionbyp2
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
lfptype := isx;
else
lfptype := classfp (l, check_error);
rfptype := classfp (r, check_error);
end if;
classcase : case rfptype is
when isx =>
fpresult := (others => 'X');
when nan | quiet_nan =>
-- Return quiet NAN, IEEE754-1985-7.1,1
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
when pos_inf | neg_inf =>
if lfptype = pos_inf or lfptype = neg_inf then -- inf / inf
-- Return quiet NAN, IEEE754-1985-7.1,4
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
else -- x / inf = 0
fpresult := zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
fp_sign := l(l'high) xor r(r'high); -- sign
fpresult (fpresult'high) := fp_sign; -- sign
end if;
when pos_zero | neg_zero =>
if lfptype = pos_zero or lfptype = neg_zero then -- 0 / 0
-- Return quiet NAN, IEEE754-1985-7.1,4
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
else
report float_pkg'instance_name
& "DIVIDEBYP2: Floating Point divide by zero"
severity error;
-- Infinity, define in 754-1985-7.2
fpresult := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
fp_sign := l(l'high) xor r(r'high); -- sign
fpresult (fpresult'high) := fp_sign; -- sign
end if;
when others =>
classcase2 : case lfptype is
when isx =>
fpresult := (others => 'X');
when nan | quiet_nan =>
-- Return quiet NAN, IEEE754-1985-7.1,1
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
when pos_inf | neg_inf => -- inf / x = inf
fpresult := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
fp_sign := l(l'high) xor r(r'high); -- sign
fpresult (exponent_width) := fp_sign; -- sign
when pos_zero | neg_zero => -- 0 / X = 0
fpresult := zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
fp_sign := l(l'high) xor r(r'high); -- sign
fpresult (exponent_width) := fp_sign; -- sign
when others =>
fp_sign := l(l'high) xor r(r'high); -- sign
lresize := resize (arg => to_x01(l),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
lfptype := classfp (lresize, false); -- errors already checked
rresize := resize (arg => to_x01(r),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
rfptype := classfp (rresize, false); -- errors already checked
break_number (
arg => lresize,
fptyp => lfptype,
denormalize => denormalize,
fract => ulfract,
expon => exponl);
-- right side
break_number (
arg => rresize,
fptyp => rfptype,
denormalize => denormalize,
fract => urfract,
expon => exponr);
assert (or_reduce (urfract (fraction_width-1 downto 0)) = '0')
report float_pkg'instance_name
& "DIVIDEBYP2: "
& "Dividebyp2 called with a non power of two divisor"
severity error;
rexpon := (exponl(exponl'high)&exponl)
- (exponr(exponr'high)&exponr) - 1;
-- normalize
fpresult := normalize (fract => ulfract,
expon => rexpon,
sign => fp_sign,
sticky => '1',
fraction_width => fraction_width,
exponent_width => exponent_width,
round_style => round_style,
denormalize => denormalize,
nguard => 0);
end case classcase2;
end case classcase;
return fpresult;
end function dividebyp2;
-- Multiply accumulate result = l*r + c
function mac (
l, r, c : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
constant fraction_width : NATURAL :=
-mine (mine(l'low, r'low), c'low); -- length of FP output fraction
constant exponent_width : NATURAL :=
maximum (maximum(l'high, r'high), c'high); -- length of FP output exponent
variable lfptype, rfptype, cfptype : valid_fpstate;
variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width);
variable fractl, fractr : UNSIGNED (fraction_width downto 0); -- fractions
variable fractx : UNSIGNED (fraction_width+guard downto 0);
variable fractc, fracts : UNSIGNED (fraction_width+1+guard downto 0);
variable rfract : UNSIGNED ((2*(fraction_width))+1 downto 0); -- result fraction
variable sfract, ufract : UNSIGNED (fraction_width+1+guard downto 0); -- result fraction
variable exponl, exponr, exponc : SIGNED (exponent_width-1 downto 0); -- exponents
variable rexpon, rexpon2 : SIGNED (exponent_width+1 downto 0); -- result exponent
variable shifty : INTEGER; -- denormal shift
variable shiftx : SIGNED (rexpon'range); -- shift fractions
variable fp_sign : STD_ULOGIC; -- sign of result
variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width);
variable cresize : UNRESOLVED_float (exponent_width downto -fraction_width - guard);
variable leftright : BOOLEAN; -- left or right used
variable sticky : STD_ULOGIC; -- Holds precision for rounding
begin -- multiply
if (fraction_width = 0 or l'length < 7 or r'length < 7 or c'length < 7) then
lfptype := isx;
else
lfptype := classfp (l, check_error);
rfptype := classfp (r, check_error);
cfptype := classfp (c, check_error);
end if;
if (lfptype = isx or rfptype = isx or cfptype = isx) then
fpresult := (others => 'X');
elsif (lfptype = nan or lfptype = quiet_nan or
rfptype = nan or rfptype = quiet_nan or
cfptype = nan or cfptype = quiet_nan) then
-- Return quiet NAN, IEEE754-1985-7.1,1
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
elsif (((lfptype = pos_inf or lfptype = neg_inf) and
(rfptype = pos_zero or rfptype = neg_zero)) or
((rfptype = pos_inf or rfptype = neg_inf) and
(lfptype = pos_zero or lfptype = neg_zero))) then -- 0 * inf
-- Return quiet NAN, IEEE754-1985-7.1,3
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
elsif (lfptype = pos_inf or rfptype = pos_inf
or lfptype = neg_inf or rfptype = neg_inf -- x * inf = inf
or cfptype = neg_inf or cfptype = pos_inf) then -- x + inf = inf
fpresult := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
-- figure out the sign
fpresult (exponent_width) := l(l'high) xor r(r'high);
else
fp_sign := l(l'high) xor r(r'high); -- figure out the sign
lresize := resize (arg => to_x01(l),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
lfptype := classfp (lresize, false); -- errors already checked
rresize := resize (arg => to_x01(r),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
rfptype := classfp (rresize, false); -- errors already checked
cresize := resize (arg => to_x01(c),
exponent_width => exponent_width,
fraction_width => -cresize'low,
denormalize_in => denormalize,
denormalize => denormalize);
cfptype := classfp (cresize, false); -- errors already checked
break_number (
arg => lresize,
fptyp => lfptype,
denormalize => denormalize,
fract => fractl,
expon => exponl);
break_number (
arg => rresize,
fptyp => rfptype,
denormalize => denormalize,
fract => fractr,
expon => exponr);
break_number (
arg => cresize,
fptyp => cfptype,
denormalize => denormalize,
fract => fractx,
expon => exponc);
if (rfptype = pos_denormal or rfptype = neg_denormal) then
shifty := fraction_width - find_leftmost(fractr, '1');
fractr := shift_left (fractr, shifty);
elsif (lfptype = pos_denormal or lfptype = neg_denormal) then
shifty := fraction_width - find_leftmost(fractl, '1');
fractl := shift_left (fractl, shifty);
else
shifty := 0;
-- Note that a denormal number * a denormal number is always zero.
end if;
-- multiply
rfract := fractl * fractr; -- Multiply the fraction
-- add the exponents
rexpon := resize (exponl, rexpon'length) + exponr - shifty + 1;
shiftx := rexpon - exponc;
if shiftx < -fractl'high then
rexpon2 := resize (exponc, rexpon2'length);
fractc := "0" & fractx;
fracts := (others => '0');
sticky := or_reduce (rfract);
elsif shiftx < 0 then
shiftx := - shiftx;
fracts := shift_right (rfract (rfract'high downto rfract'high
- fracts'length+1),
to_integer(shiftx));
fractc := "0" & fractx;
rexpon2 := resize (exponc, rexpon2'length);
leftright := false;
sticky := or_reduce (rfract (to_integer(shiftx)+rfract'high
- fracts'length downto 0));
elsif shiftx = 0 then
rexpon2 := resize (exponc, rexpon2'length);
sticky := or_reduce (rfract (rfract'high - fractc'length downto 0));
if rfract (rfract'high downto rfract'high - fractc'length+1) > fractx
then
fractc := "0" & fractx;
fracts := rfract (rfract'high downto rfract'high
- fracts'length+1);
leftright := false;
else
fractc := rfract (rfract'high downto rfract'high
- fractc'length+1);
fracts := "0" & fractx;
leftright := true;
end if;
elsif shiftx > fractx'high then
rexpon2 := rexpon;
fracts := (others => '0');
fractc := rfract (rfract'high downto rfract'high - fractc'length+1);
leftright := true;
sticky := or_reduce (fractx & rfract (rfract'high - fractc'length
downto 0));
else -- fractx'high > shiftx > 0
rexpon2 := rexpon;
fracts := "0" & shift_right (fractx, to_integer (shiftx));
fractc := rfract (rfract'high downto rfract'high - fractc'length+1);
leftright := true;
sticky := or_reduce (fractx (to_integer (shiftx) downto 0)
& rfract (rfract'high - fractc'length downto 0));
end if;
fracts (0) := fracts (0) or sticky; -- Or the sticky bit into the LSB
if fp_sign = to_X01(c(c'high)) then
ufract := fractc + fracts;
fp_sign := fp_sign;
else -- signs are different
ufract := fractc - fracts; -- always positive result
if leftright then -- Figure out which sign to use
fp_sign := fp_sign;
else
fp_sign := c(c'high);
end if;
end if;
-- normalize
fpresult := normalize (fract => ufract,
expon => rexpon2,
sign => fp_sign,
sticky => sticky,
fraction_width => fraction_width,
exponent_width => exponent_width,
round_style => round_style,
denormalize => denormalize,
nguard => guard);
end if;
return fpresult;
end function mac;
-- "rem" function
function remainder (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction
constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent
constant divguard : NATURAL := guard; -- division guard bits
variable lfptype, rfptype : valid_fpstate;
variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width);
variable ulfract, urfract : UNSIGNED (fraction_width downto 0);
variable fractr, fractl : UNSIGNED (fraction_width+divguard downto 0); -- right
variable rfract : UNSIGNED (fractr'range); -- result fraction
variable sfract : UNSIGNED (fraction_width+divguard downto 0); -- result fraction
variable exponl, exponr : SIGNED (exponent_width-1 downto 0); -- exponents
variable rexpon : SIGNED (exponent_width downto 0); -- result exponent
variable fp_sign : STD_ULOGIC; -- sign of result
variable shifty : INTEGER; -- denormal number shift
variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width);
begin -- remainder
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
lfptype := isx;
else
lfptype := classfp (l, check_error);
rfptype := classfp (r, check_error);
end if;
if (lfptype = isx or rfptype = isx) then
fpresult := (others => 'X');
elsif (lfptype = nan or lfptype = quiet_nan)
or (rfptype = nan or rfptype = quiet_nan)
-- Return quiet NAN, IEEE754-1985-7.1,1
or (lfptype = pos_inf or lfptype = neg_inf) -- inf rem x
-- Return quiet NAN, IEEE754-1985-7.1,5
or (rfptype = pos_zero or rfptype = neg_zero) then -- x rem 0
-- Return quiet NAN, IEEE754-1985-7.1,5
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
elsif (rfptype = pos_inf or rfptype = neg_inf) then -- x rem inf = 0
fpresult := zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
elsif (abs(l) < abs(r)) then
fpresult := l;
else
fp_sign := to_X01(l(l'high)); -- sign
lresize := resize (arg => to_x01(l),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
lfptype := classfp (lresize, false); -- errors already checked
rresize := resize (arg => to_x01(r),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
rfptype := classfp (rresize, false); -- errors already checked
fractl := (others => '0');
break_number (
arg => lresize,
fptyp => lfptype,
denormalize => denormalize,
fract => ulfract,
expon => exponl);
fractl (fraction_width+divguard downto divguard) := ulfract;
-- right side
fractr := (others => '0');
break_number (
arg => rresize,
fptyp => rfptype,
denormalize => denormalize,
fract => urfract,
expon => exponr);
fractr (fraction_width+divguard downto divguard) := urfract;
rexpon := (exponr(exponr'high)&exponr);
shifty := to_integer(exponl - rexpon);
if (shifty > 0) then
fractr := shift_right (fractr, shifty);
rexpon := rexpon + shifty;
end if;
if (fractr /= 0) then
-- rem
rfract := fractl rem fractr; -- unsigned rem
sfract := rfract (sfract'range); -- lower bits
-- normalize
fpresult := normalize (fract => sfract,
expon => rexpon,
sign => fp_sign,
fraction_width => fraction_width,
exponent_width => exponent_width,
round_style => round_style,
denormalize => denormalize,
nguard => divguard);
else
-- If we shift "fractr" so far that it becomes zero, return zero.
fpresult := zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
end if;
end if;
return fpresult;
end function remainder;
-- "mod" function
function modulo (
l, r : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant guard : NATURAL := float_guard_bits; -- number of guard bits
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
constant fraction_width : NATURAL := - mine(l'low, r'low); -- length of FP output fraction
constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent
variable lfptype, rfptype : valid_fpstate;
variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width);
variable remres : UNRESOLVED_float (exponent_width downto -fraction_width);
begin -- remainder
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
lfptype := isx;
else
lfptype := classfp (l, check_error);
rfptype := classfp (r, check_error);
end if;
if (lfptype = isx or rfptype = isx) then
fpresult := (others => 'X');
elsif (lfptype = nan or lfptype = quiet_nan)
or (rfptype = nan or rfptype = quiet_nan)
-- Return quiet NAN, IEEE754-1985-7.1,1
or (lfptype = pos_inf or lfptype = neg_inf) -- inf rem x
-- Return quiet NAN, IEEE754-1985-7.1,5
or (rfptype = pos_zero or rfptype = neg_zero) then -- x rem 0
-- Return quiet NAN, IEEE754-1985-7.1,5
fpresult := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
elsif (rfptype = pos_inf or rfptype = neg_inf) then -- x rem inf = 0
fpresult := zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
else
remres := remainder (l => abs(l),
r => abs(r),
round_style => round_style,
guard => guard,
check_error => false,
denormalize => denormalize);
-- MOD is the same as REM, but you do something different with
-- negative values
if (is_negative (l)) then
remres := - remres;
end if;
if (is_negative (l) = is_negative (r) or remres = 0) then
fpresult := remres;
else
fpresult := add (l => remres,
r => r,
round_style => round_style,
guard => guard,
check_error => false,
denormalize => denormalize);
end if;
end if;
return fpresult;
end function modulo;
-- Square root of a floating point number. Done using Newton's Iteration.
function sqrt (
arg : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style;
constant guard : NATURAL := float_guard_bits;
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return UNRESOLVED_float is
constant fraction_width : NATURAL := guard-arg'low; -- length of FP output fraction
constant exponent_width : NATURAL := arg'high; -- length of FP output exponent
variable sign : STD_ULOGIC;
variable fpresult : float (arg'range);
variable fptype : valid_fpstate;
variable iexpon : SIGNED(exponent_width-1 downto 0); -- exponents
variable expon : SIGNED(exponent_width downto 0); -- exponents
variable ufact : ufixed (0 downto arg'low);
variable fact : ufixed (2 downto -fraction_width); -- fraction
variable resb : ufixed (fact'high+1 downto fact'low);
begin -- square root
fptype := Classfp (arg, check_error);
classcase : case fptype is
when isx =>
fpresult := (others => 'X');
when nan | quiet_nan |
-- Return quiet NAN, IEEE754-1985-7.1,1
neg_normal | neg_denormal | neg_inf => -- sqrt (neg)
-- Return quiet NAN, IEEE754-1985-7.1.6
fpresult := qnanfp (fraction_width => fraction_width-guard,
exponent_width => exponent_width);
when pos_inf => -- Sqrt (inf), return infinity
fpresult := pos_inffp (fraction_width => fraction_width-guard,
exponent_width => exponent_width);
when pos_zero => -- return 0
fpresult := zerofp (fraction_width => fraction_width-guard,
exponent_width => exponent_width);
when neg_zero => -- IEEE754-1985-6.3 return -0
fpresult := neg_zerofp (fraction_width => fraction_width-guard,
exponent_width => exponent_width);
when others =>
break_number (arg => arg,
denormalize => denormalize,
check_error => false,
fract => ufact,
expon => iexpon,
sign => sign);
expon := resize (iexpon+1, expon'length); -- get exponent
fact := resize (ufact, fact'high, fact'low);
if (expon(0) = '1') then
fact := fact sla 1; -- * 2.0
end if;
expon := shift_right (expon, 1); -- exponent/2
-- Newton's iteration - root := (1 + arg) / 2
resb := (fact + 1) sra 1;
for j in 0 to fraction_width/4 loop
-- root := (root + (arg/root))/2
resb := resize (arg => (resb + (fact/resb)) sra 1,
left_index => resb'high,
right_index => resb'low,
round_style => fixed_truncate,
overflow_style => fixed_wrap);
end loop;
fpresult := normalize (fract => resb,
expon => expon-1,
sign => '0',
exponent_width => arg'high,
fraction_width => -arg'low,
round_style => round_style,
denormalize => denormalize,
nguard => guard);
end case classcase;
return fpresult;
end function sqrt;
function Is_Negative (arg : UNRESOLVED_float) return BOOLEAN is
-- Technically -0 should return "false", but I'm leaving that case out.
begin
return (to_x01(arg(arg'high)) = '1');
end function Is_Negative;
-- compare functions
-- =, /=, >=, <=, <, >
function eq ( -- equal =
l, r : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return BOOLEAN is
variable lfptype, rfptype : valid_fpstate;
variable is_equal, is_unordered : BOOLEAN;
constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction
constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent
variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width);
begin -- equal
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
return false;
else
lfptype := classfp (l, check_error);
rfptype := classfp (r, check_error);
end if;
if (lfptype = neg_zero or lfptype = pos_zero) and
(rfptype = neg_zero or rfptype = pos_zero) then
is_equal := true;
else
lresize := resize (arg => to_x01(l),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
rresize := resize (arg => to_x01(r),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
is_equal := (to_slv(lresize) = to_slv(rresize));
end if;
if (check_error) then
is_unordered := Unordered (x => l,
y => r);
else
is_unordered := false;
end if;
return is_equal and not is_unordered;
end function eq;
function lt ( -- less than <
l, r : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return BOOLEAN is
constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction
constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent
variable lfptype, rfptype : valid_fpstate;
variable expl, expr : UNSIGNED (exponent_width-1 downto 0);
variable fractl, fractr : UNSIGNED (fraction_width-1 downto 0);
variable is_less_than, is_unordered : BOOLEAN;
variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width);
begin
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
is_less_than := false;
else
lresize := resize (arg => to_x01(l),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
rresize := resize (arg => to_x01(r),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
if to_x01(l(l'high)) = to_x01(r(r'high)) then -- sign bits
expl := UNSIGNED(lresize(exponent_width-1 downto 0));
expr := UNSIGNED(rresize(exponent_width-1 downto 0));
if expl = expr then
fractl := UNSIGNED (to_slv(lresize(-1 downto -fraction_width)));
fractr := UNSIGNED (to_slv(rresize(-1 downto -fraction_width)));
if to_x01(l(l'high)) = '0' then -- positive number
is_less_than := (fractl < fractr);
else
is_less_than := (fractl > fractr); -- negative
end if;
else
if to_x01(l(l'high)) = '0' then -- positive number
is_less_than := (expl < expr);
else
is_less_than := (expl > expr); -- negative
end if;
end if;
else
lfptype := classfp (l, check_error);
rfptype := classfp (r, check_error);
if (lfptype = neg_zero and rfptype = pos_zero) then
is_less_than := false; -- -0 < 0 returns false.
else
is_less_than := (to_x01(l(l'high)) > to_x01(r(r'high)));
end if;
end if;
end if;
if check_error then
is_unordered := Unordered (x => l,
y => r);
else
is_unordered := false;
end if;
return is_less_than and not is_unordered;
end function lt;
function gt ( -- greater than >
l, r : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return BOOLEAN is
constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction
constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent
variable lfptype, rfptype : valid_fpstate;
variable expl, expr : UNSIGNED (exponent_width-1 downto 0);
variable fractl, fractr : UNSIGNED (fraction_width-1 downto 0);
variable is_greater_than : BOOLEAN;
variable is_unordered : BOOLEAN;
variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width);
begin -- greater_than
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
is_greater_than := false;
else
lresize := resize (arg => to_x01(l),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
rresize := resize (arg => to_x01(r),
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => denormalize,
denormalize => denormalize);
if to_x01(l(l'high)) = to_x01(r(r'high)) then -- sign bits
expl := UNSIGNED(lresize(exponent_width-1 downto 0));
expr := UNSIGNED(rresize(exponent_width-1 downto 0));
if expl = expr then
fractl := UNSIGNED (to_slv(lresize(-1 downto -fraction_width)));
fractr := UNSIGNED (to_slv(rresize(-1 downto -fraction_width)));
if to_x01(l(l'high)) = '0' then -- positive number
is_greater_than := fractl > fractr;
else
is_greater_than := fractl < fractr; -- negative
end if;
else
if to_x01(l(l'high)) = '0' then -- positive number
is_greater_than := expl > expr;
else
is_greater_than := expl < expr; -- negative
end if;
end if;
else
lfptype := classfp (l, check_error);
rfptype := classfp (r, check_error);
if (lfptype = pos_zero and rfptype = neg_zero) then
is_greater_than := false; -- 0 > -0 returns false.
else
is_greater_than := to_x01(l(l'high)) < to_x01(r(r'high));
end if;
end if;
end if;
if check_error then
is_unordered := Unordered (x => l,
y => r);
else
is_unordered := false;
end if;
return is_greater_than and not is_unordered;
end function gt;
-- purpose: /= function
function ne ( -- not equal /=
l, r : UNRESOLVED_float;
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return BOOLEAN is
variable is_equal, is_unordered : BOOLEAN;
begin
is_equal := eq (l => l,
r => r,
check_error => false,
denormalize => denormalize);
if check_error then
is_unordered := Unordered (x => l,
y => r);
else
is_unordered := false;
end if;
return not (is_equal and not is_unordered);
end function ne;
function le ( -- less than or equal to <=
l, r : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return BOOLEAN is
variable is_greater_than, is_unordered : BOOLEAN;
begin
is_greater_than := gt (l => l,
r => r,
check_error => false,
denormalize => denormalize);
if check_error then
is_unordered := Unordered (x => l,
y => r);
else
is_unordered := false;
end if;
return not is_greater_than and not is_unordered;
end function le;
function ge ( -- greater than or equal to >=
l, r : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error;
constant denormalize : BOOLEAN := float_denormalize)
return BOOLEAN is
variable is_less_than, is_unordered : BOOLEAN;
begin
is_less_than := lt (l => l,
r => r,
check_error => false,
denormalize => denormalize);
if check_error then
is_unordered := Unordered (x => l,
y => r);
else
is_unordered := false;
end if;
return not is_less_than and not is_unordered;
end function ge;
function \?=\ (L, R : UNRESOLVED_float) return STD_ULOGIC is
constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction
constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent
variable lfptype, rfptype : valid_fpstate;
variable is_equal, is_unordered : STD_ULOGIC;
variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width);
begin -- ?=
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
return 'X';
else
lfptype := classfp (l, float_check_error);
rfptype := classfp (r, float_check_error);
end if;
if (lfptype = neg_zero or lfptype = pos_zero) and
(rfptype = neg_zero or rfptype = pos_zero) then
is_equal := '1';
else
lresize := resize (arg => l,
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => float_denormalize,
denormalize => float_denormalize);
rresize := resize (arg => r,
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => float_denormalize,
denormalize => float_denormalize);
is_equal := \?=\ (to_sulv(lresize), to_sulv(rresize));
end if;
if (float_check_error) then
if (lfptype = nan or lfptype = quiet_nan or
rfptype = nan or rfptype = quiet_nan) then
is_unordered := '1';
else
is_unordered := '0';
end if;
else
is_unordered := '0';
end if;
return is_equal and not is_unordered;
end function \?=\;
function \?/=\ (L, R : UNRESOLVED_float) return STD_ULOGIC is
constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction
constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent
variable lfptype, rfptype : valid_fpstate;
variable is_equal, is_unordered : STD_ULOGIC;
variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width);
begin -- ?/=
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
return 'X';
else
lfptype := classfp (l, float_check_error);
rfptype := classfp (r, float_check_error);
end if;
if (lfptype = neg_zero or lfptype = pos_zero) and
(rfptype = neg_zero or rfptype = pos_zero) then
is_equal := '1';
else
lresize := resize (arg => l,
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => float_denormalize,
denormalize => float_denormalize);
rresize := resize (arg => r,
exponent_width => exponent_width,
fraction_width => fraction_width,
denormalize_in => float_denormalize,
denormalize => float_denormalize);
is_equal := \?=\ (to_sulv(lresize), to_sulv(rresize));
end if;
if (float_check_error) then
if (lfptype = nan or lfptype = quiet_nan or
rfptype = nan or rfptype = quiet_nan) then
is_unordered := '1';
else
is_unordered := '0';
end if;
else
is_unordered := '0';
end if;
return not (is_equal and not is_unordered);
end function \?/=\;
function \?>\ (L, R : UNRESOLVED_float) return STD_ULOGIC is
constant fraction_width : NATURAL := -mine(l'low, r'low);
variable founddash : BOOLEAN := false;
begin
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
return 'X';
else
for i in L'range loop
if L(i) = '-' then
founddash := true;
end if;
end loop;
for i in R'range loop
if R(i) = '-' then
founddash := true;
end if;
end loop;
if founddash then
report float_pkg'instance_name
& " ""?>"": '-' found in compare string"
severity error;
return 'X';
elsif is_x(l) or is_x(r) then
return 'X';
elsif l > r then
return '1';
else
return '0';
end if;
end if;
end function \?>\;
function \?>=\ (L, R : UNRESOLVED_float) return STD_ULOGIC is
constant fraction_width : NATURAL := -mine(l'low, r'low);
variable founddash : BOOLEAN := false;
begin
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
return 'X';
else
for i in L'range loop
if L(i) = '-' then
founddash := true;
end if;
end loop;
for i in R'range loop
if R(i) = '-' then
founddash := true;
end if;
end loop;
if founddash then
report float_pkg'instance_name
& " ""?>="": '-' found in compare string"
severity error;
return 'X';
elsif is_x(l) or is_x(r) then
return 'X';
elsif l >= r then
return '1';
else
return '0';
end if;
end if;
end function \?>=\;
function \?<\ (L, R : UNRESOLVED_float) return STD_ULOGIC is
constant fraction_width : NATURAL := -mine(l'low, r'low);
variable founddash : BOOLEAN := false;
begin
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
return 'X';
else
for i in L'range loop
if L(i) = '-' then
founddash := true;
end if;
end loop;
for i in R'range loop
if R(i) = '-' then
founddash := true;
end if;
end loop;
if founddash then
report float_pkg'instance_name
& " ""?<"": '-' found in compare string"
severity error;
return 'X';
elsif is_x(l) or is_x(r) then
return 'X';
elsif l < r then
return '1';
else
return '0';
end if;
end if;
end function \?<\;
function \?<=\ (L, R : UNRESOLVED_float) return STD_ULOGIC is
constant fraction_width : NATURAL := -mine(l'low, r'low);
variable founddash : BOOLEAN := false;
begin
if (fraction_width = 0 or l'length < 7 or r'length < 7) then
return 'X';
else
for i in L'range loop
if L(i) = '-' then
founddash := true;
end if;
end loop;
for i in R'range loop
if R(i) = '-' then
founddash := true;
end if;
end loop;
if founddash then
report float_pkg'instance_name
& " ""?<="": '-' found in compare string"
severity error;
return 'X';
elsif is_x(l) or is_x(r) then
return 'X';
elsif l <= r then
return '1';
else
return '0';
end if;
end if;
end function \?<=\;
function std_match (L, R : UNRESOLVED_float) return BOOLEAN is
begin
if (L'high = R'high and L'low = R'low) then
return std_match(to_sulv(L), to_sulv(R));
else
report float_pkg'instance_name
& "STD_MATCH: L'RANGE /= R'RANGE, returning FALSE"
severity warning;
return false;
end if;
end function std_match;
function find_rightmost (arg : UNRESOLVED_float; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'reverse_range loop
if \?=\ (arg(i), y) = '1' then
return i;
end if;
end loop;
return arg'high+1; -- return out of bounds 'high
end function find_rightmost;
function find_leftmost (arg : UNRESOLVED_float; y : STD_ULOGIC) return INTEGER is
begin
for_loop : for i in arg'range loop
if \?=\ (arg(i), y) = '1' then
return i;
end if;
end loop;
return arg'low-1; -- return out of bounds 'low
end function find_leftmost;
-- These override the defaults for the compare operators.
function "=" (l, r : UNRESOLVED_float) return BOOLEAN is
begin
return eq(l, r);
end function "=";
function "/=" (l, r : UNRESOLVED_float) return BOOLEAN is
begin
return ne(l, r);
end function "/=";
function ">=" (l, r : UNRESOLVED_float) return BOOLEAN is
begin
return ge(l, r);
end function ">=";
function "<=" (l, r : UNRESOLVED_float) return BOOLEAN is
begin
return le(l, r);
end function "<=";
function ">" (l, r : UNRESOLVED_float) return BOOLEAN is
begin
return gt(l, r);
end function ">";
function "<" (l, r : UNRESOLVED_float) return BOOLEAN is
begin
return lt(l, r);
end function "<";
-- purpose: maximum of two numbers (overrides default)
function maximum (
L, R : UNRESOLVED_float)
return UNRESOLVED_float is
constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction
constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent
variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width);
begin
if ((L'length < 1) or (R'length < 1)) then return NAFP;
end if;
lresize := resize (l, exponent_width, fraction_width);
rresize := resize (r, exponent_width, fraction_width);
if lresize > rresize then return lresize;
else return rresize;
end if;
end function maximum;
function minimum (
L, R : UNRESOLVED_float)
return UNRESOLVED_float is
constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction
constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent
variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width);
begin
if ((L'length < 1) or (R'length < 1)) then return NAFP;
end if;
lresize := resize (l, exponent_width, fraction_width);
rresize := resize (r, exponent_width, fraction_width);
if lresize > rresize then return rresize;
else return lresize;
end if;
end function minimum;
-----------------------------------------------------------------------------
-- conversion functions
-----------------------------------------------------------------------------
-- Converts a floating point number of one format into another format
function resize (
arg : UNRESOLVED_float; -- Floating point input
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error;
constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
constant in_fraction_width : NATURAL := -arg'low; -- length of FP output fraction
constant in_exponent_width : NATURAL := arg'high; -- length of FP output exponent
variable result : UNRESOLVED_float (exponent_width downto -fraction_width);
-- result value
variable fptype : valid_fpstate;
variable expon_in : SIGNED (in_exponent_width-1 downto 0);
variable fract_in : UNSIGNED (in_fraction_width downto 0);
variable round : BOOLEAN;
variable expon_out : SIGNED (exponent_width-1 downto 0); -- output fract
variable fract_out : UNSIGNED (fraction_width downto 0); -- output fract
variable passguard : NATURAL;
begin
fptype := classfp(arg, check_error);
if ((fptype = pos_denormal or fptype = neg_denormal) and denormalize_in
and (in_exponent_width < exponent_width
or in_fraction_width < fraction_width))
or in_exponent_width > exponent_width
or in_fraction_width > fraction_width then
-- size reduction
classcase : case fptype is
when isx =>
result := (others => 'X');
when nan | quiet_nan =>
result := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
when pos_inf =>
result := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
when neg_inf =>
result := neg_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
when pos_zero | neg_zero =>
result := zerofp (fraction_width => fraction_width, -- hate -0
exponent_width => exponent_width);
when others =>
break_number (
arg => arg,
fptyp => fptype,
denormalize => denormalize_in,
fract => fract_in,
expon => expon_in);
if fraction_width > in_fraction_width and denormalize_in then
-- You only get here if you have a denormal input
fract_out := (others => '0'); -- pad with zeros
fract_out (fraction_width downto
fraction_width - in_fraction_width) := fract_in;
result := normalize (
fract => fract_out,
expon => expon_in,
sign => arg(arg'high),
fraction_width => fraction_width,
exponent_width => exponent_width,
round_style => round_style,
denormalize => denormalize,
nguard => 0);
else
result := normalize (
fract => fract_in,
expon => expon_in,
sign => arg(arg'high),
fraction_width => fraction_width,
exponent_width => exponent_width,
round_style => round_style,
denormalize => denormalize,
nguard => in_fraction_width - fraction_width);
end if;
end case classcase;
else -- size increase or the same size
if exponent_width > in_exponent_width then
expon_in := SIGNED(arg (in_exponent_width-1 downto 0));
if fptype = pos_zero or fptype = neg_zero then
result (exponent_width-1 downto 0) := (others => '0');
elsif expon_in = -1 then -- inf or nan (shorts out check_error)
result (exponent_width-1 downto 0) := (others => '1');
else
-- invert top BIT
expon_in(expon_in'high) := not expon_in(expon_in'high);
expon_out := resize (expon_in, expon_out'length); -- signed expand
-- Flip it back.
expon_out(expon_out'high) := not expon_out(expon_out'high);
result (exponent_width-1 downto 0) := UNRESOLVED_float(expon_out);
end if;
result (exponent_width) := arg (in_exponent_width); -- sign
else -- exponent_width = in_exponent_width
result (exponent_width downto 0) := arg (in_exponent_width downto 0);
end if;
if fraction_width > in_fraction_width then
result (-1 downto -fraction_width) := (others => '0'); -- zeros
result (-1 downto -in_fraction_width) :=
arg (-1 downto -in_fraction_width);
else -- fraction_width = in_fraciton_width
result (-1 downto -fraction_width) :=
arg (-1 downto -in_fraction_width);
end if;
end if;
return result;
end function resize;
function resize (
arg : UNRESOLVED_float; -- floating point input
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error;
constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
variable result : UNRESOLVED_float (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := resize (arg => arg,
exponent_width => size_res'high,
fraction_width => -size_res'low,
round_style => round_style,
check_error => check_error,
denormalize_in => denormalize_in,
denormalize => denormalize);
return result;
end if;
end function resize;
function to_float32 (
arg : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error;
constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float32 is
begin
return resize (arg => arg,
exponent_width => float32'high,
fraction_width => -float32'low,
round_style => round_style,
check_error => check_error,
denormalize_in => denormalize_in,
denormalize => denormalize);
end function to_float32;
function to_float64 (
arg : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error;
constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float64 is
begin
return resize (arg => arg,
exponent_width => float64'high,
fraction_width => -float64'low,
round_style => round_style,
check_error => check_error,
denormalize_in => denormalize_in,
denormalize => denormalize);
end function to_float64;
function to_float128 (
arg : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error;
constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float128 is
begin
return resize (arg => arg,
exponent_width => float128'high,
fraction_width => -float128'low,
round_style => round_style,
check_error => check_error,
denormalize_in => denormalize_in,
denormalize => denormalize);
end function to_float128;
-- to_float (Real)
-- typically not Synthesizable unless the input is a constant.
function to_float (
arg : REAL;
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction
constant round_style : round_type := float_round_style; -- rounding option
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
variable result : UNRESOLVED_float (exponent_width downto -fraction_width);
variable arg_real : REAL; -- Real version of argument
variable validfp : boundary_type; -- Check for valid results
variable exp : INTEGER; -- Integer version of exponent
variable expon : UNSIGNED (exponent_width - 1 downto 0);
-- Unsigned version of exp.
constant expon_base : SIGNED (exponent_width-1 downto 0) :=
gen_expon_base(exponent_width); -- exponent offset
variable fract : UNSIGNED (fraction_width-1 downto 0);
variable frac : REAL; -- Real version of fraction
constant roundfrac : REAL := 2.0 ** (-2 - fract'high); -- used for rounding
variable round : BOOLEAN; -- to round or not to round
begin
result := (others => '0');
arg_real := arg;
if arg_real < 0.0 then
result (exponent_width) := '1';
arg_real := - arg_real; -- Make it positive.
else
result (exponent_width) := '0';
end if;
test_boundary (arg => arg_real,
fraction_width => fraction_width,
exponent_width => exponent_width,
denormalize => denormalize,
btype => validfp,
log2i => exp);
if validfp = zero then
return result; -- Result initialized to "0".
elsif validfp = infinity then
result (exponent_width - 1 downto 0) := (others => '1'); -- Exponent all "1"
-- return infinity.
return result;
else
if validfp = denormal then -- Exponent will default to "0".
expon := (others => '0');
frac := arg_real * (2.0 ** (to_integer(expon_base)-1));
else -- Number less than 1. "normal" number
expon := UNSIGNED (to_signed (exp-1, exponent_width));
expon(exponent_width-1) := not expon(exponent_width-1);
frac := (arg_real / 2.0 ** exp) - 1.0; -- Number less than 1.
end if;
for i in 0 to fract'high loop
if frac >= 2.0 ** (-1 - i) then
fract (fract'high - i) := '1';
frac := frac - 2.0 ** (-1 - i);
else
fract (fract'high - i) := '0';
end if;
end loop;
round := false;
case round_style is
when round_nearest =>
if frac > roundfrac or ((frac = roundfrac) and fract(0) = '1') then
round := true;
end if;
when round_inf =>
if frac /= 0.0 and result(exponent_width) = '0' then
round := true;
end if;
when round_neginf =>
if frac /= 0.0 and result(exponent_width) = '1' then
round := true;
end if;
when others =>
null; -- don't round
end case;
if (round) then
if and_reduce (fract) = '1' then -- fraction is all "1"
expon := expon + 1;
fract := (others => '0');
else
fract := fract + 1;
end if;
end if;
result (exponent_width-1 downto 0) := UNRESOLVED_float(expon);
result (-1 downto -fraction_width) := UNRESOLVED_float(fract);
return result;
end if;
end function to_float;
-- to_float (Integer)
function to_float (
arg : INTEGER;
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction
constant round_style : round_type := float_round_style) -- rounding option
return UNRESOLVED_float is
variable result : UNRESOLVED_float (exponent_width downto -fraction_width);
variable arg_int : NATURAL; -- Natural version of argument
variable expon : SIGNED (exponent_width-1 downto 0);
variable exptmp : SIGNED (exponent_width-1 downto 0);
-- Unsigned version of exp.
constant expon_base : SIGNED (exponent_width-1 downto 0) :=
gen_expon_base(exponent_width); -- exponent offset
variable fract : UNSIGNED (fraction_width-1 downto 0) := (others => '0');
variable fracttmp : UNSIGNED (fraction_width-1 downto 0);
variable round : BOOLEAN;
variable shift : NATURAL;
variable shiftr : NATURAL;
variable roundfrac : NATURAL; -- used in rounding
begin
if arg < 0 then
result (exponent_width) := '1';
arg_int := -arg; -- Make it positive.
else
result (exponent_width) := '0';
arg_int := arg;
end if;
if arg_int = 0 then
result := zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
else
-- If the number is larger than we can represent in this number system
-- we need to return infinity.
shift := log2(arg_int);
if shift > to_integer(expon_base) then
-- worry about infinity
if result (exponent_width) = '0' then
result := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
else
-- return negative infinity.
result := neg_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
end if;
else -- Normal number (can't be denormal)
-- Compute Exponent
expon := to_signed (shift-1, expon'length); -- positive fraction.
-- Compute Fraction
arg_int := arg_int - 2**shift; -- Subtract off the 1.0
shiftr := shift;
for I in fract'high downto maximum (fract'high - shift + 1, 0) loop
shiftr := shiftr - 1;
if (arg_int >= 2**shiftr) then
arg_int := arg_int - 2**shiftr;
fract(I) := '1';
else
fract(I) := '0';
end if;
end loop;
-- Rounding routine
round := false;
if arg_int > 0 then
roundfrac := 2**(shiftr-1);
case round_style is
when round_nearest =>
if arg_int > roundfrac or
((arg_int = roundfrac) and fract(0) = '1') then
round := true;
end if;
when round_inf =>
if arg_int /= 0 and result (exponent_width) = '0' then
round := true;
end if;
when round_neginf =>
if arg_int /= 0 and result (exponent_width) = '1' then
round := true;
end if;
when others =>
null;
end case;
end if;
if round then
fp_round(fract_in => fract,
expon_in => expon,
fract_out => fracttmp,
expon_out => exptmp);
fract := fracttmp;
expon := exptmp;
end if;
-- Put the number together and return
expon(exponent_width-1) := not expon(exponent_width-1);
result (exponent_width-1 downto 0) := UNRESOLVED_float(expon);
result (-1 downto -fraction_width) := UNRESOLVED_float(fract);
end if;
end if;
return result;
end function to_float;
-- to_float (unsigned)
function to_float (
arg : UNSIGNED;
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction
constant round_style : round_type := float_round_style) -- rounding option
return UNRESOLVED_float is
variable result : UNRESOLVED_float (exponent_width downto -fraction_width);
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG;
variable sarg : SIGNED (ARG_LEFT+1 downto 0); -- signed version of arg
begin
if arg'length < 1 then
return NAFP;
end if;
sarg (XARG'range) := SIGNED (XARG);
sarg (sarg'high) := '0';
result := to_float (arg => sarg,
exponent_width => exponent_width,
fraction_width => fraction_width,
round_style => round_style);
return result;
end function to_float;
-- to_float (signed)
function to_float (
arg : SIGNED;
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction
constant round_style : round_type := float_round_style) -- rounding option
return UNRESOLVED_float is
variable result : UNRESOLVED_float (exponent_width downto -fraction_width);
constant ARG_LEFT : INTEGER := ARG'length-1;
alias XARG : SIGNED(ARG_LEFT downto 0) is ARG;
variable arg_int : UNSIGNED(xarg'range); -- Real version of argument
variable argb2 : UNSIGNED(xarg'high/2 downto 0); -- log2 of input
variable rexp : SIGNED (exponent_width - 1 downto 0);
variable exp : SIGNED (exponent_width - 1 downto 0);
-- signed version of exp.
variable expon : UNSIGNED (exponent_width - 1 downto 0);
-- Unsigned version of exp.
constant expon_base : SIGNED (exponent_width-1 downto 0) :=
gen_expon_base(exponent_width); -- exponent offset
variable round : BOOLEAN;
variable fract : UNSIGNED (fraction_width-1 downto 0);
variable rfract : UNSIGNED (fraction_width-1 downto 0);
variable sign : STD_ULOGIC; -- sign bit
begin
if arg'length < 1 then
return NAFP;
end if;
if Is_X (xarg) then
result := (others => 'X');
elsif (xarg = 0) then
result := zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
else -- Normal number (can't be denormal)
sign := to_X01(xarg (xarg'high));
arg_int := UNSIGNED(abs (to_01(xarg)));
-- Compute Exponent
argb2 := to_unsigned(find_leftmost(arg_int, '1'), argb2'length); -- Log2
if argb2 > UNSIGNED(expon_base) then
result := pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
result (exponent_width) := sign;
else
exp := SIGNED(resize(argb2, exp'length));
arg_int := shift_left (arg_int, arg_int'high-to_integer(exp));
if (arg_int'high > fraction_width) then
fract := arg_int (arg_int'high-1 downto (arg_int'high-fraction_width));
round := check_round (
fract_in => fract (0),
sign => sign,
remainder => arg_int((arg_int'high-fraction_width-1)
downto 0),
round_style => round_style);
if round then
fp_round(fract_in => fract,
expon_in => exp,
fract_out => rfract,
expon_out => rexp);
else
rfract := fract;
rexp := exp;
end if;
else
rexp := exp;
rfract := (others => '0');
rfract (fraction_width-1 downto fraction_width-1-(arg_int'high-1)) :=
arg_int (arg_int'high-1 downto 0);
end if;
result (exponent_width) := sign;
expon := UNSIGNED (rexp-1);
expon(exponent_width-1) := not expon(exponent_width-1);
result (exponent_width-1 downto 0) := UNRESOLVED_float(expon);
result (-1 downto -fraction_width) := UNRESOLVED_float(rfract);
end if;
end if;
return result;
end function to_float;
-- std_logic_vector to float
function to_float (
arg : STD_ULOGIC_VECTOR;
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width) -- length of FP output fraction
return UNRESOLVED_float is
variable fpvar : UNRESOLVED_float (exponent_width downto -fraction_width);
begin
if arg'length < 1 then
return NAFP;
end if;
fpvar := UNRESOLVED_float(arg);
return fpvar;
end function to_float;
-- purpose: converts a ufixed to a floating point
function to_float (
arg : UNRESOLVED_ufixed; -- unsigned fixed point input
constant exponent_width : NATURAL := float_exponent_width; -- width of exponent
constant fraction_width : NATURAL := float_fraction_width; -- width of fraction
constant round_style : round_type := float_round_style; -- rounding
constant denormalize : BOOLEAN := float_denormalize) -- use ieee extensions
return UNRESOLVED_float is
variable sarg : sfixed (arg'high+1 downto arg'low); -- Signed version of arg
variable result : UNRESOLVED_float (exponent_width downto -fraction_width);
begin -- function to_float
if (arg'length < 1) then
return NAFP;
end if;
sarg (arg'range) := sfixed (arg);
sarg (sarg'high) := '0';
result := to_float (arg => sarg,
exponent_width => exponent_width,
fraction_width => fraction_width,
round_style => round_style,
denormalize => denormalize);
return result;
end function to_float;
function to_float (
arg : UNRESOLVED_sfixed; -- signed fixed point
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction
constant round_style : round_type := float_round_style; -- rounding
constant denormalize : BOOLEAN := float_denormalize) -- rounding option
return UNRESOLVED_float is
constant integer_width : INTEGER := arg'high;
constant in_fraction_width : INTEGER := arg'low;
variable xresult : sfixed (integer_width downto in_fraction_width);
variable result : UNRESOLVED_float (exponent_width downto -fraction_width);
variable arg_int : UNSIGNED(integer_width - in_fraction_width
downto 0); -- unsigned version of argument
variable argx : SIGNED (integer_width - in_fraction_width downto 0);
variable exp, exptmp : SIGNED (exponent_width + 1 downto 0);
variable expon : UNSIGNED (exponent_width - 1 downto 0);
-- Unsigned version of exp.
constant expon_base : SIGNED (exponent_width-1 downto 0) :=
gen_expon_base(exponent_width); -- exponent offset
variable fract, fracttmp : UNSIGNED (fraction_width-1 downto 0) :=
(others => '0');
variable round : BOOLEAN := false;
begin
if (arg'length < 1) then
return NAFP;
end if;
xresult := to_01(arg, 'X');
argx := SIGNED(to_slv(xresult));
if (Is_X (arg)) then
result := (others => 'X');
elsif (argx = 0) then
result := (others => '0');
else
result := (others => '0'); -- zero out the result
if argx(argx'left) = '1' then -- toss the sign bit
result (exponent_width) := '1'; -- Negative number
arg_int := UNSIGNED(to_x01(not STD_LOGIC_VECTOR (argx))) + 1; -- Make it positive with two's complement
else
result (exponent_width) := '0';
arg_int := UNSIGNED(to_x01(STD_LOGIC_VECTOR (argx))); -- new line: direct conversion to unsigned
end if;
-- Compute Exponent
exp := to_signed(find_leftmost(arg_int, '1'), exp'length); -- Log2
if exp + in_fraction_width > expon_base then -- return infinity
result (-1 downto -fraction_width) := (others => '0');
result (exponent_width -1 downto 0) := (others => '1');
return result;
elsif (denormalize and
(exp + in_fraction_width <= -resize(expon_base, exp'length))) then
exp := -resize(expon_base, exp'length);
-- shift by a constant
arg_int := shift_left (arg_int,
(arg_int'high + to_integer(expon_base)
+ in_fraction_width - 1));
if (arg_int'high > fraction_width) then
fract := arg_int (arg_int'high-1 downto (arg_int'high-fraction_width));
round := check_round (
fract_in => arg_int(arg_int'high-fraction_width),
sign => result(result'high),
remainder => arg_int((arg_int'high-fraction_width-1)
downto 0),
round_style => round_style);
if (round) then
fp_round (fract_in => arg_int (arg_int'high-1 downto
(arg_int'high-fraction_width)),
expon_in => exp,
fract_out => fract,
expon_out => exptmp);
exp := exptmp;
end if;
else
fract (fraction_width-1 downto fraction_width-1-(arg_int'high-1)) :=
arg_int (arg_int'high-1 downto 0);
end if;
else
arg_int := shift_left (arg_int, arg_int'high-to_integer(exp));
exp := exp + in_fraction_width;
if (arg_int'high > fraction_width) then
fract := arg_int (arg_int'high-1 downto (arg_int'high-fraction_width));
round := check_round (
fract_in => fract(0),
sign => result(result'high),
remainder => arg_int((arg_int'high-fraction_width-1)
downto 0),
round_style => round_style);
if (round) then
fp_round (fract_in => fract,
expon_in => exp,
fract_out => fracttmp,
expon_out => exptmp);
fract := fracttmp;
exp := exptmp;
end if;
else
fract (fraction_width-1 downto fraction_width-1-(arg_int'high-1)) :=
arg_int (arg_int'high-1 downto 0);
end if;
end if;
expon := UNSIGNED (resize(exp-1, exponent_width));
expon(exponent_width-1) := not expon(exponent_width-1);
result (exponent_width-1 downto 0) := UNRESOLVED_float(expon);
result (-1 downto -fraction_width) := UNRESOLVED_float(fract);
end if;
return result;
end function to_float;
-- size_res functions
-- Integer to float
function to_float (
arg : INTEGER;
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style) -- rounding option
return UNRESOLVED_float is
variable result : UNRESOLVED_float (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_float (arg => arg,
exponent_width => size_res'high,
fraction_width => -size_res'low,
round_style => round_style);
return result;
end if;
end function to_float;
-- real to float
function to_float (
arg : REAL;
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding option
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
variable result : UNRESOLVED_float (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_float (arg => arg,
exponent_width => size_res'high,
fraction_width => -size_res'low,
round_style => round_style,
denormalize => denormalize);
return result;
end if;
end function to_float;
-- unsigned to float
function to_float (
arg : UNSIGNED;
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style) -- rounding option
return UNRESOLVED_float is
variable result : UNRESOLVED_float (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_float (arg => arg,
exponent_width => size_res'high,
fraction_width => -size_res'low,
round_style => round_style);
return result;
end if;
end function to_float;
-- signed to float
function to_float (
arg : SIGNED;
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style) -- rounding
return UNRESOLVED_float is
variable result : UNRESOLVED_float (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_float (arg => arg,
exponent_width => size_res'high,
fraction_width => -size_res'low,
round_style => round_style);
return result;
end if;
end function to_float;
-- std_ulogic_vector to float
function to_float (
arg : STD_ULOGIC_VECTOR;
size_res : UNRESOLVED_float)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_float (arg => arg,
exponent_width => size_res'high,
fraction_width => -size_res'low);
return result;
end if;
end function to_float;
-- unsigned fixed point to float
function to_float (
arg : UNRESOLVED_ufixed; -- unsigned fixed point input
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding
constant denormalize : BOOLEAN := float_denormalize) -- use ieee extensions
return UNRESOLVED_float is
variable result : UNRESOLVED_float (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_float (arg => arg,
exponent_width => size_res'high,
fraction_width => -size_res'low,
round_style => round_style,
denormalize => denormalize);
return result;
end if;
end function to_float;
-- signed fixed point to float
function to_float (
arg : UNRESOLVED_sfixed;
size_res : UNRESOLVED_float;
constant round_style : round_type := float_round_style; -- rounding
constant denormalize : BOOLEAN := float_denormalize) -- rounding option
return UNRESOLVED_float is
variable result : UNRESOLVED_float (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_float (arg => arg,
exponent_width => size_res'high,
fraction_width => -size_res'low,
round_style => round_style,
denormalize => denormalize);
return result;
end if;
end function to_float;
-- to_integer (float)
function to_integer (
arg : UNRESOLVED_float; -- floating point input
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error) -- check for errors
return INTEGER is
variable validfp : valid_fpstate; -- Valid FP state
variable frac : UNSIGNED (-arg'low downto 0); -- Fraction
variable fract : UNSIGNED (1-arg'low downto 0); -- Fraction
variable expon : SIGNED (arg'high-1 downto 0);
variable isign : STD_ULOGIC; -- internal version of sign
variable round : STD_ULOGIC; -- is rounding needed?
variable result : INTEGER;
variable base : INTEGER; -- Integer exponent
begin
validfp := classfp (arg, check_error);
classcase : case validfp is
when isx | nan | quiet_nan | pos_zero | neg_zero | pos_denormal | neg_denormal =>
result := 0; -- return 0
when pos_inf =>
result := INTEGER'high;
when neg_inf =>
result := INTEGER'low;
when others =>
break_number (
arg => arg,
fptyp => validfp,
denormalize => false,
fract => frac,
expon => expon);
fract (fract'high) := '0'; -- Add extra bit for 0.6 case
fract (fract'high-1 downto 0) := frac;
isign := to_x01 (arg (arg'high));
base := to_integer (expon) + 1;
if base < -1 then
result := 0;
elsif base >= frac'high then
result := to_integer (fract) * 2**(base - frac'high);
else -- We need to round
if base = -1 then -- trap for 0.6 case.
result := 0;
else
result := to_integer (fract (frac'high downto frac'high-base));
end if;
-- rounding routine
case round_style is
when round_nearest =>
if frac'high - base > 1 then
round := fract (frac'high - base - 1) and
(fract (frac'high - base)
or (or_reduce (fract (frac'high - base - 2 downto 0))));
else
round := fract (frac'high - base - 1) and
fract (frac'high - base);
end if;
when round_inf =>
round := fract(frac'high - base - 1) and not isign;
when round_neginf =>
round := fract(frac'high - base - 1) and isign;
when others =>
round := '0';
end case;
if round = '1' then
result := result + 1;
end if;
end if;
if isign = '1' then
result := - result;
end if;
end case classcase;
return result;
end function to_integer;
-- to_unsigned (float)
function to_unsigned (
arg : UNRESOLVED_float; -- floating point input
constant size : NATURAL; -- length of output
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error) -- check for errors
return UNSIGNED is
variable validfp : valid_fpstate; -- Valid FP state
variable frac : UNSIGNED (size-1 downto 0); -- Fraction
variable sign : STD_ULOGIC; -- not used
begin
validfp := classfp (arg, check_error);
classcase : case validfp is
when isx | nan | quiet_nan =>
frac := (others => 'X');
when pos_zero | neg_inf | neg_zero | neg_normal | pos_denormal | neg_denormal =>
frac := (others => '0'); -- return 0
when pos_inf =>
frac := (others => '1');
when others =>
float_to_unsigned (
arg => arg,
frac => frac,
sign => sign,
denormalize => false,
bias => 0,
round_style => round_style);
end case classcase;
return (frac);
end function to_unsigned;
-- to_signed (float)
function to_signed (
arg : UNRESOLVED_float; -- floating point input
constant size : NATURAL; -- length of output
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error) -- check for errors
return SIGNED is
variable sign : STD_ULOGIC; -- true if negative
variable validfp : valid_fpstate; -- Valid FP state
variable frac : UNSIGNED (size-1 downto 0); -- Fraction
variable result : SIGNED (size-1 downto 0);
begin
validfp := classfp (arg, check_error);
classcase : case validfp is
when isx | nan | quiet_nan =>
result := (others => 'X');
when pos_zero | neg_zero | pos_denormal | neg_denormal =>
result := (others => '0'); -- return 0
when pos_inf =>
result := (others => '1');
result (result'high) := '0';
when neg_inf =>
result := (others => '0');
result (result'high) := '1';
when others =>
float_to_unsigned (
arg => arg,
sign => sign,
frac => frac,
denormalize => false,
bias => 0,
round_style => round_style);
result (size-1) := '0';
result (size-2 downto 0) := SIGNED(frac (size-2 downto 0));
if sign = '1' then
-- Because the most negative signed number is 1 less than the most
-- positive signed number, we need this code.
if frac(frac'high) = '1' then -- return most negative number
result := (others => '0');
result (result'high) := '1';
else
result := -result;
end if;
else
if frac(frac'high) = '1' then -- return most positive number
result := (others => '1');
result (result'high) := '0';
end if;
end if;
end case classcase;
return result;
end function to_signed;
-- purpose: Converts a float to ufixed
function to_ufixed (
arg : UNRESOLVED_float; -- fp input
constant left_index : INTEGER; -- integer part
constant right_index : INTEGER; -- fraction part
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate
constant round_style : fixed_round_style_type := fixed_round_style; -- rounding
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize)
return UNRESOLVED_ufixed is
constant fraction_width : INTEGER := -mine(arg'low, arg'low); -- length of FP output fraction
constant exponent_width : INTEGER := arg'high; -- length of FP output exponent
constant size : INTEGER := left_index - right_index + 4; -- unsigned size
variable expon_base : INTEGER; -- exponent offset
variable validfp : valid_fpstate; -- Valid FP state
variable exp : INTEGER; -- Exponent
variable expon : UNSIGNED (exponent_width-1 downto 0); -- Vectorized exponent
-- Base to divide fraction by
variable frac : UNSIGNED (size-1 downto 0) := (others => '0'); -- Fraction
variable frac_shift : UNSIGNED (size-1 downto 0); -- Fraction shifted
variable shift : INTEGER;
variable result_big : UNRESOLVED_ufixed (left_index downto right_index-3);
variable result : UNRESOLVED_ufixed (left_index downto right_index); -- result
begin -- function to_ufixed
validfp := classfp (arg, check_error);
classcase : case validfp is
when isx | nan | quiet_nan =>
frac := (others => 'X');
when pos_zero | neg_inf | neg_zero | neg_normal | neg_denormal =>
frac := (others => '0'); -- return 0
when pos_inf =>
frac := (others => '1'); -- always saturate
when others =>
expon_base := 2**(exponent_width-1) -1; -- exponent offset
-- Figure out the fraction
if (validfp = pos_denormal) and denormalize then
exp := -expon_base +1;
frac (frac'high) := '0'; -- Remove the "1.0".
else
-- exponent /= '0', normal floating point
expon := UNSIGNED(arg (exponent_width-1 downto 0));
expon(exponent_width-1) := not expon(exponent_width-1);
exp := to_integer (SIGNED(expon)) +1;
frac (frac'high) := '1'; -- Add the "1.0".
end if;
shift := (frac'high - 3 + right_index) - exp;
if fraction_width > frac'high then -- Can only use size-2 bits
frac (frac'high-1 downto 0) := UNSIGNED (to_slv (arg(-1 downto
-frac'high)));
else -- can use all bits
frac (frac'high-1 downto frac'high-fraction_width) :=
UNSIGNED (to_slv (arg(-1 downto -fraction_width)));
end if;
frac_shift := frac srl shift;
if shift < 0 then -- Overflow
frac := (others => '1');
else
frac := frac_shift;
end if;
end case classcase;
result_big := to_ufixed (
arg => STD_ULOGIC_VECTOR(frac),
left_index => left_index,
right_index => (right_index-3));
result := resize (arg => result_big,
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
return result;
end function to_ufixed;
-- purpose: Converts a float to sfixed
function to_sfixed (
arg : UNRESOLVED_float; -- fp input
constant left_index : INTEGER; -- integer part
constant right_index : INTEGER; -- fraction part
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate
constant round_style : fixed_round_style_type := fixed_round_style; -- rounding
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize)
return UNRESOLVED_sfixed is
constant fraction_width : INTEGER := -mine(arg'low, arg'low); -- length of FP output fraction
constant exponent_width : INTEGER := arg'high; -- length of FP output exponent
constant size : INTEGER := left_index - right_index + 4; -- unsigned size
variable expon_base : INTEGER; -- exponent offset
variable validfp : valid_fpstate; -- Valid FP state
variable exp : INTEGER; -- Exponent
variable sign : BOOLEAN; -- true if negative
variable expon : UNSIGNED (exponent_width-1 downto 0); -- Vectorized exponent
-- Base to divide fraction by
variable frac : UNSIGNED (size-2 downto 0) := (others => '0'); -- Fraction
variable frac_shift : UNSIGNED (size-2 downto 0); -- Fraction shifted
variable shift : INTEGER;
variable rsigned : SIGNED (size-1 downto 0); -- signed version of result
variable result_big : UNRESOLVED_sfixed (left_index downto right_index-3);
variable result : UNRESOLVED_sfixed (left_index downto right_index)
:= (others => '0'); -- result
begin -- function to_sfixed
validfp := classfp (arg, check_error);
classcase : case validfp is
when isx | nan | quiet_nan =>
result := (others => 'X');
when pos_zero | neg_zero =>
result := (others => '0'); -- return 0
when neg_inf =>
result (left_index) := '1'; -- return smallest negative number
when pos_inf =>
result := (others => '1'); -- return largest number
result (left_index) := '0';
when others =>
expon_base := 2**(exponent_width-1) -1; -- exponent offset
if arg(exponent_width) = '0' then
sign := false;
else
sign := true;
end if;
-- Figure out the fraction
if (validfp = pos_denormal or validfp = neg_denormal)
and denormalize then
exp := -expon_base +1;
frac (frac'high) := '0'; -- Add the "1.0".
else
-- exponent /= '0', normal floating point
expon := UNSIGNED(arg (exponent_width-1 downto 0));
expon(exponent_width-1) := not expon(exponent_width-1);
exp := to_integer (SIGNED(expon)) +1;
frac (frac'high) := '1'; -- Add the "1.0".
end if;
shift := (frac'high - 3 + right_index) - exp;
if fraction_width > frac'high then -- Can only use size-2 bits
frac (frac'high-1 downto 0) := UNSIGNED (to_slv (arg(-1 downto
-frac'high)));
else -- can use all bits
frac (frac'high-1 downto frac'high-fraction_width) :=
UNSIGNED (to_slv (arg(-1 downto -fraction_width)));
end if;
frac_shift := frac srl shift;
if shift < 0 then -- Overflow
frac := (others => '1');
else
frac := frac_shift;
end if;
if not sign then
rsigned := SIGNED("0" & frac);
else
rsigned := -(SIGNED("0" & frac));
end if;
result_big := to_sfixed (
arg => STD_LOGIC_VECTOR(rsigned),
left_index => left_index,
right_index => (right_index-3));
result := resize (arg => result_big,
left_index => left_index,
right_index => right_index,
round_style => round_style,
overflow_style => overflow_style);
end case classcase;
return result;
end function to_sfixed;
-- size_res versions
-- float to unsigned
function to_unsigned (
arg : UNRESOLVED_float; -- floating point input
size_res : UNSIGNED;
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error) -- check for errors
return UNSIGNED is
variable result : UNSIGNED (size_res'range);
begin
if (SIZE_RES'length = 0) then
return result;
else
result := to_unsigned (
arg => arg,
size => size_res'length,
round_style => round_style,
check_error => check_error);
return result;
end if;
end function to_unsigned;
-- float to signed
function to_signed (
arg : UNRESOLVED_float; -- floating point input
size_res : SIGNED;
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error) -- check for errors
return SIGNED is
variable result : SIGNED (size_res'range);
begin
if (SIZE_RES'length = 0) then
return result;
else
result := to_signed (
arg => arg,
size => size_res'length,
round_style => round_style,
check_error => check_error);
return result;
end if;
end function to_signed;
-- purpose: Converts a float to unsigned fixed point
function to_ufixed (
arg : UNRESOLVED_float; -- fp input
size_res : UNRESOLVED_ufixed;
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate
constant round_style : fixed_round_style_type := fixed_round_style; -- rounding
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize)
return UNRESOLVED_ufixed is
variable result : UNRESOLVED_ufixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_ufixed (
arg => arg,
left_index => size_res'high,
right_index => size_res'low,
overflow_style => overflow_style,
round_style => round_style,
check_error => check_error,
denormalize => denormalize);
return result;
end if;
end function to_ufixed;
-- float to signed fixed point
function to_sfixed (
arg : UNRESOLVED_float; -- fp input
size_res : UNRESOLVED_sfixed;
constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate
constant round_style : fixed_round_style_type := fixed_round_style; -- rounding
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize)
return UNRESOLVED_sfixed is
variable result : UNRESOLVED_sfixed (size_res'left downto size_res'right);
begin
if (result'length < 1) then
return result;
else
result := to_sfixed (
arg => arg,
left_index => size_res'high,
right_index => size_res'low,
overflow_style => overflow_style,
round_style => round_style,
check_error => check_error,
denormalize => denormalize);
return result;
end if;
end function to_sfixed;
-- to_real (float)
-- typically not Synthesizable unless the input is a constant.
function to_real (
arg : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return REAL is
constant fraction_width : INTEGER := -mine(arg'low, arg'low); -- length of FP output fraction
constant exponent_width : INTEGER := arg'high; -- length of FP output exponent
variable sign : REAL; -- Sign, + or - 1
variable exp : INTEGER; -- Exponent
variable expon_base : INTEGER; -- exponent offset
variable frac : REAL := 0.0; -- Fraction
variable validfp : valid_fpstate; -- Valid FP state
variable expon : UNSIGNED (exponent_width - 1 downto 0)
:= (others => '1'); -- Vectorized exponent
begin
validfp := classfp (arg, check_error);
classcase : case validfp is
when isx | pos_zero | neg_zero | nan | quiet_nan =>
return 0.0;
when neg_inf =>
return REAL'low; -- Negative infinity.
when pos_inf =>
return REAL'high; -- Positive infinity
when others =>
expon_base := 2**(exponent_width-1) -1;
if to_X01(arg(exponent_width)) = '0' then
sign := 1.0;
else
sign := -1.0;
end if;
-- Figure out the fraction
for i in 0 to fraction_width-1 loop
if to_X01(arg (-1 - i)) = '1' then
frac := frac + (2.0 **(-1 - i));
end if;
end loop; -- i
if validfp = pos_normal or validfp = neg_normal or not denormalize then
-- exponent /= '0', normal floating point
expon := UNSIGNED(arg (exponent_width-1 downto 0));
expon(exponent_width-1) := not expon(exponent_width-1);
exp := to_integer (SIGNED(expon)) +1;
sign := sign * (2.0 ** exp) * (1.0 + frac);
else -- exponent = '0', IEEE extended floating point
exp := 1 - expon_base;
sign := sign * (2.0 ** exp) * frac;
end if;
return sign;
end case classcase;
end function to_real;
-- For Verilog compatability
function realtobits (arg : REAL) return STD_ULOGIC_VECTOR is
variable result : float64; -- 64 bit floating point
begin
result := to_float (arg => arg,
exponent_width => float64'high,
fraction_width => -float64'low);
return to_sulv (result);
end function realtobits;
function bitstoreal (arg : STD_ULOGIC_VECTOR) return REAL is
variable arg64 : float64; -- arg converted to float
begin
arg64 := to_float (arg => arg,
exponent_width => float64'high,
fraction_width => -float64'low);
return to_real (arg64);
end function bitstoreal;
-- purpose: Removes meta-logical values from FP string
function to_01 (
arg : UNRESOLVED_float; -- floating point input
XMAP : STD_LOGIC := '0')
return UNRESOLVED_float is
variable result : UNRESOLVED_float (arg'range);
begin -- function to_01
if (arg'length < 1) then
assert NO_WARNING
report float_pkg'instance_name
& "TO_01: null detected, returning NULL"
severity warning;
return NAFP;
end if;
result := UNRESOLVED_float (STD_LOGIC_VECTOR(to_01(UNSIGNED(to_slv(arg)), XMAP)));
return result;
end function to_01;
function Is_X
(arg : UNRESOLVED_float)
return BOOLEAN is
begin
return Is_X (to_slv(arg));
end function Is_X;
function to_X01 (arg : UNRESOLVED_float) return UNRESOLVED_float is
variable result : UNRESOLVED_float (arg'range);
begin
if (arg'length < 1) then
assert NO_WARNING
report float_pkg'instance_name
& "TO_X01: null detected, returning NULL"
severity warning;
return NAFP;
else
result := UNRESOLVED_float (to_X01(to_slv(arg)));
return result;
end if;
end function to_X01;
function to_X01Z (arg : UNRESOLVED_float) return UNRESOLVED_float is
variable result : UNRESOLVED_float (arg'range);
begin
if (arg'length < 1) then
assert NO_WARNING
report float_pkg'instance_name
& "TO_X01Z: null detected, returning NULL"
severity warning;
return NAFP;
else
result := UNRESOLVED_float (to_X01Z(to_slv(arg)));
return result;
end if;
end function to_X01Z;
function to_UX01 (arg : UNRESOLVED_float) return UNRESOLVED_float is
variable result : UNRESOLVED_float (arg'range);
begin
if (arg'length < 1) then
assert NO_WARNING
report float_pkg'instance_name
& "TO_UX01: null detected, returning NULL"
severity warning;
return NAFP;
else
result := UNRESOLVED_float (to_UX01(to_slv(arg)));
return result;
end if;
end function to_UX01;
-- These allows the base math functions to use the default values
-- of their parameters. Thus they do full IEEE floating point.
function "+" (l, r : UNRESOLVED_float) return UNRESOLVED_float is
begin
return add (l, r);
end function "+";
function "-" (l, r : UNRESOLVED_float) return UNRESOLVED_float is
begin
return subtract (l, r);
end function "-";
function "*" (l, r : UNRESOLVED_float) return UNRESOLVED_float is
begin
return multiply (l, r);
end function "*";
function "/" (l, r : UNRESOLVED_float) return UNRESOLVED_float is
begin
return divide (l, r);
end function "/";
function "rem" (l, r : UNRESOLVED_float) return UNRESOLVED_float is
begin
return remainder (l, r);
end function "rem";
function "mod" (l, r : UNRESOLVED_float) return UNRESOLVED_float is
begin
return modulo (l, r);
end function "mod";
-- overloaded versions
function "+" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return add (l, r_float);
end function "+";
function "+" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return add (l_float, r);
end function "+";
function "+" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return add (l, r_float);
end function "+";
function "+" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return add (l_float, r);
end function "+";
function "-" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return subtract (l, r_float);
end function "-";
function "-" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return subtract (l_float, r);
end function "-";
function "-" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return subtract (l, r_float);
end function "-";
function "-" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return subtract (l_float, r);
end function "-";
function "*" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return multiply (l, r_float);
end function "*";
function "*" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return multiply (l_float, r);
end function "*";
function "*" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return multiply (l, r_float);
end function "*";
function "*" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return multiply (l_float, r);
end function "*";
function "/" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return divide (l, r_float);
end function "/";
function "/" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return divide (l_float, r);
end function "/";
function "/" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return divide (l, r_float);
end function "/";
function "/" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return divide (l_float, r);
end function "/";
function "rem" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return remainder (l, r_float);
end function "rem";
function "rem" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return remainder (l_float, r);
end function "rem";
function "rem" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return remainder (l, r_float);
end function "rem";
function "rem" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return remainder (l_float, r);
end function "rem";
function "mod" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return modulo (l, r_float);
end function "mod";
function "mod" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return modulo (l_float, r);
end function "mod";
function "mod" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return modulo (l, r_float);
end function "mod";
function "mod" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return modulo (l_float, r);
end function "mod";
function "=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return eq (l, r_float);
end function "=";
function "/=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return ne (l, r_float);
end function "/=";
function ">=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return ge (l, r_float);
end function ">=";
function "<=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return le (l, r_float);
end function "<=";
function ">" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return gt (l, r_float);
end function ">";
function "<" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return lt (l, r_float);
end function "<";
function "=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return eq (l_float, r);
end function "=";
function "/=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return ne (l_float, r);
end function "/=";
function ">=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return ge (l_float, r);
end function ">=";
function "<=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return le (l_float, r);
end function "<=";
function ">" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return gt (l_float, r);
end function ">";
function "<" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return lt (l_float, r);
end function "<";
function "=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return eq (l, r_float);
end function "=";
function "/=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return ne (l, r_float);
end function "/=";
function ">=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return ge (l, r_float);
end function ">=";
function "<=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return le (l, r_float);
end function "<=";
function ">" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return gt (l, r_float);
end function ">";
function "<" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return lt (l, r_float);
end function "<";
function "=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return eq (l_float, r);
end function "=";
function "/=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return ne (l_float, r);
end function "/=";
function ">=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return ge (l_float, r);
end function ">=";
function "<=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return le (l_float, r);
end function "<=";
function ">" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return gt (l_float, r);
end function ">";
function "<" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float(l, r'high, -r'low);
return lt (l_float, r);
end function "<";
-- ?= overloads
function \?=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return \?=\ (l, r_float);
end function \?=\;
function \?/=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return \?/=\ (l, r_float);
end function \?/=\;
function \?>\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return \?>\ (l, r_float);
end function \?>\;
function \?>=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return \?>=\ (l, r_float);
end function \?>=\;
function \?<\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return \?<\ (l, r_float);
end function \?<\;
function \?<=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return \?<=\ (l, r_float);
end function \?<=\;
-- real and float
function \?=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return \?=\ (l_float, r);
end function \?=\;
function \?/=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return \?/=\ (l_float, r);
end function \?/=\;
function \?>\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return \?>\ (l_float, r);
end function \?>\;
function \?>=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return \?>=\ (l_float, r);
end function \?>=\;
function \?<\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return \?<\ (l_float, r);
end function \?<\;
function \?<=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return \?<=\ (l_float, r);
end function \?<=\;
-- ?= overloads
function \?=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return \?=\ (l, r_float);
end function \?=\;
function \?/=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return \?/=\ (l, r_float);
end function \?/=\;
function \?>\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return \?>\ (l, r_float);
end function \?>\;
function \?>=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return \?>=\ (l, r_float);
end function \?>=\;
function \?<\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return \?<\ (l, r_float);
end function \?<\;
function \?<=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return \?<=\ (l, r_float);
end function \?<=\;
-- integer and float
function \?=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return \?=\ (l_float, r);
end function \?=\;
function \?/=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return \?/=\ (l_float, r);
end function \?/=\;
function \?>\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return \?>\ (l_float, r);
end function \?>\;
function \?>=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return \?>=\ (l_float, r);
end function \?>=\;
function \?<\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return \?<\ (l_float, r);
end function \?<\;
function \?<=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return \?<=\ (l_float, r);
end function \?<=\;
-- minimum and maximum overloads
function minimum (l : UNRESOLVED_float; r : REAL)
return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return minimum (l, r_float);
end function minimum;
function maximum (l : UNRESOLVED_float; r : REAL)
return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return maximum (l, r_float);
end function maximum;
function minimum (l : REAL; r : UNRESOLVED_float)
return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return minimum (l_float, r);
end function minimum;
function maximum (l : REAL; r : UNRESOLVED_float)
return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return maximum (l_float, r);
end function maximum;
function minimum (l : UNRESOLVED_float; r : INTEGER)
return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return minimum (l, r_float);
end function minimum;
function maximum (l : UNRESOLVED_float; r : INTEGER)
return UNRESOLVED_float is
variable r_float : UNRESOLVED_float (l'range);
begin
r_float := to_float (r, l'high, -l'low);
return maximum (l, r_float);
end function maximum;
function minimum (l : INTEGER; r : UNRESOLVED_float)
return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return minimum (l_float, r);
end function minimum;
function maximum (l : INTEGER; r : UNRESOLVED_float)
return UNRESOLVED_float is
variable l_float : UNRESOLVED_float (r'range);
begin
l_float := to_float (l, r'high, -r'low);
return maximum (l_float, r);
end function maximum;
----------------------------------------------------------------------------
-- logical functions
----------------------------------------------------------------------------
function "not" (L : UNRESOLVED_float) return UNRESOLVED_float is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
RESULT := not to_sulv(L);
return to_float (RESULT, L'high, -L'low);
end function "not";
function "and" (L, R : UNRESOLVED_float) return UNRESOLVED_float is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) and to_sulv(R);
else
assert NO_WARNING
report float_pkg'instance_name
& """and"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_float (RESULT, L'high, -L'low);
end function "and";
function "or" (L, R : UNRESOLVED_float) return UNRESOLVED_float is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) or to_sulv(R);
else
assert NO_WARNING
report float_pkg'instance_name
& """or"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_float (RESULT, L'high, -L'low);
end function "or";
function "nand" (L, R : UNRESOLVED_float) return UNRESOLVED_float is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) nand to_sulv(R);
else
assert NO_WARNING
report float_pkg'instance_name
& """nand"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_float (RESULT, L'high, -L'low);
end function "nand";
function "nor" (L, R : UNRESOLVED_float) return UNRESOLVED_float is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) nor to_sulv(R);
else
assert NO_WARNING
report float_pkg'instance_name
& """nor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_float (RESULT, L'high, -L'low);
end function "nor";
function "xor" (L, R : UNRESOLVED_float) return UNRESOLVED_float is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) xor to_sulv(R);
else
assert NO_WARNING
report float_pkg'instance_name
& """xor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_float (RESULT, L'high, -L'low);
end function "xor";
function "xnor" (L, R : UNRESOLVED_float) return UNRESOLVED_float is
variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto
begin
if (L'high = R'high and L'low = R'low) then
RESULT := to_sulv(L) xnor to_sulv(R);
else
assert NO_WARNING
report float_pkg'instance_name
& """xnor"": Range error L'RANGE /= R'RANGE"
severity warning;
RESULT := (others => 'X');
end if;
return to_float (RESULT, L'high, -L'low);
end function "xnor";
-- Vector and std_ulogic functions, same as functions in numeric_std
function "and" (L : STD_ULOGIC; R : UNRESOLVED_float)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (R'range);
begin
for i in result'range loop
result(i) := L and R(i);
end loop;
return result;
end function "and";
function "and" (L : UNRESOLVED_float; R : STD_ULOGIC)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (L'range);
begin
for i in result'range loop
result(i) := L(i) and R;
end loop;
return result;
end function "and";
function "or" (L : STD_ULOGIC; R : UNRESOLVED_float)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (R'range);
begin
for i in result'range loop
result(i) := L or R(i);
end loop;
return result;
end function "or";
function "or" (L : UNRESOLVED_float; R : STD_ULOGIC)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (L'range);
begin
for i in result'range loop
result(i) := L(i) or R;
end loop;
return result;
end function "or";
function "nand" (L : STD_ULOGIC; R : UNRESOLVED_float)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (R'range);
begin
for i in result'range loop
result(i) := L nand R(i);
end loop;
return result;
end function "nand";
function "nand" (L : UNRESOLVED_float; R : STD_ULOGIC)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (L'range);
begin
for i in result'range loop
result(i) := L(i) nand R;
end loop;
return result;
end function "nand";
function "nor" (L : STD_ULOGIC; R : UNRESOLVED_float)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (R'range);
begin
for i in result'range loop
result(i) := L nor R(i);
end loop;
return result;
end function "nor";
function "nor" (L : UNRESOLVED_float; R : STD_ULOGIC)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (L'range);
begin
for i in result'range loop
result(i) := L(i) nor R;
end loop;
return result;
end function "nor";
function "xor" (L : STD_ULOGIC; R : UNRESOLVED_float)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (R'range);
begin
for i in result'range loop
result(i) := L xor R(i);
end loop;
return result;
end function "xor";
function "xor" (L : UNRESOLVED_float; R : STD_ULOGIC)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (L'range);
begin
for i in result'range loop
result(i) := L(i) xor R;
end loop;
return result;
end function "xor";
function "xnor" (L : STD_ULOGIC; R : UNRESOLVED_float)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (R'range);
begin
for i in result'range loop
result(i) := L xnor R(i);
end loop;
return result;
end function "xnor";
function "xnor" (L : UNRESOLVED_float; R : STD_ULOGIC)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (L'range);
begin
for i in result'range loop
result(i) := L(i) xnor R;
end loop;
return result;
end function "xnor";
-- Reduction operator_reduces, same as numeric_std functions
function and_reduce (l : UNRESOLVED_float) return STD_ULOGIC is
begin
return and_reduce (to_sulv(l));
end function and_reduce;
function nand_reduce (l : UNRESOLVED_float) return STD_ULOGIC is
begin
return nand_reduce (to_sulv(l));
end function nand_reduce;
function or_reduce (l : UNRESOLVED_float) return STD_ULOGIC is
begin
return or_reduce (to_sulv(l));
end function or_reduce;
function nor_reduce (l : UNRESOLVED_float) return STD_ULOGIC is
begin
return nor_reduce (to_sulv(l));
end function nor_reduce;
function xor_reduce (l : UNRESOLVED_float) return STD_ULOGIC is
begin
return xor_reduce (to_sulv(l));
end function xor_reduce;
function xnor_reduce (l : UNRESOLVED_float) return STD_ULOGIC is
begin
return xnor_reduce (to_sulv(l));
end function xnor_reduce;
-----------------------------------------------------------------------------
-- Recommended Functions from the IEEE 754 Appendix
-----------------------------------------------------------------------------
-- returns x with the sign of y.
function Copysign (
x, y : UNRESOLVED_float) -- floating point input
return UNRESOLVED_float is
begin
return y(y'high) & x (x'high-1 downto x'low);
end function Copysign;
-- Returns y * 2**n for integral values of N without computing 2**n
function Scalb (
y : UNRESOLVED_float; -- floating point input
N : INTEGER; -- exponent to add
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
constant fraction_width : NATURAL := -mine(y'low, y'low); -- length of FP output fraction
constant exponent_width : NATURAL := y'high; -- length of FP output exponent
variable arg, result : UNRESOLVED_float (exponent_width downto -fraction_width); -- internal argument
variable expon : SIGNED (exponent_width-1 downto 0); -- Vectorized exp
variable exp : SIGNED (exponent_width downto 0);
variable ufract : UNSIGNED (fraction_width downto 0);
constant expon_base : SIGNED (exponent_width-1 downto 0)
:= gen_expon_base(exponent_width); -- exponent offset
variable fptype : valid_fpstate;
begin
-- This can be done by simply adding N to the exponent.
arg := to_01 (y, 'X');
fptype := classfp(arg, check_error);
classcase : case fptype is
when isx =>
result := (others => 'X');
when nan | quiet_nan =>
-- Return quiet NAN, IEEE754-1985-7.1,1
result := qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
when others =>
break_number (
arg => arg,
fptyp => fptype,
denormalize => denormalize,
fract => ufract,
expon => expon);
exp := resize (expon, exp'length) + N;
result := normalize (
fract => ufract,
expon => exp,
sign => to_x01 (arg (arg'high)),
fraction_width => fraction_width,
exponent_width => exponent_width,
round_style => round_style,
denormalize => denormalize,
nguard => 0);
end case classcase;
return result;
end function Scalb;
-- Returns y * 2**n for integral values of N without computing 2**n
function Scalb (
y : UNRESOLVED_float; -- floating point input
N : SIGNED; -- exponent to add
constant round_style : round_type := float_round_style; -- rounding option
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP
return UNRESOLVED_float is
variable n_int : INTEGER;
begin
n_int := to_integer(N);
return Scalb (y => y,
N => n_int,
round_style => round_style,
check_error => check_error,
denormalize => denormalize);
end function Scalb;
-- returns the unbiased exponent of x
function Logb (
x : UNRESOLVED_float) -- floating point input
return INTEGER is
constant fraction_width : NATURAL := -mine (x'low, x'low); -- length of FP output fraction
constant exponent_width : NATURAL := x'high; -- length of FP output exponent
variable result : INTEGER; -- result
variable arg : UNRESOLVED_float (exponent_width downto -fraction_width); -- internal argument
variable expon : SIGNED (exponent_width - 1 downto 0);
variable fract : UNSIGNED (fraction_width downto 0);
constant expon_base : INTEGER := 2**(exponent_width-1) -1; -- exponent
-- offset +1
variable fptype : valid_fpstate;
begin
-- Just return the exponent.
arg := to_01 (x, 'X');
fptype := classfp(arg);
classcase : case fptype is
when isx | nan | quiet_nan =>
-- Return quiet NAN, IEEE754-1985-7.1,1
result := 0;
when pos_denormal | neg_denormal =>
fract (fraction_width) := '0';
fract (fraction_width-1 downto 0) :=
UNSIGNED (to_slv(arg(-1 downto -fraction_width)));
result := find_leftmost (fract, '1') -- Find the first "1"
- fraction_width; -- subtract the length we want
result := -expon_base + 1 + result;
when others =>
expon := SIGNED(arg (exponent_width - 1 downto 0));
expon(exponent_width-1) := not expon(exponent_width-1);
expon := expon + 1;
result := to_integer (expon);
end case classcase;
return result;
end function Logb;
-- returns the unbiased exponent of x
function Logb (
x : UNRESOLVED_float) -- floating point input
return SIGNED is
constant exponent_width : NATURAL := x'high; -- length of FP output exponent
variable result : SIGNED (exponent_width - 1 downto 0); -- result
begin
-- Just return the exponent.
result := to_signed (Logb (x), exponent_width);
return result;
end function Logb;
-- returns the next representable neighbor of x in the direction toward y
function Nextafter (
x, y : UNRESOLVED_float; -- floating point input
constant check_error : BOOLEAN := float_check_error; -- check for errors
constant denormalize : BOOLEAN := float_denormalize)
return UNRESOLVED_float is
constant fraction_width : NATURAL := -mine(x'low, x'low); -- length of FP output fraction
constant exponent_width : NATURAL := x'high; -- length of FP output exponent
function "=" (
l, r : UNRESOLVED_float) -- inputs
return BOOLEAN is
begin -- function "="
return eq (l => l,
r => r,
check_error => false);
end function "=";
function ">" (
l, r : UNRESOLVED_float) -- inputs
return BOOLEAN is
begin -- function ">"
return gt (l => l,
r => r,
check_error => false);
end function ">";
variable fract : UNSIGNED (fraction_width-1 downto 0);
variable expon : UNSIGNED (exponent_width-1 downto 0);
variable sign : STD_ULOGIC;
variable result : UNRESOLVED_float (exponent_width downto -fraction_width);
variable validfpx, validfpy : valid_fpstate; -- Valid FP state
begin -- fp_Nextafter
-- If Y > X, add one to the fraction, otherwise subtract.
validfpx := classfp (x, check_error);
validfpy := classfp (y, check_error);
if validfpx = isx or validfpy = isx then
result := (others => 'X');
return result;
elsif (validfpx = nan or validfpy = nan) then
return nanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
elsif (validfpx = quiet_nan or validfpy = quiet_nan) then
return qnanfp (fraction_width => fraction_width,
exponent_width => exponent_width);
elsif x = y then -- Return X
return x;
else
fract := UNSIGNED (to_slv (x (-1 downto -fraction_width))); -- Fraction
expon := UNSIGNED (x (exponent_width - 1 downto 0)); -- exponent
sign := x(exponent_width); -- sign bit
if (y > x) then
-- Increase the number given
if validfpx = neg_inf then
-- return most negative number
expon := (others => '1');
expon (0) := '0';
fract := (others => '1');
elsif validfpx = pos_zero or validfpx = neg_zero then
-- return smallest denormal number
sign := '0';
expon := (others => '0');
fract := (others => '0');
fract(0) := '1';
elsif validfpx = pos_normal then
if and_reduce (fract) = '1' then -- fraction is all "1".
if and_reduce (expon (exponent_width-1 downto 1)) = '1'
and expon (0) = '0' then
-- Exponent is one away from infinity.
assert NO_WARNING
report float_pkg'instance_name
& "FP_NEXTAFTER: NextAfter overflow"
severity warning;
return pos_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
else
expon := expon + 1;
fract := (others => '0');
end if;
else
fract := fract + 1;
end if;
elsif validfpx = pos_denormal then
if and_reduce (fract) = '1' then -- fraction is all "1".
-- return smallest possible normal number
expon := (others => '0');
expon(0) := '1';
fract := (others => '0');
else
fract := fract + 1;
end if;
elsif validfpx = neg_normal then
if or_reduce (fract) = '0' then -- fraction is all "0".
if or_reduce (expon (exponent_width-1 downto 1)) = '0' and
expon (0) = '1' then -- Smallest exponent
-- return the largest negative denormal number
expon := (others => '0');
fract := (others => '1');
else
expon := expon - 1;
fract := (others => '1');
end if;
else
fract := fract - 1;
end if;
elsif validfpx = neg_denormal then
if or_reduce (fract(fract'high downto 1)) = '0'
and fract (0) = '1' then -- Smallest possible fraction
return zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
else
fract := fract - 1;
end if;
end if;
else
-- Decrease the number
if validfpx = pos_inf then
-- return most positive number
expon := (others => '1');
expon (0) := '0';
fract := (others => '1');
elsif validfpx = pos_zero
or classfp (x) = neg_zero then
-- return smallest negative denormal number
sign := '1';
expon := (others => '0');
fract := (others => '0');
fract(0) := '1';
elsif validfpx = neg_normal then
if and_reduce (fract) = '1' then -- fraction is all "1".
if and_reduce (expon (exponent_width-1 downto 1)) = '1'
and expon (0) = '0' then
-- Exponent is one away from infinity.
assert NO_WARNING
report float_pkg'instance_name
& "FP_NEXTAFTER: NextAfter overflow"
severity warning;
return neg_inffp (fraction_width => fraction_width,
exponent_width => exponent_width);
else
expon := expon + 1; -- Fraction overflow
fract := (others => '0');
end if;
else
fract := fract + 1;
end if;
elsif validfpx = neg_denormal then
if and_reduce (fract) = '1' then -- fraction is all "1".
-- return smallest possible normal number
expon := (others => '0');
expon(0) := '1';
fract := (others => '0');
else
fract := fract + 1;
end if;
elsif validfpx = pos_normal then
if or_reduce (fract) = '0' then -- fraction is all "0".
if or_reduce (expon (exponent_width-1 downto 1)) = '0' and
expon (0) = '1' then -- Smallest exponent
-- return the largest positive denormal number
expon := (others => '0');
fract := (others => '1');
else
expon := expon - 1;
fract := (others => '1');
end if;
else
fract := fract - 1;
end if;
elsif validfpx = pos_denormal then
if or_reduce (fract(fract'high downto 1)) = '0'
and fract (0) = '1' then -- Smallest possible fraction
return zerofp (fraction_width => fraction_width,
exponent_width => exponent_width);
else
fract := fract - 1;
end if;
end if;
end if;
result (-1 downto -fraction_width) := UNRESOLVED_float(fract);
result (exponent_width -1 downto 0) := UNRESOLVED_float(expon);
result (exponent_width) := sign;
return result;
end if;
end function Nextafter;
-- Returns True if X is unordered with Y.
function Unordered (
x, y : UNRESOLVED_float) -- floating point input
return BOOLEAN is
variable lfptype, rfptype : valid_fpstate;
begin
lfptype := classfp (x);
rfptype := classfp (y);
if (lfptype = nan or lfptype = quiet_nan or
rfptype = nan or rfptype = quiet_nan or
lfptype = isx or rfptype = isx) then
return true;
else
return false;
end if;
end function Unordered;
function Finite (
x : UNRESOLVED_float)
return BOOLEAN is
variable fp_state : valid_fpstate; -- fp state
begin
fp_state := Classfp (x);
if (fp_state = pos_inf) or (fp_state = neg_inf) then
return true;
else
return false;
end if;
end function Finite;
function Isnan (
x : UNRESOLVED_float)
return BOOLEAN is
variable fp_state : valid_fpstate; -- fp state
begin
fp_state := Classfp (x);
if (fp_state = nan) or (fp_state = quiet_nan) then
return true;
else
return false;
end if;
end function Isnan;
-- Function to return constants.
function zerofp (
constant exponent_width : NATURAL := float_exponent_width; -- exponent
constant fraction_width : NATURAL := float_fraction_width) -- fraction
return UNRESOLVED_float is
constant result : UNRESOLVED_float (exponent_width downto -fraction_width) :=
(others => '0'); -- zero
begin
return result;
end function zerofp;
function nanfp (
constant exponent_width : NATURAL := float_exponent_width; -- exponent
constant fraction_width : NATURAL := float_fraction_width) -- fraction
return UNRESOLVED_float is
variable result : UNRESOLVED_float (exponent_width downto -fraction_width) :=
(others => '0'); -- zero
begin
result (exponent_width-1 downto 0) := (others => '1');
-- Exponent all "1"
result (-1) := '1'; -- MSB of Fraction "1"
-- Note: From W. Khan "IEEE Standard 754 for Binary Floating Point"
-- The difference between a signaling NAN and a quiet NAN is that
-- the MSB of the Fraction is a "1" in a Signaling NAN, and is a
-- "0" in a quiet NAN.
return result;
end function nanfp;
function qnanfp (
constant exponent_width : NATURAL := float_exponent_width; -- exponent
constant fraction_width : NATURAL := float_fraction_width) -- fraction
return UNRESOLVED_float is
variable result : UNRESOLVED_float (exponent_width downto -fraction_width) :=
(others => '0'); -- zero
begin
result (exponent_width-1 downto 0) := (others => '1');
-- Exponent all "1"
result (-fraction_width) := '1'; -- LSB of Fraction "1"
-- (Could have been any bit)
return result;
end function qnanfp;
function pos_inffp (
constant exponent_width : NATURAL := float_exponent_width; -- exponent
constant fraction_width : NATURAL := float_fraction_width) -- fraction
return UNRESOLVED_float is
variable result : UNRESOLVED_float (exponent_width downto -fraction_width) :=
(others => '0'); -- zero
begin
result (exponent_width-1 downto 0) := (others => '1'); -- Exponent all "1"
return result;
end function pos_inffp;
function neg_inffp (
constant exponent_width : NATURAL := float_exponent_width; -- exponent
constant fraction_width : NATURAL := float_fraction_width) -- fraction
return UNRESOLVED_float is
variable result : UNRESOLVED_float (exponent_width downto -fraction_width) :=
(others => '0'); -- zero
begin
result (exponent_width downto 0) := (others => '1'); -- top bits all "1"
return result;
end function neg_inffp;
function neg_zerofp (
constant exponent_width : NATURAL := float_exponent_width; -- exponent
constant fraction_width : NATURAL := float_fraction_width) -- fraction
return UNRESOLVED_float is
variable result : UNRESOLVED_float (exponent_width downto -fraction_width) :=
(others => '0'); -- zero
begin
result (exponent_width) := '1';
return result;
end function neg_zerofp;
-- size_res versions
function zerofp (
size_res : UNRESOLVED_float) -- variable is only use for sizing
return UNRESOLVED_float is
begin
return zerofp (
exponent_width => size_res'high,
fraction_width => -size_res'low);
end function zerofp;
function nanfp (
size_res : UNRESOLVED_float) -- variable is only use for sizing
return UNRESOLVED_float is
begin
return nanfp (
exponent_width => size_res'high,
fraction_width => -size_res'low);
end function nanfp;
function qnanfp (
size_res : UNRESOLVED_float) -- variable is only use for sizing
return UNRESOLVED_float is
begin
return qnanfp (
exponent_width => size_res'high,
fraction_width => -size_res'low);
end function qnanfp;
function pos_inffp (
size_res : UNRESOLVED_float) -- variable is only use for sizing
return UNRESOLVED_float is
begin
return pos_inffp (
exponent_width => size_res'high,
fraction_width => -size_res'low);
end function pos_inffp;
function neg_inffp (
size_res : UNRESOLVED_float) -- variable is only use for sizing
return UNRESOLVED_float is
begin
return neg_inffp (
exponent_width => size_res'high,
fraction_width => -size_res'low);
end function neg_inffp;
function neg_zerofp (
size_res : UNRESOLVED_float) -- variable is only use for sizing
return UNRESOLVED_float is
begin
return neg_zerofp (
exponent_width => size_res'high,
fraction_width => -size_res'low);
end function neg_zerofp;
-- rtl_synthesis off
-- pragma synthesis_off
--%%% these functions are copied from std_logic_1164 (VHDL-200X edition)
-- Textio functions
-- purpose: writes float into a line (NOTE changed basetype)
type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error);
type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER;
type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC;
type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus;
constant NBSP : CHARACTER := CHARACTER'val(160); -- space character
constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-";
constant char_to_MVL9 : MVL9_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U');
constant char_to_MVL9plus : MVL9plus_indexed_by_char :=
('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z',
'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error);
constant NUS : STRING(2 to 1) := (others => ' ');
-- purpose: Skips white space
procedure skip_whitespace (
L : inout LINE) is
variable readOk : BOOLEAN;
variable c : CHARACTER;
begin
while L /= null and L.all'length /= 0 loop
if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then
read (l, c, readOk);
else
exit;
end if;
end loop;
end procedure skip_whitespace;
-- %%% Replicated textio functions
function to_ostring (value : STD_LOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+2)/3;
variable pad : STD_LOGIC_VECTOR(0 to (ne*3 - value'length) - 1);
variable ivalue : STD_LOGIC_VECTOR(0 to ne*3 - 1);
variable result : STRING(1 to ne);
variable tri : STD_LOGIC_VECTOR(0 to 2);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
tri := To_X01Z(ivalue(3*i to 3*i+2));
case tri is
when o"0" => result(i+1) := '0';
when o"1" => result(i+1) := '1';
when o"2" => result(i+1) := '2';
when o"3" => result(i+1) := '3';
when o"4" => result(i+1) := '4';
when o"5" => result(i+1) := '5';
when o"6" => result(i+1) := '6';
when o"7" => result(i+1) := '7';
when "ZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_ostring;
-------------------------------------------------------------------
function to_hstring (value : STD_LOGIC_VECTOR) return STRING is
constant ne : INTEGER := (value'length+3)/4;
variable pad : STD_LOGIC_VECTOR(0 to (ne*4 - value'length) - 1);
variable ivalue : STD_LOGIC_VECTOR(0 to ne*4 - 1);
variable result : STRING(1 to ne);
variable quad : STD_LOGIC_VECTOR(0 to 3);
begin
if value'length < 1 then
return NUS;
else
if value (value'left) = 'Z' then
pad := (others => 'Z');
else
pad := (others => '0');
end if;
ivalue := pad & value;
for i in 0 to ne-1 loop
quad := To_X01Z(ivalue(4*i to 4*i+3));
case quad is
when x"0" => result(i+1) := '0';
when x"1" => result(i+1) := '1';
when x"2" => result(i+1) := '2';
when x"3" => result(i+1) := '3';
when x"4" => result(i+1) := '4';
when x"5" => result(i+1) := '5';
when x"6" => result(i+1) := '6';
when x"7" => result(i+1) := '7';
when x"8" => result(i+1) := '8';
when x"9" => result(i+1) := '9';
when x"A" => result(i+1) := 'A';
when x"B" => result(i+1) := 'B';
when x"C" => result(i+1) := 'C';
when x"D" => result(i+1) := 'D';
when x"E" => result(i+1) := 'E';
when x"F" => result(i+1) := 'F';
when "ZZZZ" => result(i+1) := 'Z';
when others => result(i+1) := 'X';
end case;
end loop;
return result;
end if;
end function to_hstring;
procedure Char2TriBits (C : CHARACTER;
RESULT : out STD_LOGIC_VECTOR(2 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := o"0"; good := true;
when '1' => result := o"1"; good := true;
when '2' => result := o"2"; good := true;
when '3' => result := o"3"; good := true;
when '4' => result := o"4"; good := true;
when '5' => result := o"5"; good := true;
when '6' => result := o"6"; good := true;
when '7' => result := o"7"; good := true;
when 'Z' => result := "ZZZ"; good := true;
when 'X' => result := "XXX"; good := true;
when others =>
assert not ISSUE_ERROR
report float_pkg'instance_name
& "OREAD Error: Read a '" & c &
"', expected an Octal character (0-7)."
severity error;
result := "UUU";
good := false;
end case;
end procedure Char2TriBits;
procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ok : BOOLEAN;
variable c : CHARACTER;
constant ne : INTEGER := (VALUE'length+2)/3;
constant pad : INTEGER := ne*3 - VALUE'length;
variable sv : STD_LOGIC_VECTOR(0 to ne*3 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
good := false;
return;
elsif c = '_' then
if i = 0 then
good := false; -- Begins with an "_"
return;
elsif lastu then
good := false; -- "__" detected
return;
else
lastu := true;
end if;
else
Char2TriBits(c, sv(3*i to 3*i+2), ok, false);
if not ok then
good := false;
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
good := false; -- vector was truncated.
else
good := true;
VALUE := sv (pad to sv'high);
end if;
else
good := true; -- read into a null array
end if;
end procedure OREAD;
-- Hex Read and Write procedures for STD_ULOGIC_VECTOR.
-- Modified from the original to be more forgiving.
procedure Char2QuadBits (C : CHARACTER;
RESULT : out STD_LOGIC_VECTOR(3 downto 0);
GOOD : out BOOLEAN;
ISSUE_ERROR : in BOOLEAN) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' | 'a' => result := x"A"; good := true;
when 'B' | 'b' => result := x"B"; good := true;
when 'C' | 'c' => result := x"C"; good := true;
when 'D' | 'd' => result := x"D"; good := true;
when 'E' | 'e' => result := x"E"; good := true;
when 'F' | 'f' => result := x"F"; good := true;
when 'Z' => result := "ZZZZ"; good := true;
when 'X' => result := "XXXX"; good := true;
when others =>
assert not ISSUE_ERROR
report float_pkg'instance_name
& "HREAD Error: Read a '" & c &
"', expected a Hex character (0-F)."
severity error;
result := "UUUU";
good := false;
end case;
end procedure Char2QuadBits;
procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR;
GOOD : out BOOLEAN) is
variable ok : BOOLEAN;
variable c : CHARACTER;
constant ne : INTEGER := (VALUE'length+3)/4;
constant pad : INTEGER := ne*4 - VALUE'length;
variable sv : STD_LOGIC_VECTOR(0 to ne*4 - 1);
variable i : INTEGER;
variable lastu : BOOLEAN := false; -- last character was an "_"
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
read (l, c, ok);
i := 0;
while i < ne loop
-- Bail out if there was a bad read
if not ok then
good := false;
return;
elsif c = '_' then
if i = 0 then
good := false; -- Begins with an "_"
return;
elsif lastu then
good := false; -- "__" detected
return;
else
lastu := true;
end if;
else
Char2QuadBits(c, sv(4*i to 4*i+3), ok, false);
if not ok then
good := false;
return;
end if;
i := i + 1;
lastu := false;
end if;
if i < ne then
read(L, c, ok);
end if;
end loop;
if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or"
good := false; -- vector was truncated.
else
good := true;
VALUE := sv (pad to sv'high);
end if;
else
good := true; -- Null input string, skips whitespace
end if;
end procedure HREAD;
-- %%% END replicated textio functions
-- purpose: Checks the punctuation in a line
procedure check_punctuation (
arg : in STRING;
colon : out BOOLEAN; -- There was a colon in the line
dot : out BOOLEAN; -- There was a dot in the line
good : out BOOLEAN; -- True if enough characters found
chars : in INTEGER) is
-- Examples. Legal inputs are "0000000", "0000.000", "0:000:000"
alias xarg : STRING (1 to arg'length) is arg; -- make it downto range
variable icolon, idot : BOOLEAN; -- internal
variable j : INTEGER := 0; -- charters read
begin
good := false;
icolon := false;
idot := false;
for i in 1 to arg'length loop
if xarg(i) = ' ' or xarg(i) = NBSP or xarg(i) = HT or j = chars then
exit;
elsif xarg(i) = ':' then
icolon := true;
elsif xarg(i) = '.' then
idot := true;
elsif xarg (i) /= '_' then
j := j + 1;
end if;
end loop;
if j = chars then
good := true; -- There are enough charactes to read
end if;
colon := icolon;
if idot and icolon then
dot := false;
else
dot := idot;
end if;
end procedure check_punctuation;
-- purpose: Searches a line for a ":" and replaces it with a ".".
procedure fix_colon (
arg : inout STRING;
chars : in integer) is
alias xarg : STRING (1 to arg'length) is arg; -- make it downto range
variable j : INTEGER := 0; -- charters read
begin
for i in 1 to arg'length loop
if xarg(i) = ' ' or xarg(i) = NBSP or xarg(i) = HT or j > chars then
exit;
elsif xarg(i) = ':' then
xarg (i) := '.';
elsif xarg (i) /= '_' then
j := j + 1;
end if;
end loop;
end procedure fix_colon;
procedure WRITE (
L : inout LINE; -- input line
VALUE : in UNRESOLVED_float; -- floating point input
JUSTIFIED : in SIDE := right;
FIELD : in WIDTH := 0) is
variable s : STRING(1 to value'high - value'low +3);
variable sindx : INTEGER;
begin -- function write
s(1) := MVL9_to_char(STD_ULOGIC(VALUE(VALUE'high)));
s(2) := ':';
sindx := 3;
for i in VALUE'high-1 downto 0 loop
s(sindx) := MVL9_to_char(STD_ULOGIC(VALUE(i)));
sindx := sindx + 1;
end loop;
s(sindx) := ':';
sindx := sindx + 1;
for i in -1 downto VALUE'low loop
s(sindx) := MVL9_to_char(STD_ULOGIC(VALUE(i)));
sindx := sindx + 1;
end loop;
WRITE (L, s, JUSTIFIED, FIELD);
end procedure WRITE;
procedure READ (L : inout LINE; VALUE : out UNRESOLVED_float) is
-- Possible data: 0:0000:0000000
-- 000000000000
variable c : CHARACTER;
variable mv : UNRESOLVED_float (VALUE'range);
variable readOk : BOOLEAN;
variable lastu : BOOLEAN := false; -- last character was an "_"
variable i : INTEGER; -- index variable
begin -- READ
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
READ (l, c, readOk);
if VALUE'length > 0 then
i := value'high;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
report float_pkg'instance_name
& "READ(float): "
& "Error end of file encountered."
severity error;
return;
elsif c = ' ' or c = CR or c = HT then -- reading done.
if (i /= value'low) then
report float_pkg'instance_name
& "READ(float): "
& "Warning: Value truncated."
severity warning;
return;
end if;
elsif c = '_' then
if i = value'high then -- Begins with an "_"
report float_pkg'instance_name
& "READ(float): "
& "String begins with an ""_""" severity error;
return;
elsif lastu then -- "__" detected
report float_pkg'instance_name
& "READ(float): "
& "Two underscores detected in input string ""__"""
severity error;
return;
else
lastu := true;
end if;
elsif c = ':' or c = '.' then -- separator, ignore
if not (i = -1 or i = value'high-1) then
report float_pkg'instance_name
& "READ(float): "
& "Warning: Separator point does not match number format: '"
& c & "' encountered at location " & INTEGER'image(i) & "."
severity warning;
end if;
lastu := false;
elsif (char_to_MVL9plus(c) = error) then
report float_pkg'instance_name
& "READ(float): "
& "Error: Character '" & c & "' read, expected STD_ULOGIC literal."
severity error;
return;
else
mv (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
VALUE := mv;
return;
end if;
lastu := false;
end if;
READ (l, c, readOk);
end loop readloop;
end if;
end procedure READ;
procedure READ (L : inout LINE; VALUE : out UNRESOLVED_float; GOOD : out BOOLEAN) is
-- Possible data: 0:0000:0000000
-- 000000000000
variable c : CHARACTER;
variable mv : UNRESOLVED_float (VALUE'range);
variable lastu : BOOLEAN := false; -- last character was an "_"
variable i : INTEGER; -- index variable
variable readOk : BOOLEAN;
begin -- READ
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
READ (l, c, readOk);
if VALUE'length > 0 then
i := value'high;
good := false;
readloop : loop
if readOk = false then -- Bail out if there was a bad read
return;
elsif c = ' ' or c = CR or c = HT then -- reading done
return;
elsif c = '_' then
if i = 0 then -- Begins with an "_"
return;
elsif lastu then -- "__" detected
return;
else
lastu := true;
end if;
elsif c = ':' or c = '.' then -- separator, ignore
-- good := (i = -1 or i = value'high-1);
lastu := false;
elsif (char_to_MVL9plus(c) = error) then
return;
else
mv (i) := char_to_MVL9(c);
i := i - 1;
if i < value'low then
good := true;
VALUE := mv;
return;
end if;
lastu := false;
end if;
READ (l, c, readOk);
end loop readloop;
else
good := true; -- read into a null array
end if;
end procedure READ;
procedure OWRITE (
L : inout LINE; -- access type (pointer)
VALUE : in UNRESOLVED_float; -- value to write
JUSTIFIED : in SIDE := right; -- which side to justify text
FIELD : in WIDTH := 0) is -- width of field
begin
WRITE (L => L,
VALUE => to_ostring(VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure OWRITE;
procedure OREAD (L : inout LINE; VALUE : out UNRESOLVED_float) is
constant ne : INTEGER := ((value'length+2)/3) * 3; -- pad
variable slv : STD_LOGIC_VECTOR (ne-1 downto 0); -- slv
variable slvu : ufixed (VALUE'range); -- Unsigned fixed point
variable c : CHARACTER;
variable ok : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable colon, dot : BOOLEAN;
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
check_punctuation (arg => L.all,
colon => colon,
dot => dot,
good => ok,
chars => ne/3);
if not ok then
report float_pkg'instance_name & "OREAD: "
& "short string encounted: " & L.all
& " needs to have " & integer'image (ne/3)
& " valid octal characters."
severity error;
return;
elsif dot then
OREAD (L, slvu, ok); -- read it like a UFIXED number
if not ok then
report float_pkg'instance_name & "OREAD: "
& "error encounted reading STRING " & L.all
severity error;
return;
else
VALUE := UNRESOLVED_float (slvu);
end if;
elsif colon then
OREAD (L, nybble, ok); -- read the sign bit
if not ok then
report float_pkg'instance_name & "OREAD: "
& "End of string encountered"
severity error;
return;
elsif nybble (2 downto 1) /= "00" then
report float_pkg'instance_name & "OREAD: "
& "Illegal sign bit STRING encounted "
severity error;
return;
end if;
read (l, c, ok); -- read the colon
fix_colon (L.all, ne/3); -- replaces the colon with a ".".
OREAD (L, slvu (slvu'high-1 downto slvu'low), ok); -- read it like a UFIXED number
if not ok then
report float_pkg'instance_name & "OREAD: "
& "error encounted reading STRING " & L.all
severity error;
return;
else
slvu (slvu'high) := nybble (0);
VALUE := UNRESOLVED_float (slvu);
end if;
else
OREAD (L, slv, ok);
if not ok then
report float_pkg'instance_name & "OREAD: "
& "Error encounted during read"
severity error;
return;
end if;
if (or_reduce (slv(ne-1 downto VALUE'high-VALUE'low+1)) = '1') then
report float_pkg'instance_name & "OREAD: "
& "Vector truncated."
severity error;
return;
end if;
VALUE := to_float (slv(VALUE'high-VALUE'low downto 0),
VALUE'high, -VALUE'low);
end if;
end if;
end procedure OREAD;
procedure OREAD(L : inout LINE; VALUE : out UNRESOLVED_float; GOOD : out BOOLEAN) is
constant ne : INTEGER := ((value'length+2)/3) * 3; -- pad
variable slv : STD_LOGIC_VECTOR (ne-1 downto 0); -- slv
variable slvu : ufixed (VALUE'range); -- Unsigned fixed point
variable c : CHARACTER;
variable ok : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits
variable colon, dot : BOOLEAN;
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
GOOD := false;
Skip_whitespace (L);
if VALUE'length > 0 then
check_punctuation (arg => L.all,
colon => colon,
dot => dot,
good => ok,
chars => ne/3);
if not ok then
return;
elsif dot then
OREAD (L, slvu, ok); -- read it like a UFIXED number
if not ok then
return;
else
VALUE := UNRESOLVED_float (slvu);
end if;
elsif colon then
OREAD (L, nybble, ok); -- read the sign bit
if not ok then
return;
elsif nybble (2 downto 1) /= "00" then
return;
end if;
read (l, c, ok); -- read the colon
fix_colon (L.all, ne/3); -- replaces the colon with a ".".
OREAD (L, slvu (slvu'high-1 downto slvu'low), ok); -- read it like a UFIXED number
if not ok then
return;
else
slvu (slvu'high) := nybble (0);
VALUE := UNRESOLVED_float (slvu);
end if;
else
OREAD (L, slv, ok);
if not ok then
return;
end if;
if (or_reduce (slv(ne-1 downto VALUE'high-VALUE'low+1)) = '1') then
return;
end if;
VALUE := to_float (slv(VALUE'high-VALUE'low downto 0),
VALUE'high, -VALUE'low);
end if;
GOOD := true;
end if;
end procedure OREAD;
procedure HWRITE (
L : inout LINE; -- access type (pointer)
VALUE : in UNRESOLVED_float; -- value to write
JUSTIFIED : in SIDE := right; -- which side to justify text
FIELD : in WIDTH := 0) is -- width of field
begin
WRITE (L => L,
VALUE => to_hstring(VALUE),
JUSTIFIED => JUSTIFIED,
FIELD => FIELD);
end procedure HWRITE;
procedure HREAD (L : inout LINE; VALUE : out UNRESOLVED_float) is
constant ne : INTEGER := ((value'length+3)/4) * 4; -- pad
variable slv : STD_LOGIC_VECTOR (ne-1 downto 0); -- slv
variable slvu : ufixed (VALUE'range); -- Unsigned fixed point
variable c : CHARACTER;
variable ok : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable colon, dot : BOOLEAN;
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
Skip_whitespace (L);
if VALUE'length > 0 then
check_punctuation (arg => L.all,
colon => colon,
dot => dot,
good => ok,
chars => ne/4);
if not ok then
report float_pkg'instance_name & "HREAD: "
& "short string encounted: " & L.all
& " needs to have " & integer'image (ne/4)
& " valid hex characters."
severity error;
return;
elsif dot then
HREAD (L, slvu, ok); -- read it like a UFIXED number
if not ok then
report float_pkg'instance_name & "HREAD: "
& "error encounted reading STRING " & L.all
severity error;
return;
else
VALUE := UNRESOLVED_float (slvu);
end if;
elsif colon then
HREAD (L, nybble, ok); -- read the sign bit
if not ok then
report float_pkg'instance_name & "HREAD: "
& "End of string encountered"
severity error;
return;
elsif nybble (3 downto 1) /= "000" then
report float_pkg'instance_name & "HREAD: "
& "Illegal sign bit STRING encounted "
severity error;
return;
end if;
read (l, c, ok); -- read the colon
fix_colon (L.all, ne/4); -- replaces the colon with a ".".
HREAD (L, slvu (slvu'high-1 downto slvu'low), ok); -- read it like a UFIXED number
if not ok then
report float_pkg'instance_name & "HREAD: "
& "error encounted reading STRING " & L.all
severity error;
return;
else
slvu (slvu'high) := nybble (0);
VALUE := UNRESOLVED_float (slvu);
end if;
else
HREAD (L, slv, ok);
if not ok then
report float_pkg'instance_name & "HREAD: "
& "Error encounted during read"
severity error;
return;
end if;
if (or_reduce (slv(ne-1 downto VALUE'high-VALUE'low+1)) = '1') then
report float_pkg'instance_name & "HREAD: "
& "Vector truncated."
severity error;
return;
end if;
VALUE := to_float (slv(VALUE'high-VALUE'low downto 0),
VALUE'high, -VALUE'low);
end if;
end if;
end procedure HREAD;
procedure HREAD (L : inout LINE; VALUE : out UNRESOLVED_float; GOOD : out BOOLEAN) is
constant ne : INTEGER := ((value'length+3)/4) * 4; -- pad
variable slv : STD_LOGIC_VECTOR (ne-1 downto 0); -- slv
variable slvu : ufixed (VALUE'range); -- Unsigned fixed point
variable c : CHARACTER;
variable ok : BOOLEAN;
variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits
variable colon, dot : BOOLEAN;
begin
VALUE := (VALUE'range => 'U'); -- initialize to a "U"
GOOD := false;
Skip_whitespace (L);
if VALUE'length > 0 then
check_punctuation (arg => L.all,
colon => colon,
dot => dot,
good => ok,
chars => ne/4);
if not ok then
return;
elsif dot then
HREAD (L, slvu, ok); -- read it like a UFIXED number
if not ok then
return;
else
VALUE := UNRESOLVED_float (slvu);
end if;
elsif colon then
HREAD (L, nybble, ok); -- read the sign bit
if not ok then
return;
elsif nybble (3 downto 1) /= "000" then
return;
end if;
read (l, c, ok); -- read the colon
fix_colon (L.all, ne/4); -- replaces the colon with a ".".
HREAD (L, slvu (slvu'high-1 downto slvu'low), ok); -- read it like a UFIXED number
if not ok then
return;
else
slvu (slvu'high) := nybble (0);
VALUE := UNRESOLVED_float (slvu);
end if;
else
HREAD (L, slv, ok);
if not ok then
return;
end if;
if (or_reduce (slv(ne-1 downto VALUE'high-VALUE'low+1)) = '1') then
return;
end if;
VALUE := to_float (slv(VALUE'high-VALUE'low downto 0),
VALUE'high, -VALUE'low);
end if;
GOOD := true;
end if;
end procedure HREAD;
function to_string (value : UNRESOLVED_float) return STRING is
variable s : STRING(1 to value'high - value'low +3);
variable sindx : INTEGER;
begin -- function write
s(1) := MVL9_to_char(STD_ULOGIC(VALUE(VALUE'high)));
s(2) := ':';
sindx := 3;
for i in VALUE'high-1 downto 0 loop
s(sindx) := MVL9_to_char(STD_ULOGIC(VALUE(i)));
sindx := sindx + 1;
end loop;
s(sindx) := ':';
sindx := sindx + 1;
for i in -1 downto VALUE'low loop
s(sindx) := MVL9_to_char(STD_ULOGIC(VALUE(i)));
sindx := sindx + 1;
end loop;
return s;
end function to_string;
function to_hstring (value : UNRESOLVED_float) return STRING is
variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0);
begin
floop : for i in slv'range loop
slv(i) := to_X01Z (value(i + value'low));
end loop floop;
return to_hstring (slv);
end function to_hstring;
function to_ostring (value : UNRESOLVED_float) return STRING is
variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0);
begin
floop : for i in slv'range loop
slv(i) := to_X01Z (value(i + value'low));
end loop floop;
return to_ostring (slv);
end function to_ostring;
function from_string (
bstring : STRING; -- binary string
constant exponent_width : NATURAL := float_exponent_width;
constant fraction_width : NATURAL := float_fraction_width)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (exponent_width downto -fraction_width);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(bstring);
READ (L, result, good);
deallocate (L);
assert (good)
report float_pkg'instance_name
& "from_string: Bad string " & bstring
severity error;
return result;
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
constant exponent_width : NATURAL := float_exponent_width;
constant fraction_width : NATURAL := float_fraction_width)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (exponent_width downto -fraction_width);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(ostring);
OREAD (L, result, good);
deallocate (L);
assert (good)
report float_pkg'instance_name
& "from_ostring: Bad string " & ostring
severity error;
return result;
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
constant exponent_width : NATURAL := float_exponent_width;
constant fraction_width : NATURAL := float_fraction_width)
return UNRESOLVED_float is
variable result : UNRESOLVED_float (exponent_width downto -fraction_width);
variable L : LINE;
variable good : BOOLEAN;
begin
L := new STRING'(hstring);
HREAD (L, result, good);
deallocate (L);
assert (good)
report float_pkg'instance_name
& "from_hstring: Bad string " & hstring
severity error;
return result;
end function from_hstring;
function from_string (
bstring : STRING; -- binary string
size_res : UNRESOLVED_float) -- used for sizing only
return UNRESOLVED_float is
begin
return from_string (bstring => bstring,
exponent_width => size_res'high,
fraction_width => -size_res'low);
end function from_string;
function from_ostring (
ostring : STRING; -- Octal string
size_res : UNRESOLVED_float) -- used for sizing only
return UNRESOLVED_float is
begin
return from_ostring (ostring => ostring,
exponent_width => size_res'high,
fraction_width => -size_res'low);
end function from_ostring;
function from_hstring (
hstring : STRING; -- hex string
size_res : UNRESOLVED_float) -- used for sizing only
return UNRESOLVED_float is
begin
return from_hstring (hstring => hstring,
exponent_width => size_res'high,
fraction_width => -size_res'low);
end function from_hstring;
-- rtl_synthesis on
-- pragma synthesis_on
function to_float (
arg : STD_LOGIC_VECTOR;
constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent
constant fraction_width : NATURAL := float_fraction_width) -- length of FP output fraction
return UNRESOLVED_float is
begin
return to_float (
arg => to_stdulogicvector (arg),
exponent_width => exponent_width,
fraction_width => fraction_width);
end function to_float;
function to_float (
arg : STD_LOGIC_VECTOR;
size_res : UNRESOLVED_float)
return UNRESOLVED_float is
begin
return to_float (
arg => to_stdulogicvector (arg),
size_res => size_res);
end function to_float;
-- For Verilog compatability
function realtobits (arg : REAL) return STD_LOGIC_VECTOR is
variable result : float64; -- 64 bit floating point
begin
result := to_float (arg => arg,
exponent_width => float64'high,
fraction_width => -float64'low);
return to_slv (result);
end function realtobits;
function bitstoreal (arg : STD_LOGIC_VECTOR) return REAL is
variable arg64 : float64; -- arg converted to float
begin
arg64 := to_float (arg => arg,
exponent_width => float64'high,
fraction_width => -float64'low);
return to_real (arg64);
end function bitstoreal;
end package body float_pkg;
| apache-2.0 | 040ce2e46a6d9a9374b544a7bc7aac72 | 0.556798 | 4.22217 | false | false | false | false |
iamllama/EE2020 | ee2020.cache/ip/b67405368c510ef2/dds_compiler_0_sim_netlist.vhdl | 1 | 218,009 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
-- Date : Tue Mar 28 05:22:49 2017
-- Host : DESKTOP-B1QME94 running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ dds_compiler_0_sim_netlist.vhdl
-- Design : dds_compiler_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
UeUQOSqc517u4Gp21W1qcB44JkXjttQw3I9etxLnnrt3tkJ0d4uxhbBwSkc7IM9w0xxr7owGLR37
1Ii0/OYJsQ==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
kOXgzYTJC4GxJCP3UAJekjjYLOXKC9b70sFPvaIFCHz6zbI3mz+JUFPTpADGukAuJQCKiXWwYOBZ
MmBb8JugLkKE+O1iqIjgnplEt9Bnnc0cPnUeT9o1Q0bWLLOKk75pVanxsTWyvGhO5t3dBcHf76mm
DceLRrUeM7AAXcHNQP8=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
JeQtyj3Kal6oTj33H4A+stJ+V3DCiNJv8J7k4H0+dLfFYYJJ3jbUoUt90xE3PJrsmjZDUKwDIVOX
HWBDaCL3u44dq/L0M441Q3RfpW9QQqU0ai34/xEtkAvplg6Oe3ludzsYQZ7T2bjYDyh8NSDEu4PD
/ngBWkp/hfXUBkMQq3g=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
D+mEShAo+idVddojD4Ocf30d3PeQsjyupmNQjqsNdbpJFSb9AWyTI4HLKIImT0S50Zgb6LGKxa9h
26g8vXL3CdbVdP5O8FpM1809Abu5sfhEOCwdvtKWRwLRZt1+A/6C8nMHuYTLwrt4lXg1bU5c54n9
i12z+RFxTTeQUgM++Sl/RYKl7QJ7e+6a2bvs7RCI+NDk3Qaeos9nT6roJnfx2wpYOF4jStxFa2up
F5q2mhYTDOmLHpkBQCKAWc41vFlv1ZeWkv5nIa97hTbbuUW8GmJEmxKYO5Ix08oKP4QxHuiNF++X
v0t8M5z/+3rsLJl0oKiKofyP/dx+okR3PXDIyw==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
tcnuNu53+hchNr+pZ1NtakfiTYoR6SYivYJdM66R8/4XDELZLm46FZjh8e2MDPfDIe0TPxgXssIK
JBpdVvHEF3sN4ne8BH5Hig1m+5eYblKUujpGtmIpXovQKiu33+xi9YvN+S91R0i8O+wIG5Y8ZtSd
416fkpAXIqKUgtlCKXBPfNKh6pXB2wSYbWz3TlPOiCZhgXOn24ftBdQmq794Zo6QdyiBWEIqcHvf
cGxpfdy9soUWUFDgRcMQziQpv5Bf40FoOoFPc0PTxzAfe1PMhPuWIOtJwU7v2ehiljl8zfvKr43F
vafnOBmYmG/WIJ2D8gT8zcjKCOuzkEZD4/6LHw==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
CtAJ5i2Ss06xmVLrV4Tdrt3cQho/pCz9fbTCKJxQdDrBclu8FdA7n6uV/sbGH0tMaSievrFx2Jcw
lrfRQgsQbFyxSpn5PUFRabLV3UXwVpPqRPFv60hHW8dL6EBKTJRiEKGMFV/9GNtBclnQParE68gy
UWIYfWYlfU8odNKh63v3UlbKBdSSTudb0Ul16UHMxR9rOEcIVol8aLIxFF0XFN3SbjvZQYMrSrda
mdPrPZ6RZeEOu+2fjH5DVxI6YAiec9k48XHplaRfVHc5p6pbC8oywpPPg+e3mzZanroV2DYjAywn
LeUIPZxac7VkB/2/ioqm/Wqs+AR5+6YLStbDqg==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
Sk+Ok3Tq9jEXZvURgvNmr8IkFV2a/tf1syzqgNwdgZpUX+1P7BCTFQmUFiJtvSwBh5MX3CmcHE1e
QJVFgR9eBNNmqgwv8CV+T6GpEVdtXuBGY1v391P1VDykkB7d+T2jzds0BMTiFg/O6KNHljmIsAXc
h9ybOV5qx4rOQxavUGnQmsMiL/et95WXk/tICU1TBvcfIWCdv2Y1nqQNFstohOIST8oKhsJMREIV
84yso5OSDRB8VbTfJAa0Hk348Hcoowx1qEvsqnAem849PxgoVRnxQw1G045e4dMHhjokuv5LLhVF
Qp2FCBupaWo8RzaC2sl9Y5huEK/I1ejCEsD1gw==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
FiuNWaOSv/DwnukjJDo7TPcVH510EHjec9H3tUwnf7LCOxosJctcOYnI8efX3rZ+ILceRYBVEAXC
MKPzWpJkW+1zcEbD5DwwleQ3RUdjzU+0hgunhS+cc3CxbnvmsS5vbVnEUphJMsaxsGoSGV0P5Ulr
i8PI66HDmcdryEZa6w/OCpQGEz66AIOqOTOjkbNDLK3OK2ztbUAjyiJh+xBZNck+/M/MDs+tsZpe
DLin0GPA4xyKs6hHiJfcF32tEfdpvhOFi3dCV7S3IjFRDxf1J6AopGKSf9JmyukLpNII+z0JZTKv
d30rXxvRVS22qAHZaAnviHumabDrKOUgxzQ9GA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 136080)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 is
port (
aclk : in STD_LOGIC;
aclken : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tready : out STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
s_axis_phase_tlast : in STD_LOGIC;
s_axis_phase_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tvalid : in STD_LOGIC;
s_axis_config_tready : out STD_LOGIC;
s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tlast : in STD_LOGIC;
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tready : in STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_data_tlast : out STD_LOGIC;
m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tvalid : out STD_LOGIC;
m_axis_phase_tready : in STD_LOGIC;
m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tlast : out STD_LOGIC;
m_axis_phase_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
event_pinc_invalid : out STD_LOGIC;
event_poff_invalid : out STD_LOGIC;
event_phase_in_invalid : out STD_LOGIC;
event_s_phase_tlast_missing : out STD_LOGIC;
event_s_phase_tlast_unexpected : out STD_LOGIC;
event_s_phase_chanid_incorrect : out STD_LOGIC;
event_s_config_tlast_missing : out STD_LOGIC;
event_s_config_tlast_unexpected : out STD_LOGIC;
debug_axi_pinc_in : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_axi_poff_in : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_axi_resync_in : out STD_LOGIC;
debug_axi_chan_in : out STD_LOGIC_VECTOR ( 0 to 0 );
debug_core_nd : out STD_LOGIC;
debug_phase : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_phase_nd : out STD_LOGIC
);
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 22;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 8;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 10000;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 16;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 12;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 12;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 24;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "artix7";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axis_data_tdata\ : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_i_synth_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_phase_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_m_axis_data_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 14 downto 11 );
signal NLW_i_synth_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_m_axis_phase_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH of i_synth : label is 22;
attribute C_AMPLITUDE of i_synth : label is 1;
attribute C_CHANNELS of i_synth : label is 1;
attribute C_CHAN_WIDTH of i_synth : label is 1;
attribute C_DEBUG_INTERFACE of i_synth : label is 0;
attribute C_HAS_ACLKEN of i_synth : label is 0;
attribute C_HAS_ARESETN of i_synth : label is 0;
attribute C_HAS_M_DATA of i_synth : label is 1;
attribute C_HAS_M_PHASE of i_synth : label is 0;
attribute C_HAS_PHASEGEN of i_synth : label is 1;
attribute C_HAS_PHASE_OUT of i_synth : label is 0;
attribute C_HAS_SINCOS of i_synth : label is 1;
attribute C_HAS_S_CONFIG of i_synth : label is 0;
attribute C_HAS_S_PHASE of i_synth : label is 1;
attribute C_HAS_TLAST of i_synth : label is 0;
attribute C_HAS_TREADY of i_synth : label is 0;
attribute C_LATENCY of i_synth : label is 8;
attribute C_MEM_TYPE of i_synth : label is 1;
attribute C_MODE_OF_OPERATION of i_synth : label is 0;
attribute C_MODULUS of i_synth : label is 10000;
attribute C_M_DATA_HAS_TUSER of i_synth : label is 0;
attribute C_M_DATA_TDATA_WIDTH of i_synth : label is 16;
attribute C_M_DATA_TUSER_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_M_PHASE_TDATA_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_NEGATIVE_COSINE of i_synth : label is 0;
attribute C_NEGATIVE_SINE of i_synth : label is 0;
attribute C_NOISE_SHAPING of i_synth : label is 0;
attribute C_OPTIMISE_GOAL of i_synth : label is 1;
attribute C_OUTPUTS_REQUIRED of i_synth : label is 0;
attribute C_OUTPUT_FORM of i_synth : label is 0;
attribute C_OUTPUT_WIDTH of i_synth : label is 12;
attribute C_PHASE_ANGLE_WIDTH of i_synth : label is 12;
attribute C_PHASE_INCREMENT of i_synth : label is 3;
attribute C_PHASE_INCREMENT_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET of i_synth : label is 0;
attribute C_PHASE_OFFSET_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE of i_synth : label is 0;
attribute C_RESYNC of i_synth : label is 0;
attribute C_S_CONFIG_SYNC_MODE of i_synth : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH of i_synth : label is 1;
attribute C_S_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_S_PHASE_TDATA_WIDTH of i_synth : label is 24;
attribute C_S_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_USE_DSP48 of i_synth : label is 0;
attribute C_XDEVICEFAMILY of i_synth : label is "artix7";
attribute downgradeipidentifiedwarnings of i_synth : label is "yes";
begin
debug_axi_chan_in(0) <= \<const0>\;
debug_axi_pinc_in(21) <= \<const0>\;
debug_axi_pinc_in(20) <= \<const0>\;
debug_axi_pinc_in(19) <= \<const0>\;
debug_axi_pinc_in(18) <= \<const0>\;
debug_axi_pinc_in(17) <= \<const0>\;
debug_axi_pinc_in(16) <= \<const0>\;
debug_axi_pinc_in(15) <= \<const0>\;
debug_axi_pinc_in(14) <= \<const0>\;
debug_axi_pinc_in(13) <= \<const0>\;
debug_axi_pinc_in(12) <= \<const0>\;
debug_axi_pinc_in(11) <= \<const0>\;
debug_axi_pinc_in(10) <= \<const0>\;
debug_axi_pinc_in(9) <= \<const0>\;
debug_axi_pinc_in(8) <= \<const0>\;
debug_axi_pinc_in(7) <= \<const0>\;
debug_axi_pinc_in(6) <= \<const0>\;
debug_axi_pinc_in(5) <= \<const0>\;
debug_axi_pinc_in(4) <= \<const0>\;
debug_axi_pinc_in(3) <= \<const0>\;
debug_axi_pinc_in(2) <= \<const0>\;
debug_axi_pinc_in(1) <= \<const0>\;
debug_axi_pinc_in(0) <= \<const0>\;
debug_axi_poff_in(21) <= \<const0>\;
debug_axi_poff_in(20) <= \<const0>\;
debug_axi_poff_in(19) <= \<const0>\;
debug_axi_poff_in(18) <= \<const0>\;
debug_axi_poff_in(17) <= \<const0>\;
debug_axi_poff_in(16) <= \<const0>\;
debug_axi_poff_in(15) <= \<const0>\;
debug_axi_poff_in(14) <= \<const0>\;
debug_axi_poff_in(13) <= \<const0>\;
debug_axi_poff_in(12) <= \<const0>\;
debug_axi_poff_in(11) <= \<const0>\;
debug_axi_poff_in(10) <= \<const0>\;
debug_axi_poff_in(9) <= \<const0>\;
debug_axi_poff_in(8) <= \<const0>\;
debug_axi_poff_in(7) <= \<const0>\;
debug_axi_poff_in(6) <= \<const0>\;
debug_axi_poff_in(5) <= \<const0>\;
debug_axi_poff_in(4) <= \<const0>\;
debug_axi_poff_in(3) <= \<const0>\;
debug_axi_poff_in(2) <= \<const0>\;
debug_axi_poff_in(1) <= \<const0>\;
debug_axi_poff_in(0) <= \<const0>\;
debug_axi_resync_in <= \<const0>\;
debug_core_nd <= \<const0>\;
debug_phase(21) <= \<const0>\;
debug_phase(20) <= \<const0>\;
debug_phase(19) <= \<const0>\;
debug_phase(18) <= \<const0>\;
debug_phase(17) <= \<const0>\;
debug_phase(16) <= \<const0>\;
debug_phase(15) <= \<const0>\;
debug_phase(14) <= \<const0>\;
debug_phase(13) <= \<const0>\;
debug_phase(12) <= \<const0>\;
debug_phase(11) <= \<const0>\;
debug_phase(10) <= \<const0>\;
debug_phase(9) <= \<const0>\;
debug_phase(8) <= \<const0>\;
debug_phase(7) <= \<const0>\;
debug_phase(6) <= \<const0>\;
debug_phase(5) <= \<const0>\;
debug_phase(4) <= \<const0>\;
debug_phase(3) <= \<const0>\;
debug_phase(2) <= \<const0>\;
debug_phase(1) <= \<const0>\;
debug_phase(0) <= \<const0>\;
debug_phase_nd <= \<const0>\;
event_phase_in_invalid <= \<const0>\;
event_pinc_invalid <= \<const0>\;
event_poff_invalid <= \<const0>\;
event_s_config_tlast_missing <= \<const0>\;
event_s_config_tlast_unexpected <= \<const0>\;
event_s_phase_chanid_incorrect <= \<const0>\;
event_s_phase_tlast_unexpected <= \<const0>\;
m_axis_data_tdata(15) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(14) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(13) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(12) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(11 downto 0) <= \^m_axis_data_tdata\(11 downto 0);
m_axis_data_tlast <= \<const0>\;
m_axis_data_tuser(0) <= \<const0>\;
m_axis_phase_tdata(0) <= \<const0>\;
m_axis_phase_tlast <= \<const0>\;
m_axis_phase_tuser(0) <= \<const0>\;
m_axis_phase_tvalid <= \<const0>\;
s_axis_config_tready <= \<const1>\;
s_axis_phase_tready <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
i_synth: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13_viv
port map (
aclk => aclk,
aclken => '0',
aresetn => '0',
debug_axi_chan_in(0) => NLW_i_synth_debug_axi_chan_in_UNCONNECTED(0),
debug_axi_pinc_in(21 downto 0) => NLW_i_synth_debug_axi_pinc_in_UNCONNECTED(21 downto 0),
debug_axi_poff_in(21 downto 0) => NLW_i_synth_debug_axi_poff_in_UNCONNECTED(21 downto 0),
debug_axi_resync_in => NLW_i_synth_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_i_synth_debug_core_nd_UNCONNECTED,
debug_phase(21 downto 0) => NLW_i_synth_debug_phase_UNCONNECTED(21 downto 0),
debug_phase_nd => NLW_i_synth_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_i_synth_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_i_synth_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_i_synth_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_i_synth_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_i_synth_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_i_synth_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => event_s_phase_tlast_missing,
event_s_phase_tlast_unexpected => NLW_i_synth_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(15) => \^m_axis_data_tdata\(11),
m_axis_data_tdata(14 downto 11) => NLW_i_synth_m_axis_data_tdata_UNCONNECTED(14 downto 11),
m_axis_data_tdata(10 downto 0) => \^m_axis_data_tdata\(10 downto 0),
m_axis_data_tlast => NLW_i_synth_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => '0',
m_axis_data_tuser(0) => NLW_i_synth_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(0) => NLW_i_synth_m_axis_phase_tdata_UNCONNECTED(0),
m_axis_phase_tlast => NLW_i_synth_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => '0',
m_axis_phase_tuser(0) => NLW_i_synth_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => NLW_i_synth_m_axis_phase_tvalid_UNCONNECTED,
s_axis_config_tdata(0) => '0',
s_axis_config_tlast => '0',
s_axis_config_tready => NLW_i_synth_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => '0',
s_axis_phase_tdata(23 downto 22) => B"00",
s_axis_phase_tdata(21 downto 0) => s_axis_phase_tdata(21 downto 0),
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_i_synth_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
aclk : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "dds_compiler_0,dds_compiler_v6_0_13,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "dds_compiler_v6_0_13,Vivado 2016.4";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of U0 : label is 22;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of U0 : label is 1;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of U0 : label is 1;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of U0 : label is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of U0 : label is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of U0 : label is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of U0 : label is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of U0 : label is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of U0 : label is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of U0 : label is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of U0 : label is 0;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of U0 : label is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of U0 : label is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of U0 : label is 1;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of U0 : label is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 8;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of U0 : label is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of U0 : label is 10000;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of U0 : label is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of U0 : label is 16;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of U0 : label is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of U0 : label is 1;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of U0 : label is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of U0 : label is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of U0 : label is 0;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of U0 : label is 1;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of U0 : label is 0;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of U0 : label is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of U0 : label is 12;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of U0 : label is 12;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of U0 : label is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of U0 : label is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of U0 : label is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of U0 : label is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of U0 : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of U0 : label is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of U0 : label is 24;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "artix7";
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13
port map (
aclk => aclk,
aclken => '1',
aresetn => '1',
debug_axi_chan_in(0) => NLW_U0_debug_axi_chan_in_UNCONNECTED(0),
debug_axi_pinc_in(21 downto 0) => NLW_U0_debug_axi_pinc_in_UNCONNECTED(21 downto 0),
debug_axi_poff_in(21 downto 0) => NLW_U0_debug_axi_poff_in_UNCONNECTED(21 downto 0),
debug_axi_resync_in => NLW_U0_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_U0_debug_core_nd_UNCONNECTED,
debug_phase(21 downto 0) => NLW_U0_debug_phase_UNCONNECTED(21 downto 0),
debug_phase_nd => NLW_U0_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_U0_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_U0_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_U0_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_U0_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => NLW_U0_event_s_phase_tlast_missing_UNCONNECTED,
event_s_phase_tlast_unexpected => NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(15 downto 0) => m_axis_data_tdata(15 downto 0),
m_axis_data_tlast => NLW_U0_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => '0',
m_axis_data_tuser(0) => NLW_U0_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(0) => NLW_U0_m_axis_phase_tdata_UNCONNECTED(0),
m_axis_phase_tlast => NLW_U0_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => '0',
m_axis_phase_tuser(0) => NLW_U0_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => NLW_U0_m_axis_phase_tvalid_UNCONNECTED,
s_axis_config_tdata(0) => '0',
s_axis_config_tlast => '0',
s_axis_config_tready => NLW_U0_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => '0',
s_axis_phase_tdata(23 downto 0) => s_axis_phase_tdata(23 downto 0),
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_U0_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
| gpl-3.0 | 2636b1e45777b857f7257e996b24adeb | 0.917774 | 1.928242 | false | false | false | false |
Hyvok/HardHeat | src/deadtime_gen.vhd | 1 | 1,459 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity deadtime_gen is
generic
(
-- Number of bits in the counter
DT_N : positive;
-- Amount of deadtime
DT_VAL : natural
);
port
(
clk : in std_logic;
reset : in std_logic;
sig_in : in std_logic;
sig_out : out std_logic;
sig_n_out : out std_logic
);
end entity;
architecture rtl of deadtime_gen is
begin
dt_gen_p: process(clk, reset)
variable count : unsigned(DT_N - 1 downto 0);
variable off : std_logic;
variable last_state : std_logic;
begin
if reset = '1' then
sig_out <= '0';
sig_n_out <= '0';
off := '0';
last_state := '0';
count := (others => '0');
elsif rising_edge(clk) then
if sig_in = last_state then
count := count + 1;
else
count := (others => '0');
end if;
if count <= DT_VAL then
off := '1';
else
off := '0';
end if;
if sig_in = '1' then
sig_out <= off;
else
sig_n_out <= off;
end if;
last_state := sig_in;
end if;
end process;
end;
| mit | 59ae1973dae690a86dcdda568d5d75a1 | 0.412611 | 4.075419 | false | false | false | false |
upci/upci | Projeto/ir.vhd | 1 | 1,467 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE work.processor_functions.all;
ENTITY ir IS
PORT (clk : IN STD_LOGIC; -- sinal de clock
nrst : IN STD_LOGIC; -- reset ativo em zero
IR_load : IN STD_LOGIC; -- indica se o IR esta no modo load
IR_valid : IN STD_LOGIC; -- indica se o IR esta ativo
IR_opcode : OUT opcode; -- sinal de saida com o opcode decodificado
IR_bus : INOUT STD_LOGIC_VECTOR(n-1 DOWNTO 0); -- acesso ao barramento externo
IR_opcode_leds: OUT STD_LOGIC_VECTOR(3 DOWNTO 0));
END ENTITY IR;
ARCHITECTURE RTL OF IR IS
SIGNAL IR_internal : STD_LOGIC_VECTOR (n-1 DOWNTO 0); -- sinal interno do IR
BEGIN
-- O IR, por padrao, eh configurado no modo address (passar valor interno para saida)
-- caso valid = 0, saida no barramento deve ir para Z
IR_bus <= IR_internal
WHEN IR_valid = '1'
ELSE (OTHERS => 'Z');
-- O opcode de saida deve ser decodificado assincronamente quando o valor no IR mudar.
IR_opcode <= Decode(IR_internal);
IR_opcode_leds <= IR_internal(n-1 DOWNTO n-oplen);
PROCESS (clk, nrst) IS
BEGIN
-- Se reset for para 0, o valor do registrador interno deve ir para 0s.
IF nrst = '0' THEN
IR_internal <= (OTHERS => '0');
ELSIF rising_edge(clk) THEN
IF IR_load = '1' THEN
IR_internal <= IR_bus; -- na borda de subida, o valor do barramento deve ser enviado para o registrador interno (modo load)
END IF;
END IF;
END PROCESS;
END ARCHITECTURE RTL; | gpl-2.0 | 1738bf82400c5cba0cff526d98cb417a | 0.672131 | 3.275168 | false | false | false | false |
Hyvok/HardHeat | sim/pwm/pwm_tb.vhd | 1 | 1,547 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity pwm_tb is
end entity;
architecture rtl of pwm_tb is
-- Main clock frequency 100 MHz
constant CLK_PERIOD : time := 1 sec / 10e7;
signal clk : std_logic := '0';
signal reset : std_logic;
signal enable : std_logic;
signal mod_lvl : unsigned(12 - 1 downto 0);
signal mod_lvl_f : std_logic;
begin
reset <= '1', '0' after 500 ns;
enable <= '1';
clk_gen: process(clk)
begin
clk <= not clk after CLK_PERIOD / 2;
end process;
DUT_inst: entity work.pwm(rtl)
generic map
(
COUNTER_N => 12,
MIN_MOD_LVL => 2**12 / 5,
ENABLE_ON_D => 100
)
port map
(
clk => clk,
reset => reset,
enable_in => enable,
mod_lvl_in => mod_lvl,
mod_lvl_f_in => mod_lvl_f
);
mod_lvl_gen: process(clk)
variable timer : unsigned(12 - 1 downto 0);
begin
if reset = '1' then
timer := (others => '0');
mod_lvl <= to_unsigned(2**12 / 2, mod_lvl'length);
mod_lvl_f <= '0';
elsif rising_edge(clk) then
mod_lvl_f <= '0';
if timer = 2**12 - 1 then
mod_lvl <= mod_lvl - 1;
mod_lvl_f <= '1';
end if;
timer := timer + 1;
end if;
end process;
end;
| mit | b59df2c733e5cd316c6d54aa4849e347 | 0.458306 | 3.589327 | false | false | false | false |
jz0229/open-ephys-pcie | serdes-interface/firmware/i2c_master_init.vhd | 2 | 5,691 | -- this is use to initilize the I2C pass through configuration need to communicate with remote I2C devices.
-- this runs on the host PC side.
-- by: Jie (Jack) Zhang MWL-MIT
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use ieee.numeric_std.all;
entity i2c_master_init is
port (
clk : in std_logic; --same clock for the i2c interface
reset : in std_logic;
busy : in std_logic;
ack_error : in std_logic;
i2c_ena_o : out std_logic;
rw_o : out std_logic;
device_id_o : out std_logic_vector(6 downto 0);
addr_o : out std_logic_vector(7 downto 0);
value_o : out std_logic_vector(7 downto 0);
user_rw : in std_logic;
user_device_id : in std_logic_vector(6 downto 0);
user_addr : in std_logic_vector(7 downto 0);
user_value : in std_logic_vector(7 downto 0)
);
end i2c_master_init;
architecture Behavioral of i2c_master_init is
--state machine
type init_sm_type is (IDLE, CONF, TX, ACKERR, CONFBUSY, TXBUSY);
signal init_sm, init_sm_next : init_sm_type;
--signals
signal addr, addr_next : std_logic_vector(7 downto 0);
signal value, value_next : std_logic_vector(7 downto 0);
signal device_id, device_id_next : std_logic_vector(6 downto 0);
signal i2c_ena, i2c_ena_next : std_logic;
signal rw, rw_next : std_logic;
--counters
signal confcnt, confcnt_next : unsigned(3 downto 0); --state counter
constant CONF_SIZE : integer := 6;
type addr_value_rom_type is array (0 to CONF_SIZE - 1) of std_logic_vector(7 downto 0);
type deviceid_rom_type is array (0 to CONF_SIZE - 1) of std_logic_vector(6 downto 0);
constant ADDR_ROM : addr_value_rom_type := (
"00100001", --0x21 (des)
"00000111", --0x7 (des) (serializer alias)
"00001000", --0x8 (des)
"00010000", --0x10 (des)
"00010001", --0x11 (ser)
"00010010" --0x12 (ser)
);
constant VALUE_ROM : addr_value_rom_type := (
"00010111", --7: I2C passthrough (1) 6:4 I2C SDA hold (001) 3:0 I2C filter depth (0111)
"10110000", --0x58<<1 ser alias
"10100000", --0x50<<1 slave device ID
"10100000", --0x50<<1 slave device alias
"01100100", --0x64 for 100KHz SCL rate (high time)
"01100100" --0x64 for 100KHz SCL rate (low time)
);
constant DEVICEID_ROM : deviceid_rom_type := (
"1100000", --"1100000": DES ID "1011000": SER ID
"1100000", --des
"1100000", --des
"1100000", --des
"1011000", --ser
"1011000" --ser
);
begin
device_id_o <= device_id;
addr_o <= addr;
value_o <= value;
i2c_ena_o <= i2c_ena;
rw_o <= rw;
init_proc : process (clk, reset)
begin
if (reset = '1') then
init_sm <= IDLE;
confcnt <= (others => '0');
addr <= (others => '0');
value <= (others => '0');
device_id <= (others => '0');
i2c_ena <= '0';
rw <= '0';
elsif (rising_edge(clk)) then
init_sm <= init_sm_next;
confcnt <= confcnt_next;
addr <= addr_next;
value <= value_next;
device_id <= device_id_next;
i2c_ena <= i2c_ena_next;
rw <= rw_next;
end if;
end process;
init_proc_next : process (clk, reset, rw, user_rw, init_sm, confcnt, i2c_ena, busy, ack_error, value, addr, device_id, user_addr, user_value, user_device_id)
begin
case init_sm is
when IDLE =>
if busy = '0' then
init_sm_next <= CONF;
else
init_sm_next <= IDLE;
end if;
i2c_ena_next <= '0';
confcnt_next <= (others => '0');
addr_next <= (others => '0');
value_next <= (others => '0');
device_id_next <= (others => '0');
rw_next <= '0';
when CONF =>
i2c_ena_next <= '1'; --assert i2c enable signal
rw_next <= '0'; --this is a write
confcnt_next <= confcnt;
if busy = '1' then
init_sm_next <= CONFBUSY;
else
init_sm_next <= CONF;
end if;
addr_next <= ADDR_ROM(to_integer(confcnt));
value_next <= VALUE_ROM(to_integer(confcnt));
device_id_next <= DEVICEID_ROM(to_integer(confcnt));
when CONFBUSY =>
i2c_ena_next <= '0'; --disable enable pin
rw_next <= rw;
if ack_error = '1' then
init_sm_next <= ACKERR;
confcnt_next <= confcnt; --do not increment this
elsif busy = '0' then --wait for busy go to low
if (confcnt = CONF_SIZE - 1) then
init_sm_next <= TX; --configuration is done
confcnt_next <= confcnt;
else
init_sm_next <= CONF; --continue other configuration
confcnt_next <= confcnt + 1; --increment the conf counter
end if;
else
init_sm_next <= CONFBUSY;
confcnt_next <= confcnt;
end if;
addr_next <= addr;
value_next <= value;
device_id_next <= device_id;
when ACKERR =>
if busy = '0' then
init_sm_next <= CONF;
else
init_sm_next <= ACKERR;
end if;
confcnt_next <= confcnt;
i2c_ena_next <= i2c_ena;
addr_next <= addr;
value_next <= value;
device_id_next <= device_id;
rw_next <= rw;
when TX =>
i2c_ena_next <= '1'; --assert i2c enable signal
confcnt_next <= confcnt;
if busy = '1' then
init_sm_next <= TXBUSY;
else
init_sm_next <= TX;
end if;
rw_next <= user_rw;
addr_next <= user_addr;
value_next <= user_value;
device_id_next <= user_device_id;
when TXBUSY =>
i2c_ena_next <= '0';
if busy = '0' then --wait for busy to drop low
init_sm_next <= TX;
else
init_sm_next <= TXBUSY;
end if;
rw_next <= rw;
addr_next <= addr;
value_next <= value;
device_id_next <= device_id;
confcnt_next <= confcnt;
end case;
end process;
end Behavioral; | mit | 118cb4f9519e6cdc9cf9078138abafac | 0.579336 | 2.893238 | false | false | false | false |
JeremySavonet/Eurobot-2017-Moon-Village | software/HPS_FPGA_LED/fpga/uart.vhd | 1 | 25,117 | --------------------------------------------------------------------------------
-- PROJECT: SIMPLE UART FOR FPGA
--------------------------------------------------------------------------------
-- MODULE: UART PARITY BIT GENERATOR
-- AUTHORS: Jakub Cabal <[email protected]>
-- lICENSE: The MIT License (MIT)
-- WEBSITE: https://github.com/jakubcabal/uart_for_fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity UART_PARITY is
Generic (
DATA_WIDTH : integer := 8;
PARITY_TYPE : string := "none" -- legal values: "none", "even", "odd", "mark", "space"
);
Port (
DATA_IN : in std_logic_vector(DATA_WIDTH-1 downto 0);
PARITY_OUT : out std_logic
);
end UART_PARITY;
architecture FULL of UART_PARITY is
begin
-- -------------------------------------------------------------------------
-- PARITY BIT GENERATOR
-- -------------------------------------------------------------------------
even_parity_g : if (PARITY_TYPE = "even") generate
process (DATA_IN)
variable parity_temp : std_logic;
begin
parity_temp := '0';
for i in DATA_IN'range loop
parity_temp := parity_temp XOR DATA_IN(i);
end loop;
PARITY_OUT <= parity_temp;
end process;
end generate;
odd_parity_g : if (PARITY_TYPE = "odd") generate
process (DATA_IN)
variable parity_temp : std_logic;
begin
parity_temp := '1';
for i in DATA_IN'range loop
parity_temp := parity_temp XOR DATA_IN(i);
end loop;
PARITY_OUT <= parity_temp;
end process;
end generate;
mark_parity_g : if (PARITY_TYPE = "mark") generate
PARITY_OUT <= '1';
end generate;
space_parity_g : if (PARITY_TYPE = "space") generate
PARITY_OUT <= '0';
end generate;
end FULL;
--------------------------------------------------------------------------------
-- PROJECT: SIMPLE UART FOR FPGA
--------------------------------------------------------------------------------
-- MODULE: UART RECEIVER
-- AUTHORS: Jakub Cabal <[email protected]>
-- lICENSE: The MIT License (MIT)
-- WEBSITE: https://github.com/jakubcabal/uart_for_fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity UART_RX is
Generic (
PARITY_BIT : string := "none" -- legal values: "none", "even", "odd", "mark", "space"
);
Port (
CLK : in std_logic; -- system clock
RST : in std_logic; -- high active synchronous reset
-- UART INTERFACE
UART_CLK_EN : in std_logic; -- oversampling (16x) UART clock enable
UART_RXD : in std_logic;
-- USER DATA OUTPUT INTERFACE
DATA_OUT : out std_logic_vector(7 downto 0);
DATA_VLD : out std_logic; -- when DATA_VLD = 1, data on DATA_OUT are valid
FRAME_ERROR : out std_logic -- when FRAME_ERROR = 1, stop bit was invalid, current and next data may be invalid
);
end UART_RX;
architecture FULL of UART_RX is
signal rx_clk_en : std_logic;
signal rx_ticks : unsigned(3 downto 0);
signal rx_clk_divider_en : std_logic;
signal rx_data : std_logic_vector(7 downto 0);
signal rx_bit_count : unsigned(2 downto 0);
signal rx_bit_count_en : std_logic;
signal rx_data_shreg_en : std_logic;
signal rx_parity_bit : std_logic;
signal rx_parity_error : std_logic;
signal rx_parity_check_en : std_logic;
signal rx_output_reg_en : std_logic;
type state is (idle, startbit, databits, paritybit, stopbit);
signal rx_pstate : state;
signal rx_nstate : state;
begin
-- -------------------------------------------------------------------------
-- UART RECEIVER CLOCK DIVIDER
-- -------------------------------------------------------------------------
uart_rx_clk_divider : process (CLK)
begin
if (rising_edge(CLK)) then
if (rx_clk_divider_en = '1') then
if (uart_clk_en = '1') then
if (rx_ticks = "1111") then
rx_ticks <= (others => '0');
rx_clk_en <= '0';
elsif (rx_ticks = "0111") then
rx_ticks <= rx_ticks + 1;
rx_clk_en <= '1';
else
rx_ticks <= rx_ticks + 1;
rx_clk_en <= '0';
end if;
else
rx_ticks <= rx_ticks;
rx_clk_en <= '0';
end if;
else
rx_ticks <= (others => '0');
rx_clk_en <= '0';
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART RECEIVER BIT COUNTER
-- -------------------------------------------------------------------------
uart_rx_bit_counter : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
rx_bit_count <= (others => '0');
elsif (rx_bit_count_en = '1' AND rx_clk_en = '1') then
if (rx_bit_count = "111") then
rx_bit_count <= (others => '0');
else
rx_bit_count <= rx_bit_count + 1;
end if;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART RECEIVER DATA SHIFT REGISTER
-- -------------------------------------------------------------------------
uart_rx_data_shift_reg : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
rx_data <= (others => '0');
elsif (rx_clk_en = '1' AND rx_data_shreg_en = '1') then
rx_data <= UART_RXD & rx_data(7 downto 1);
end if;
end if;
end process;
DATA_OUT <= rx_data;
-- -------------------------------------------------------------------------
-- UART RECEIVER PARITY GENERATOR AND CHECK
-- -------------------------------------------------------------------------
uart_rx_parity_g : if (PARITY_BIT /= "none") generate
uart_rx_parity_gen_i: entity work.UART_PARITY
generic map (
DATA_WIDTH => 8,
PARITY_TYPE => PARITY_BIT
)
port map (
DATA_IN => rx_data,
PARITY_OUT => rx_parity_bit
);
uart_rx_parity_check_reg : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
rx_parity_error <= '0';
elsif (rx_parity_check_en = '1') then
rx_parity_error <= rx_parity_bit XOR UART_RXD;
end if;
end if;
end process;
end generate;
uart_rx_noparity_g : if (PARITY_BIT = "none") generate
rx_parity_error <= '0';
end generate;
-- -------------------------------------------------------------------------
-- UART RECEIVER OUTPUT REGISTER
-- -------------------------------------------------------------------------
uart_rx_output_reg : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
DATA_VLD <= '0';
FRAME_ERROR <= '0';
else
if (rx_output_reg_en = '1') then
DATA_VLD <= NOT rx_parity_error AND UART_RXD;
FRAME_ERROR <= NOT UART_RXD;
else
DATA_VLD <= '0';
FRAME_ERROR <= '0';
end if;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART RECEIVER FSM
-- -------------------------------------------------------------------------
-- PRESENT STATE REGISTER
process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
rx_pstate <= idle;
else
rx_pstate <= rx_nstate;
end if;
end if;
end process;
-- NEXT STATE AND OUTPUTS LOGIC
process (rx_pstate, UART_RXD, rx_clk_en, rx_bit_count)
begin
case rx_pstate is
when idle =>
rx_output_reg_en <= '0';
rx_bit_count_en <= '0';
rx_data_shreg_en <= '0';
rx_clk_divider_en <= '0';
rx_parity_check_en <= '0';
if (UART_RXD = '0') then
rx_nstate <= startbit;
else
rx_nstate <= idle;
end if;
when startbit =>
rx_output_reg_en <= '0';
rx_bit_count_en <= '0';
rx_data_shreg_en <= '0';
rx_clk_divider_en <= '1';
rx_parity_check_en <= '0';
if (rx_clk_en = '1') then
rx_nstate <= databits;
else
rx_nstate <= startbit;
end if;
when databits =>
rx_output_reg_en <= '0';
rx_bit_count_en <= '1';
rx_data_shreg_en <= '1';
rx_clk_divider_en <= '1';
rx_parity_check_en <= '0';
if ((rx_clk_en = '1') AND (rx_bit_count = "111")) then
if (PARITY_BIT = "none") then
rx_nstate <= stopbit;
else
rx_nstate <= paritybit;
end if ;
else
rx_nstate <= databits;
end if;
when paritybit =>
rx_output_reg_en <= '0';
rx_bit_count_en <= '0';
rx_data_shreg_en <= '0';
rx_clk_divider_en <= '1';
rx_parity_check_en <= '1';
if (rx_clk_en = '1') then
rx_nstate <= stopbit;
else
rx_nstate <= paritybit;
end if;
when stopbit =>
rx_bit_count_en <= '0';
rx_data_shreg_en <= '0';
rx_clk_divider_en <= '1';
rx_parity_check_en <= '0';
if (rx_clk_en = '1') then
rx_nstate <= idle;
rx_output_reg_en <= '1';
else
rx_nstate <= stopbit;
rx_output_reg_en <= '0';
end if;
when others =>
rx_output_reg_en <= '0';
rx_bit_count_en <= '0';
rx_data_shreg_en <= '0';
rx_clk_divider_en <= '0';
rx_parity_check_en <= '0';
rx_nstate <= idle;
end case;
end process;
end FULL;
--------------------------------------------------------------------------------
-- PROJECT: SIMPLE UART FOR FPGA
--------------------------------------------------------------------------------
-- MODULE: UART TRANSMITTER
-- AUTHORS: Jakub Cabal <[email protected]>
-- lICENSE: The MIT License (MIT)
-- WEBSITE: https://github.com/jakubcabal/uart_for_fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity UART_TX is
Generic (
PARITY_BIT : string := "none" -- legal values: "none", "even", "odd", "mark", "space"
);
Port (
CLK : in std_logic; -- system clock
RST : in std_logic; -- high active synchronous reset
-- UART INTERFACE
UART_CLK_EN : in std_logic; -- oversampling (16x) UART clock enable
UART_TXD : out std_logic;
-- USER DATA INPUT INTERFACE
DATA_IN : in std_logic_vector(7 downto 0);
DATA_SEND : in std_logic; -- when DATA_SEND = 1, data on DATA_IN will be transmit, DATA_SEND can set to 1 only when BUSY = 0
BUSY : out std_logic -- when BUSY = 1 transiever is busy, you must not set DATA_SEND to 1
);
end UART_TX;
architecture FULL of UART_TX is
signal tx_clk_en : std_logic;
signal tx_clk_divider_en : std_logic;
signal tx_ticks : unsigned(3 downto 0);
signal tx_data : std_logic_vector(7 downto 0);
signal tx_bit_count : unsigned(2 downto 0);
signal tx_bit_count_en : std_logic;
signal tx_busy : std_logic;
signal tx_parity_bit : std_logic;
signal tx_data_out_sel : std_logic_vector(1 downto 0);
type state is (idle, txsync, startbit, databits, paritybit, stopbit);
signal tx_pstate : state;
signal tx_nstate : state;
begin
BUSY <= tx_busy;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER CLOCK DIVIDER
-- -------------------------------------------------------------------------
uart_tx_clk_divider : process (CLK)
begin
if (rising_edge(CLK)) then
if (tx_clk_divider_en = '1') then
if (uart_clk_en = '1') then
if (tx_ticks = "1111") then
tx_ticks <= (others => '0');
tx_clk_en <= '0';
elsif (tx_ticks = "0001") then
tx_ticks <= tx_ticks + 1;
tx_clk_en <= '1';
else
tx_ticks <= tx_ticks + 1;
tx_clk_en <= '0';
end if;
else
tx_ticks <= tx_ticks;
tx_clk_en <= '0';
end if;
else
tx_ticks <= (others => '0');
tx_clk_en <= '0';
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER INPUT DATA REGISTER
-- -------------------------------------------------------------------------
uart_tx_input_data_reg : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
tx_data <= (others => '0');
elsif (DATA_SEND = '1' AND tx_busy = '0') then
tx_data <= DATA_IN;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER BIT COUNTER
-- -------------------------------------------------------------------------
uart_tx_bit_counter : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
tx_bit_count <= (others => '0');
elsif (tx_bit_count_en = '1' AND tx_clk_en = '1') then
if (tx_bit_count = "111") then
tx_bit_count <= (others => '0');
else
tx_bit_count <= tx_bit_count + 1;
end if;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER PARITY GENERATOR
-- -------------------------------------------------------------------------
uart_tx_parity_g : if (PARITY_BIT /= "none") generate
uart_tx_parity_gen_i: entity work.UART_PARITY
generic map (
DATA_WIDTH => 8,
PARITY_TYPE => PARITY_BIT
)
port map (
DATA_IN => tx_data,
PARITY_OUT => tx_parity_bit
);
end generate;
uart_tx_noparity_g : if (PARITY_BIT = "none") generate
tx_parity_bit <= 'Z';
end generate;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER OUTPUT DATA REGISTER
-- -------------------------------------------------------------------------
uart_tx_output_data_reg : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
UART_TXD <= '1';
else
case tx_data_out_sel is
when "01" => -- START BIT
UART_TXD <= '0';
when "10" => -- DATA BITS
UART_TXD <= tx_data(to_integer(tx_bit_count));
when "11" => -- PARITY BIT
UART_TXD <= tx_parity_bit;
when others => -- STOP BIT OR IDLE
UART_TXD <= '1';
end case;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER FSM
-- -------------------------------------------------------------------------
-- PRESENT STATE REGISTER
process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
tx_pstate <= idle;
else
tx_pstate <= tx_nstate;
end if;
end if;
end process;
-- NEXT STATE AND OUTPUTS LOGIC
process (tx_pstate, DATA_SEND, tx_clk_en, tx_bit_count)
begin
case tx_pstate is
when idle =>
tx_busy <= '0';
tx_data_out_sel <= "00";
tx_bit_count_en <= '0';
tx_clk_divider_en <= '0';
if (DATA_SEND = '1') then
tx_nstate <= txsync;
else
tx_nstate <= idle;
end if;
when txsync =>
tx_busy <= '1';
tx_data_out_sel <= "00";
tx_bit_count_en <= '0';
tx_clk_divider_en <= '1';
if (tx_clk_en = '1') then
tx_nstate <= startbit;
else
tx_nstate <= txsync;
end if;
when startbit =>
tx_busy <= '1';
tx_data_out_sel <= "01";
tx_bit_count_en <= '0';
tx_clk_divider_en <= '1';
if (tx_clk_en = '1') then
tx_nstate <= databits;
else
tx_nstate <= startbit;
end if;
when databits =>
tx_busy <= '1';
tx_data_out_sel <= "10";
tx_bit_count_en <= '1';
tx_clk_divider_en <= '1';
if ((tx_clk_en = '1') AND (tx_bit_count = "111")) then
if (PARITY_BIT = "none") then
tx_nstate <= stopbit;
else
tx_nstate <= paritybit;
end if ;
else
tx_nstate <= databits;
end if;
when paritybit =>
tx_busy <= '1';
tx_data_out_sel <= "11";
tx_bit_count_en <= '0';
tx_clk_divider_en <= '1';
if (tx_clk_en = '1') then
tx_nstate <= stopbit;
else
tx_nstate <= paritybit;
end if;
when stopbit =>
tx_busy <= '0';
tx_data_out_sel <= "00";
tx_bit_count_en <= '0';
tx_clk_divider_en <= '1';
if (DATA_SEND = '1') then
tx_nstate <= txsync;
elsif (tx_clk_en = '1') then
tx_nstate <= idle;
else
tx_nstate <= stopbit;
end if;
when others =>
tx_busy <= '1';
tx_data_out_sel <= "00";
tx_bit_count_en <= '0';
tx_clk_divider_en <= '0';
tx_nstate <= idle;
end case;
end process;
end FULL;
--------------------------------------------------------------------------------
-- PROJECT: SIMPLE UART FOR FPGA
--------------------------------------------------------------------------------
-- MODULE: UART TOP MODULE
-- AUTHORS: Jakub Cabal <[email protected]>
-- lICENSE: The MIT License (MIT)
-- WEBSITE: https://github.com/jakubcabal/uart_for_fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
-- UART FOR FPGA REQUIRES: 1 START BIT, 8 DATA BITS, 1 STOP BIT!!!
-- OTHER PARAMETERS CAN BE SET USING GENERICS.
entity UART is
Generic (
CLK_FREQ : integer := 50e6; -- set system clock frequency in Hz
BAUD_RATE : integer := 115200; -- baud rate value
PARITY_BIT : string := "none" -- legal values: "none", "even", "odd", "mark", "space"
);
Port (
CLK : in std_logic; -- system clock
RST : in std_logic; -- high active synchronous reset
-- UART INTERFACE
UART_TXD : out std_logic;
UART_RXD : in std_logic;
-- USER DATA INPUT INTERFACE
DATA_IN : in std_logic_vector(7 downto 0);
DATA_SEND : in std_logic; -- when DATA_SEND = 1, data on DATA_IN will be transmit, DATA_SEND can set to 1 only when BUSY = 0
BUSY : out std_logic; -- when BUSY = 1 transiever is busy, you must not set DATA_SEND to 1
-- USER DATA OUTPUT INTERFACE
DATA_OUT : out std_logic_vector(7 downto 0);
DATA_VLD : out std_logic; -- when DATA_VLD = 1, data on DATA_OUT are valid
FRAME_ERROR : out std_logic -- when FRAME_ERROR = 1, stop bit was invalid, current and next data may be invalid
);
end UART;
architecture FULL of UART is
constant divider_value : integer := CLK_FREQ/(16*BAUD_RATE);
signal uart_ticks : integer range 0 to divider_value-1;
signal uart_clk_en : std_logic;
signal uart_rxd_shreg : std_logic_vector(3 downto 0);
signal uart_rxd_debounced : std_logic;
begin
-- -------------------------------------------------------------------------
-- UART OVERSAMPLING CLOCK DIVIDER
-- -------------------------------------------------------------------------
uart_oversampling_clk_divider : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
uart_ticks <= 0;
uart_clk_en <= '0';
elsif (uart_ticks = divider_value-1) then
uart_ticks <= 0;
uart_clk_en <= '1';
else
uart_ticks <= uart_ticks + 1;
uart_clk_en <= '0';
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART RXD DEBAUNCER
-- -------------------------------------------------------------------------
uart_rxd_debouncer : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
uart_rxd_shreg <= (others => '1');
uart_rxd_debounced <= '1';
else
uart_rxd_shreg <= UART_RXD & uart_rxd_shreg(3 downto 1);
uart_rxd_debounced <= uart_rxd_shreg(0) OR
uart_rxd_shreg(1) OR
uart_rxd_shreg(2) OR
uart_rxd_shreg(3);
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER
-- -------------------------------------------------------------------------
uart_tx_i: entity work.UART_TX
generic map (
PARITY_BIT => PARITY_BIT
)
port map (
CLK => CLK,
RST => RST,
-- UART INTERFACE
UART_CLK_EN => uart_clk_en,
UART_TXD => UART_TXD,
-- USER DATA INPUT INTERFACE
DATA_IN => DATA_IN,
DATA_SEND => DATA_SEND,
BUSY => BUSY
);
-- -------------------------------------------------------------------------
-- UART RECEIVER
-- -------------------------------------------------------------------------
uart_rx_i: entity work.UART_RX
generic map (
PARITY_BIT => PARITY_BIT
)
port map (
CLK => CLK,
RST => RST,
-- UART INTERFACE
UART_CLK_EN => uart_clk_en,
UART_RXD => uart_rxd_debounced,
-- USER DATA OUTPUT INTERFACE
DATA_OUT => DATA_OUT,
DATA_VLD => DATA_VLD,
FRAME_ERROR => FRAME_ERROR
);
end FULL;
| gpl-3.0 | 6cff809a04c819ea4b6408c10f14f5d9 | 0.388223 | 4.554306 | false | false | false | false |
zhlinh/vhdl_course | Assignment/CHK_3BIT/CHK_3BIT.vhd | 1 | 2,917 | LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY CHK_3BIT IS
PORT(DIN:IN STD_LOGIC;
CLK,RESET:IN STD_LOGIC;
BIT3:IN STD_LOGIC_VECTOR(2 DOWNTO 0);
DOUT:OUT STD_LOGIC);
END ENTITY CHK_3BIT;
ARCHITECTURE ART1 OF CHK_3BIT IS
TYPE STATETYPE IS(S1,S2,S3,S4,S5,S6,S7,S8,S9,S10,S11,S12,S13,S14,S15);
SIGNAL PRESENT_STATE,NEXT_STATE:STATETYPE;
SIGNAL CHOSEN_SEQ:STD_LOGIC_VECTOR(7 DOWNTO 0):="00000000";
BEGIN
CHOOSE:PROCESS(BIT3)
BEGIN
--使用case语句时一定要列举完所有情况(善于应用others),否则编译通过但仿真通不过
CASE BIT3 IS
WHEN "000"=>CHOSEN_SEQ<="00000001";
WHEN "001"=>CHOSEN_SEQ<="00000010";
WHEN "010"=>CHOSEN_SEQ<="00000100";
WHEN "011"=>CHOSEN_SEQ<="00001000";
WHEN "100"=>CHOSEN_SEQ<="00010000";
WHEN "101"=>CHOSEN_SEQ<="00100000";
WHEN "110"=>CHOSEN_SEQ<="01000000";
WHEN "111"=>CHOSEN_SEQ<="10000000";
WHEN OTHERS =>CHOSEN_SEQ<="00000000";
END CASE;
END PROCESS;
COMB:PROCESS(PRESENT_STATE,DIN,CHOSEN_SEQ)
BEGIN
CASE PRESENT_STATE IS
WHEN S1=>DOUT<='0';
IF DIN='0'THEN
NEXT_STATE<=S2;
ELSE
NEXT_STATE<=S3;
END IF;
WHEN S2=>DOUT<='0';
IF DIN='0'THEN
NEXT_STATE<=S4;
ELSE
NEXT_STATE<=S5;
END IF;
WHEN S3=>DOUT<='0';
IF DIN='0'THEN
NEXT_STATE<=S6;
ELSE
NEXT_STATE<=S7;
END IF;
WHEN S4=>DOUT<='0';
IF DIN='0'THEN
NEXT_STATE<=S8;
ELSE
NEXT_STATE<=S9;
END IF;
WHEN S5=>DOUT<='0';
IF DIN='0'THEN
NEXT_STATE<=S10;
ELSE
NEXT_STATE<=S11;
END IF;
WHEN S6=>DOUT<='0';
IF DIN='0'THEN
NEXT_STATE<=S12;
ELSE
NEXT_STATE<=S13;
END IF;
WHEN S7=>DOUT<='0';
IF DIN='0'THEN
NEXT_STATE<=S14;
ELSE
NEXT_STATE<=S15;
END IF;
WHEN S8=>DOUT<=CHOSEN_SEQ(0);
IF DIN='0'THEN
NEXT_STATE<=S8;
ELSE
NEXT_STATE<=S9;
END IF;
WHEN S9=>DOUT<=CHOSEN_SEQ(1);
IF DIN='0'THEN
NEXT_STATE<=S10;
ELSE
NEXT_STATE<=S11;
END IF;
WHEN S10=>DOUT<=CHOSEN_SEQ(2);
IF DIN='0'THEN
NEXT_STATE<=S12;
ELSE
NEXT_STATE<=S13;
END IF;
WHEN S11=>DOUT<=CHOSEN_SEQ(3);
IF DIN='0'THEN
NEXT_STATE<=S14;
ELSE
NEXT_STATE<=S15;
END IF;
WHEN S12=>DOUT<=CHOSEN_SEQ(4);
IF DIN='0'THEN
NEXT_STATE<=S8;
ELSE
NEXT_STATE<=S9;
END IF;
WHEN S13=>DOUT<=CHOSEN_SEQ(5);
IF DIN='0'THEN
NEXT_STATE<=S10;
ELSE
NEXT_STATE<=S11;
END IF;
WHEN S14=>DOUT<=CHOSEN_SEQ(6);
IF DIN='0'THEN
NEXT_STATE<=S12;
ELSE
NEXT_STATE<=S13;
END IF;
WHEN S15=>DOUT<=CHOSEN_SEQ(7);
IF DIN='0'THEN
NEXT_STATE<=S14;
ELSE
NEXT_STATE<=S15;
END IF;
END CASE;
END PROCESS;
CLOCK:PROCESS(CLK,RESET)
BEGIN
IF(RESET='1')THEN
PRESENT_STATE<=S1;
ELSIF(CLK'EVENT AND CLK='1')THEN
PRESENT_STATE<=NEXT_STATE;
END IF;
END PROCESS;
END ARCHITECTURE ART1;
| apache-2.0 | 3184b70b1318a1164d895df7c1965772 | 0.594462 | 2.436379 | false | false | false | false |
JeremySavonet/Eurobot-2017-Moon-Village | software/HPS_FPGA_LED/fpga/debounce.vhd | 1 | 2,422 | --------------------------------------------------------------------------------
--
-- FileName: debounce.vhd
-- Dependencies: none
-- Design Software: Quartus II 32-bit Version 11.1 Build 173 SJ Full Version
--
-- HDL CODE IS PROVIDED "AS IS." DIGI-KEY EXPRESSLY DISCLAIMS ANY
-- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A
-- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL DIGI-KEY
-- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL
-- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF
-- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS
-- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF),
-- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS.
--
-- Version History
-- Version 1.0 3/26/2012 Scott Larson
-- Initial Public Release
--
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY debounce IS
GENERIC(
counter_size : INTEGER := 19); --counter size (19 bits gives 10.5ms with 50MHz clock)
PORT(
clk : IN STD_LOGIC; --input clock
button : IN STD_LOGIC; --input signal to be debounced
result : OUT STD_LOGIC); --debounced signal
END debounce;
ARCHITECTURE logic OF debounce IS
SIGNAL flipflops : STD_LOGIC_VECTOR(1 DOWNTO 0); --input flip flops
SIGNAL counter_set : STD_LOGIC; --sync reset to zero
SIGNAL counter_out : STD_LOGIC_VECTOR(counter_size DOWNTO 0) := (OTHERS => '0'); --counter output
BEGIN
counter_set <= flipflops(0) xor flipflops(1); --determine when to start/reset counter
PROCESS(clk)
BEGIN
IF(clk'EVENT and clk = '1') THEN
flipflops(0) <= button;
flipflops(1) <= flipflops(0);
If(counter_set = '1') THEN --reset counter because input is changing
counter_out <= (OTHERS => '0');
ELSIF(counter_out(counter_size) = '0') THEN --stable input time is not yet met
counter_out <= counter_out + 1;
ELSE --stable input time is met
result <= flipflops(1);
END IF;
END IF;
END PROCESS;
END logic;
| gpl-3.0 | afe42aa588d68616fb6645cb237697fe | 0.59455 | 4.126065 | false | false | false | false |
Hyvok/HardHeat | src/utils.vhd | 1 | 3,726 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package utils_pkg is
function log2(Arg : natural) return natural;
function ceil_log2(Arg : natural) return natural;
function shift_right_vec(Arg : std_logic_vector; Num : positive)
return std_logic_vector;
function shift_left_vec(Arg : std_logic_vector; Num : positive)
return std_logic_vector;
function shift_right_vec( Arg : std_logic_vector;
Num : positive;
NewBit : std_logic)
return std_logic_vector;
function shift_left_vec( Arg : std_logic_vector;
Num : positive;
NewBit : std_logic)
return std_logic_vector;
end package;
package body utils_pkg is
---------------------------------------------------------------------------
-- Function for calculating the base-2 logarithm
---------------------------------------------------------------------------
function log2(Arg : natural) return natural is
variable temp : integer := Arg;
variable ret_val : integer := 0;
begin
while temp > 1 loop
ret_val := ret_val + 1;
temp := temp / 2;
end loop;
return ret_val;
end function;
---------------------------------------------------------------------------
-- Function for calculating the minimum number of bits to represent Arg
---------------------------------------------------------------------------
function ceil_log2(Arg : natural) return natural is
variable RetVal : natural;
begin
RetVal := log2(Arg);
-- Round up
if (Arg > (2**RetVal)) then
return(RetVal + 1);
else
return(RetVal);
end if;
end function;
---------------------------------------------------------------------------
-- Shift an std_logic_vector right
---------------------------------------------------------------------------
function shift_right_vec(Arg : std_logic_vector; Num : positive)
return std_logic_vector is
begin
return(std_logic_vector(shift_right(unsigned(Arg), Num)));
end function;
---------------------------------------------------------------------------
-- Shift an std_logic_vector left
---------------------------------------------------------------------------
function shift_left_vec(Arg : std_logic_vector; Num : positive)
return std_logic_vector is
begin
return(std_logic_vector(shift_left(unsigned(Arg), Num)));
end function;
---------------------------------------------------------------------------
-- Shift an std_logic_vector right and put new bit to 'high
---------------------------------------------------------------------------
function shift_right_vec( Arg : std_logic_vector;
Num : positive;
NewBit : std_logic) return std_logic_vector is
variable vec : std_logic_vector(Arg'range);
begin
vec := std_logic_vector(shift_right(unsigned(Arg), Num));
vec(vec'high) := NewBit;
return(vec);
end function;
---------------------------------------------------------------------------
-- Shift an std_logic_vector left and put new bit to 'low
---------------------------------------------------------------------------
function shift_left_vec( Arg : std_logic_vector;
Num : positive;
NewBit : std_logic) return std_logic_vector is
variable vec : std_logic_vector(Arg'range);
begin
vec := std_logic_vector(shift_left(unsigned(Arg), Num));
vec(vec'low) := NewBit;
return(vec);
end function;
end package body;
| mit | b20b6c414af5e07ae32b72810ff5c0fe | 0.451422 | 4.698613 | false | false | false | false |
aylons/concordic | hdl/modules/cordic_core/inversion_stage.vhd | 1 | 3,539 | -------------------------------------------------------------------------------
-- Title : Inversion stage
-- Project :
-------------------------------------------------------------------------------
-- File : inversion_stage.vhd
-- Author : aylons <aylons@LNLS190>
-- Company :
-- Created : 2014-05-09
-- Last update: 2014-09-29
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: The CORDIC algorithm only converges to the proper value if the
-- initial point to be converted is in the right half plane. So, if the point is in
-- the left halfplane, rotate it 180o and apply the rotation value .
-------------------------------------------------------------------------------
-- This file is part of Concordic.
--
-- Concordic is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- Concordic is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with Foobar. If not, see <http://www.gnu.org/licenses/>.
-- Copyright (c) 2014 Aylons Hazzud
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2014-05-09 1.0 aylons Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
-------------------------------------------------------------------------------
entity inversion_stage is
generic (
g_mode : string := "rect_to_polar"
);
port (
x_i : in signed;
y_i : in signed;
z_i : in signed;
clk_i : in std_logic;
ce_i : in std_logic;
valid_i : in std_logic;
rst_i : in std_logic;
x_o : out signed;
y_o : out signed;
z_o : out signed;
valid_o : out std_logic := '0'
);
end entity inversion_stage;
-------------------------------------------------------------------------------
architecture str of inversion_stage is
constant width : integer := z_i'length;
constant rotation_angle : signed := to_signed(integer(-2**(width-1)), width);
-- rotate 180o
begin -- architecture str
process(clk_i) is
variable left_halfplane : boolean := false;
begin
if rising_edge(clk_i) then
if rst_i = '1' then
x_o <= (x_o'length-1 downto 0 => '0');
y_o <= (y_o'length-1 downto 0 => '0');
z_o <= (z_o'length-1 downto 0 => '0');
valid_o <= '0';
else
if ce_i = '1' then
left_halfplane := (x_i < 0);
if left_halfplane then
x_o <= -x_i;
y_o <= -y_i;
z_o <= rotation_angle;
else
x_o <= x_i;
y_o <= y_i;
z_o <= to_signed(0, width);
end if; -- left_halfplane
valid_o <= valid_i;
end if; --clock enable
end if; --reset
end if; --rising edge
end process;
end architecture str;
-------------------------------------------------------------------------------
| gpl-3.0 | 39952759fa2fed8f47fb0be8dd4c8d71 | 0.474145 | 4.163529 | false | false | false | false |
jz0229/open-ephys-pcie | serdes-interface/firmware/ipcore_dir/pll/example_design/pll_exdes.vhd | 2 | 6,041 | -- file: pll_exdes.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- Clocking wizard example design
------------------------------------------------------------------------------
-- This example design instantiates the created clocking network, where each
-- output clock drives a counter. The high bit of each counter is ported.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity pll_exdes is
generic (
TCQ : in time := 100 ps);
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Reset that only drives logic in example design
COUNTER_RESET : in std_logic;
CLK_OUT : out std_logic_vector(1 downto 1) ;
-- High bits of counters driven by clocks
COUNT : out std_logic;
-- Status and control signals
RESET : in std_logic;
LOCKED : out std_logic
);
end pll_exdes;
architecture xilinx of pll_exdes is
-- Parameters for the counters
---------------------------------
-- Counter width
constant C_W : integer := 16;
-- When the clock goes out of lock, reset the counters
signal locked_int : std_logic;
signal reset_int : std_logic := '0';
-- Declare the clocks and counter
signal clk : std_logic;
signal clk_int : std_logic;
signal clk_n : std_logic;
signal counter : std_logic_vector(C_W-1 downto 0) := (others => '0');
signal rst_sync : std_logic;
signal rst_sync_int : std_logic;
signal rst_sync_int1 : std_logic;
signal rst_sync_int2 : std_logic;
component pll is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic;
-- Status and control signals
RESET : in std_logic;
LOCKED : out std_logic
);
end component;
begin
-- Alias output to internally used signal
LOCKED <= locked_int;
-- When the clock goes out of lock, reset the counters
reset_int <= (not locked_int) or RESET or COUNTER_RESET;
process (clk, reset_int) begin
if (reset_int = '1') then
rst_sync <= '1';
rst_sync_int <= '1';
rst_sync_int1 <= '1';
rst_sync_int2 <= '1';
elsif (clk 'event and clk='1') then
rst_sync <= '0';
rst_sync_int <= rst_sync;
rst_sync_int1 <= rst_sync_int;
rst_sync_int2 <= rst_sync_int1;
end if;
end process;
-- Instantiation of the clocking network
----------------------------------------
clknetwork : pll
port map
(-- Clock in ports
CLK_IN1 => CLK_IN1,
-- Clock out ports
CLK_OUT1 => clk_int,
-- Status and control signals
RESET => RESET,
LOCKED => locked_int);
clk_n <= not clk;
clkout_oddr : ODDR2
port map
(Q => CLK_OUT(1),
C0 => clk,
C1 => clk_n,
CE => '1',
D0 => '1',
D1 => '0',
R => '0',
S => '0');
-- Connect the output clocks to the design
-------------------------------------------
clk <= clk_int;
-- Output clock sampling
-------------------------------------
process (clk, rst_sync_int2) begin
if (rst_sync_int2 = '1') then
counter <= (others => '0') after TCQ;
elsif (rising_edge(clk)) then
counter <= counter + 1 after TCQ;
end if;
end process;
-- alias the high bit to the output
COUNT <= counter(C_W-1);
end xilinx;
| mit | 24efa5ab17a62c22d1839ed57f6c9924 | 0.592121 | 4.046216 | false | false | false | false |
upci/upci | Projeto/clock_divisor.vhd | 1 | 956 | -------- Clock Divisor -----------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE work.processor_functions.all;
----------------------------------------------------------------------------------
ENTITY clock_divisor IS
PORT (clk, nrst : IN STD_LOGIC; -- clock de entrada, que é o de 25MHz da placa
clk_out : BUFFER STD_LOGIC); -- clock de saída, configurável pelo "clk_frequency" de "processor_functions"
END ENTITY clock_divisor;
----------------------------------------------------------------------------------
ARCHITECTURE rtl OF clock_divisor IS
BEGIN
PROCESS (clk, nrst)
VARIABLE count : INTEGER RANGE 0 TO clk_frequency;
BEGIN
IF (nrst = '0') THEN
count := 0;
clk_out <= '0';
ELSIF rising_edge(clk) THEN
count := count + 1;
IF (count = clk_frequency) THEN
clk_out <= NOT clk_out;
count := 0;
END IF;
END IF;
END PROCESS;
END rtl; | gpl-2.0 | b6cd23099df6532e98b7f57cec3904c2 | 0.493179 | 4.125541 | false | false | false | false |
jz0229/open-ephys-pcie | serdes-interface/firmware/TB_main_sm.vhd | 2 | 6,922 | --------------------------------------------------------------------------------
--test bench for the main state machine
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.numeric_std.all;
ENTITY TB_main_sm IS
END TB_main_sm;
ARCHITECTURE behavior OF TB_main_sm IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT main_sm
PORT(
clk_spi : IN std_logic;
reset : IN std_logic;
miso_reg : IN std_logic_vector(15 downto 0);
data_lclkin : IN std_logic;
spi_start_o : OUT std_logic;
command_o : OUT std_logic_vector(15 downto 0);
hsync_o : out std_logic
);
END COMPONENT;
--SPI data merger unit
component data_merge is
port(
pclk : in std_logic;
reset : in std_logic;
data_rdy_pcie : in std_logic; --this is generated from the SPI interface. Here we must sample this line using 50MHz clock
vsync_o : out std_logic;
stream1 : in std_logic_vector(15 downto 0);
stream2 : in std_logic_vector(15 downto 0);
stream3 : in std_logic_vector(15 downto 0);
stream4 : in std_logic_vector(15 downto 0);
dout_o : out std_logic_vector(7 downto 0)
);
end component;
--FIFO module
COMPONENT fifo_test
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END COMPONENT;
--SPI data split
component data_split is
port(
--dataclk : in std_logic; --100MHz clk
pclk : in std_logic;
reset : in std_logic;
vsync : in std_logic;
din : in std_logic_vector(7 downto 0);
stream1_o : out std_logic_vector(15 downto 0); --this is the output to write to fifo
stream2_o : out std_logic_vector(15 downto 0);
stream3_o : out std_logic_vector(15 downto 0);
stream4_o : out std_logic_vector(15 downto 0);
vsync_pcie_o : out std_logic
);
end component;
--SPI module
component SPI_module is
port(
clk_spi : in std_logic; --spi clock from toplevel
reset : in std_logic; --reset
spi_start : in std_logic; --spi initiate
command_in : in std_logic_vector(15 downto 0); --parallel command input vector
--SPI inputs
miso_i : in std_logic;
--SPI outputs
cs_o : out std_logic;
sclk_o : out std_logic; --sclk is always 2x slower than clk_spi
mosi_o : out std_logic;
--data latch clock
data_lclk_o : out std_logic;
data_rdy_pcie_o : out std_logic;
data_pcie_A_o : out std_logic_vector(15 downto 0);
data_pcie_B_o : out std_logic_vector(15 downto 0);
miso_reg_A_o : out std_logic_vector(15 downto 0);
miso_reg_B_o : out std_logic_vector(15 downto 0)
);
end component;
--Inputs
signal clk_spi : std_logic := '0';
signal reset : std_logic := '0';
signal miso_reg_A, miso_reg_B : std_logic_vector(15 downto 0) := (others => '0');
signal data_lclkin : std_logic := '0';
signal miso : std_logic := '1';
signal clk84M : std_logic := '0';
--Outputs
signal spi_start_o : std_logic;
signal data_rdy_pcie_o : std_logic;
signal data_pcie_A_o, data_pcie_B_o : std_logic_vector(15 downto 0);
signal command_o : std_logic_vector(15 downto 0);
signal cs, sclk, mosi : std_logic;
signal dout_o : std_logic_vector(7 downto 0);
signal vsync_o : std_logic;
signal pclk : std_logic;
signal stream1, stream2, stream3, stream4 : std_logic_vector(15 downto 0);
signal hsync_o : std_logic;
signal vsync_pcie_o : std_logic;
signal fifo_in : std_logic_vector(15 downto 0);
signal fifo_wr_enb_o : std_logic;
signal fifo_rd_enb_o : std_logic;
signal fifo_out_o : std_logic_vector(15 downto 0); --output to the main dataclk statemachine on pci
-- Clock period definitions
--constant clk_spi_period : time := 11.90476 ns;
constant clk84M_period : time := 11.90476 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
mainstatement: main_sm PORT MAP (
clk_spi => clk_spi,
reset => reset,
miso_reg => miso_reg_A,
data_lclkin => data_lclkin,
spi_start_o => spi_start_o,
command_o => command_o,
hsync_o => hsync_o
);
--SPI data merger unit
merge: data_merge port map (
pclk => clk_spi,
reset => reset,
data_rdy_pcie => data_rdy_pcie_o, --this is generated from the SPI interface. Here we must sample this line using 50MHz clock
vsync_o => vsync_o,
stream1 => data_pcie_A_o,
stream2 => data_pcie_B_o,
stream3 => "0011110101010101",
stream4 => "1100000001111110",
dout_o => dout_o
);
-- fifo_block: fifo_test
-- PORT map(
-- rst => reset,
-- wr_clk => pclk,
-- rd_clk => clk84M,
-- din => stream1,
-- wr_en => fifo_wr_enb_o,
-- rd_en => fifo_rd_enb_o,
-- dout => fifo_in,
-- full => open,
-- empty => open);
--SPI data split unit
spliter: data_split port map (
--dataclk => clk84M,
pclk => clk_spi, --2 times slower than clk84M
reset => reset,
vsync => vsync_o,
din => dout_o,
--fifo_in => fifo_in,
--fifo_wr_enb_o => fifo_wr_enb_o,
--fifo_rd_enb_o => fifo_rd_enb_o,
--fifo_out_o => fifo_out_o, --output to the main dataclk statemachine on pci
stream1_o => stream1,
stream2_o => stream2,
stream3_o => stream3,
stream4_o => stream4,
vsync_pcie_o => vsync_pcie_o
);
spimodule: SPI_module PORT MAP (
clk_spi => clk_spi,
reset => reset,
spi_start => spi_start_o,
command_in => command_o, --read from 40 to 44 registers
--SPI inputs
miso_i => miso,
--SPI outputs
cs_o => cs,
sclk_o => sclk, --sclk is always 2x slower than clk_spi
mosi_o => mosi,
--data latch clock
data_lclk_o => data_lclkin,
data_rdy_pcie_o => data_rdy_pcie_o,
data_pcie_A_o => data_pcie_A_o,
data_pcie_B_o => data_pcie_B_o,
miso_reg_A_o => miso_reg_A,
miso_reg_B_o => miso_reg_B
);
-- Clock process definitions
clk_spi_process :process
begin
clk_spi <= '0';
wait for clk84M_period;
clk_spi <= '1';
wait for clk84M_period;
end process;
-- Clock process definitions
clk84M_process :process
begin
clk84M <= '0';
wait for clk84M_period/2;
clk84M <= '1';
wait for clk84M_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
reset <= '1';
wait for 100 ns;
reset <= '0';
wait for clk84M_period*10;
-- insert stimulus here
wait;
end process;
END;
| mit | a7f7eb3135e84a491700154d4c51f351 | 0.57989 | 3.098478 | false | false | false | false |
jz0229/open-ephys-pcie | serdes-interface/firmware/ipcore_dir/pll/simulation/timing/pll_tb.vhd | 2 | 7,391 | -- file: pll_tb.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- Clocking wizard demonstration testbench
------------------------------------------------------------------------------
-- This demonstration testbench instantiates the example design for the
-- clocking wizard. Input clocks are toggled, which cause the clocking
-- network to lock and the counters to increment.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
library std;
use std.textio.all;
library work;
use work.all;
entity pll_tb is
end pll_tb;
architecture test of pll_tb is
-- Clock to Q delay of 100 ps
constant TCQ : time := 100 ps;
-- timescale is 1ps
constant ONE_NS : time := 1 ns;
-- how many cycles to run
constant COUNT_PHASE : integer := 1024 + 1;
-- we'll be using the period in many locations
constant PER1 : time := 10.0 ns;
-- Declare the input clock signals
signal CLK_IN1 : std_logic := '1';
-- The high bit of the sampling counter
signal COUNT : std_logic;
-- Status and control signals
signal RESET : std_logic := '0';
signal LOCKED : std_logic;
signal COUNTER_RESET : std_logic := '0';
signal timeout_counter : std_logic_vector (13 downto 0) := (others => '0');
-- signal defined to stop mti simulation without severity failure in the report
signal end_of_sim : std_logic := '0';
signal CLK_OUT : std_logic_vector(1 downto 1);
--Freq Check using the M & D values setting and actual Frequency generated
component pll_exdes
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Reset that only drives logic in example design
COUNTER_RESET : in std_logic;
CLK_OUT : out std_logic_vector(1 downto 1) ;
-- High bits of counters driven by clocks
COUNT : out std_logic;
-- Status and control signals
RESET : in std_logic;
LOCKED : out std_logic
);
end component;
begin
-- Input clock generation
--------------------------------------
process begin
CLK_IN1 <= not CLK_IN1; wait for (PER1/2);
end process;
-- Test sequence
process
procedure simtimeprint is
variable outline : line;
begin
write(outline, string'("## SYSTEM_CYCLE_COUNTER "));
write(outline, NOW/PER1);
write(outline, string'(" ns"));
writeline(output,outline);
end simtimeprint;
procedure simfreqprint (period : time; clk_num : integer) is
variable outputline : LINE;
variable str1 : string(1 to 16);
variable str2 : integer;
variable str3 : string(1 to 2);
variable str4 : integer;
variable str5 : string(1 to 4);
begin
str1 := "Freq of CLK_OUT(";
str2 := clk_num;
str3 := ") ";
str4 := 1000000 ps/period ;
str5 := " MHz" ;
write(outputline, str1 );
write(outputline, str2);
write(outputline, str3);
write(outputline, str4);
write(outputline, str5);
writeline(output, outputline);
end simfreqprint;
begin
report "Timing checks are not valid" severity note;
RESET <= '1';
wait for (PER1*6);
RESET <= '0';
wait until LOCKED = '1';
wait for (PER1*20);
COUNTER_RESET <= '1';
wait for (PER1*19.5);
COUNTER_RESET <= '0';
wait for (PER1*1);
report "Timing checks are valid" severity note;
wait for (PER1*COUNT_PHASE);
simtimeprint;
end_of_sim <= '1';
wait for 1 ps;
report "Simulation Stopped." severity failure;
wait;
end process;
process (CLK_IN1)
procedure simtimeprint is
variable outline : line;
begin
write(outline, string'("## SYSTEM_CYCLE_COUNTER "));
write(outline, NOW/PER1);
write(outline, string'(" ns"));
writeline(output,outline);
end simtimeprint;
begin
if (CLK_IN1'event and CLK_IN1='1') then
timeout_counter <= timeout_counter + '1';
if (timeout_counter = "10000000000000") then
if (LOCKED /= '1') then
simtimeprint;
report "NO LOCK signal" severity failure;
end if;
end if;
end if;
end process;
-- Instantiation of the example design containing the clock
-- network and sampling counters
-----------------------------------------------------------
dut : pll_exdes
port map
(-- Clock in ports
CLK_IN1 => CLK_IN1,
-- Reset for logic in example design
COUNTER_RESET => COUNTER_RESET,
CLK_OUT => CLK_OUT,
-- High bits of the counters
COUNT => COUNT,
-- Status and control signals
RESET => RESET,
LOCKED => LOCKED);
-- Freq Check
end test;
| mit | f9926738f7f79ee948754e322b505d40 | 0.608984 | 4.237959 | false | false | false | false |
jz0229/open-ephys-pcie | serdes-interface/firmware/top.vhd | 2 | 13,208 | ----------------------------------------------------------------------------------
--Top module for Headstage SerDes FPGA
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.VComponents.all;
entity top is
port(
clk_in : in std_logic; --input clock form oscillator
reset : in std_logic; --master system reset
pclk : out std_logic; --PCLK on the FPD chip
dout : out std_logic_vector(11 downto 0); --din on the serializer side of the FPD chip.
--LVDS outputs goes to the intan chips
cs_p : out std_logic;
cs_n : out std_logic;
sclk_p : out std_logic;
sclk_n : out std_logic;
mosi_p : out std_logic;
mosi_n : out std_logic;
--LVDS inputs for driving two 64 channel intan chips
miso_chip1_p : in std_logic;
miso_chip1_n : in std_logic;
miso_chip2_p : in std_logic;
miso_chip2_n : in std_logic;
--VS, HSYNC output - this is named as vsync and hsync just for consistency with the PCB layout
vsync_o : out std_logic; --signal one data block
hsync_o : out std_logic; --signals at only channel 0 for OE synchronization
--POT SPI interface use to config digital POT for LED driver
cs_pot_o : out std_logic; --LEDSPI2 --N5
sclk_pot_o : out std_logic; --LEDSPI0 --N4
din_pot_o :out std_logic; --LEDSPI1 --P5
--LED enable input signals
LED_GPO_0 : in std_logic;
--LED SPI interface
led_clk_o : out std_logic; --LED2
led_data_o : out std_logic; --LED0
led_latch_o : out std_logic; --LED1
--LED active output signals
LED0_active : out std_logic;
LED1_active : out std_logic
);
end top;
architecture Behavioral of top is
signal clk84M, clk42M, clk21M, clk2M, clk50M, clk10M, clk5M, clk1M, clk50K, clk500K, clk_spi, clk_pot_spi, clktest, clk2Hz, clk4Hz: std_logic;
signal count_bit : unsigned(11 downto 0);
signal cs, sclk, mosi, spi_start, data_lclk, mosi_dl : std_logic;
signal miso_chip1, miso_chip2 : std_logic;
signal miso_reg : std_logic_vector(15 downto 0);
signal command, command_dl, pot_command, led_command: std_logic_vector(15 downto 0);
signal pot_state, pot_config_enb: std_logic;
signal cs_pot, sclk_pot, din_pot : std_logic;
signal led_clk, led_data, led_latch : std_logic;
signal data_rdy_pcie : std_logic;
signal data_pcie_A, data_pcie_B, data_pcie_C, data_pcie_D : std_logic_vector(15 downto 0);
signal hsync, vsync : std_logic;
--clock divider
component clk_div is
generic (MAXD: natural:=5);
port(
clk: in std_logic;
reset: in std_logic;
div: in integer range 0 to MAXD;
div_clk: out std_logic
);
end component;
--main state machine
component main_sm
port(
clk_spi : IN std_logic;
reset : IN std_logic;
miso_reg : IN std_logic_vector(15 downto 0);
data_lclkin : IN std_logic;
spi_start_o : OUT std_logic;
command_o : OUT std_logic_vector(15 downto 0);
hsync_o : out std_logic
);
end component;
--SPI data merger unit
component data_merge is
port(
pclk : in std_logic;
reset : in std_logic;
data_rdy_pcie : in std_logic; --this is generated from the SPI interface. Here we must sample this line using 50MHz clock
vsync_o : out std_logic;
stream1 : in std_logic_vector(15 downto 0);
stream2 : in std_logic_vector(15 downto 0);
stream3 : in std_logic_vector(15 downto 0);
stream4 : in std_logic_vector(15 downto 0);
dout_o : out std_logic_vector(7 downto 0)
);
end component;
--"SPI" interface for the LED driver chip
component SPI_LEDdriver
port(
clk_spi : IN std_logic;
reset : IN std_logic;
write_start : IN std_logic;
command_in : IN std_logic_vector(15 downto 0);
led_clk_o : OUT std_logic;
led_data_o : OUT std_logic;
led_latch_o : OUT std_logic
);
end component;
--84MHz clock module
component pll
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic;
-- Status and control signals
RESET : in std_logic;
LOCKED : out std_logic
);
end component;
--SPI module
component SPI_module is
port(
clk_spi : in std_logic; --spi clock from toplevel
reset : in std_logic; --reset
spi_start : in std_logic; --spi initiate
command_in : in std_logic_vector(15 downto 0); --parallel command input vector
--SPI inputs
miso_i : in std_logic;
--SPI outputs
cs_o : out std_logic;
sclk_o : out std_logic; --sclk is always 2x slower than clk_spi
mosi_o : out std_logic;
--data latch clock
data_lclk_o : out std_logic;
data_rdy_pcie_o : out std_logic;
data_pcie_A_o : out std_logic_vector(15 downto 0);
data_pcie_B_o : out std_logic_vector(15 downto 0);
miso_reg_A_o : out std_logic_vector(15 downto 0);
miso_reg_B_o : out std_logic_vector(15 downto 0)
);
end component;
begin
--internal signal mapped to pins
pclk <= clk42M;
cs_pot_o <= cs_pot;
sclk_pot_o <= sclk_pot;
din_pot_o <= din_pot;
--debug signals sent through last 4 LSB of dout
dout(1) <= '0';
dout(3) <= sclk;
dout(2) <= miso_chip1;
dout(0) <= hsync;
--h vsync
hsync_o <= hsync;
vsync_o <= vsync;
--led control
led_clk_o <= led_clk;
led_data_o <= led_data;
led_latch_o <= led_latch;
--clock selection
clk_spi <= clk42M; --clk42M;
clk_pot_spi <= clk500K; --for the digital pot
--LVDS mapping ==============================================================
--outputs
lvds_mosi_map : OBUFDS generic map(IOSTANDARD => "LVDS_33") port map(O => mosi_p, OB => mosi_n, I => mosi);
lvds_sclk_map : OBUFDS generic map(IOSTANDARD => "LVDS_33") port map(O => sclk_p, OB => sclk_n, I => sclk);
lvds_cs_map : OBUFDS generic map(IOSTANDARD => "LVDS_33") port map(O => cs_p, OB => cs_n, I => cs);
--inputs
lvds_miso_chip1_map : IBUFGDS generic map (DIFF_TERM => FALSE, IBUF_LOW_PWR => TRUE, IOSTANDARD => "LVDS_33") port map (O => miso_chip1, I => miso_chip1_p, IB => miso_chip1_n);
lvds_miso_chip2_map : IBUFGDS generic map (DIFF_TERM => FALSE, IBUF_LOW_PWR => TRUE, IOSTANDARD => "LVDS_33") port map (O => miso_chip2, I => miso_chip2_p, IB => miso_chip2_n);
--clock dividers
-----------------------------------------------------------------------------
clk_div_84M: pll --from 100MHz to 84MHz
port map(CLK_IN1=>clk_in, reset=>reset,CLK_OUT1=>clk84M, LOCKED=>open);
clk_div_42M: clk_div generic map(MAXD=>2) --from 84MHz to 42MHz
port map(clk=>clk84M, reset=>reset,div=>2, div_clk=>clk42M);
clk_div_50M: clk_div generic map(MAXD=>2) --from 100MHz to 50MHz
port map(clk=>clk84M, reset=>reset,div=>2, div_clk=>clk50M);
clk_div_10M: clk_div generic map(MAXD=>5) --from 50MHz to 10MHz
port map(clk=>clk50M, reset=>reset,div=>5, div_clk=>clk10M);
clk_div_5M: clk_div generic map(MAXD=>2) --from 10MHz to 5MHz
port map(clk=>clk10M, reset=>reset,div=>2, div_clk=>clk5M);
clk_div_1M: clk_div generic map(MAXD=>5) --from 5MHz to 1MHz
port map(clk=>clk5M, reset=>reset,div=>5, div_clk=>clk1M); --not a 50% duty cycle clock
clk_div_500K: clk_div generic map(MAXD=>2) --from 1MHz to 500KHz
port map(clk=>clk1M, reset=>reset,div=>2, div_clk=>clk500K); --not a 50% duty cycle clock
clk_div_debug_only: clk_div generic map(MAXD=>40) --from 5MHz to 1MHz
port map(clk=>clk500K, reset=>reset,div=>40, div_clk=>clktest); --not a 50% duty cycle clock
-----------------------------------------------------------------------------
--map LED active to clk2Hz
LED0_active <= LED_GPO_0;
LED1_active <= LED_GPO_0;
mini_cnt_proc: process(spi_start, reset)
begin
if (reset = '1') then
count_bit <= (others=>'0');
elsif (falling_edge(spi_start)) then
count_bit <= count_bit + 1;
end if;
end process;
--generate command
--command <= "11" & std_logic_vector(to_unsigned(41,6)) & "00000000"; --read from 40 to 44 registers
--configuration sequence
-- 7654 3210
--R0 0x80DE "1101 1110"
--R1 0x8102 "0000 0010" -ADC buffer bias, 2 for >700 KS/s sampling rate.
--R2 0x8204 "0000 0100" -MUX bias 4 for >700 KS/s sampling rate
--R3 0x8302 "0000 0010" -digital out HiZ
--R4 0x845F "0101 1111" -MISO pull to highZ when CS is pulled high. twocomp. no absmode, DSP offset remove, k_freq = 0.000004857Hz
--R5 0x8500 "0000 0000" -disable impedance check
--R6 0x8600 "0000 0000" -disable impedance check DAC
--R7 0x8700 "0000 0000" -disable impedance check amplifier
--R8 0x8811 "0001 0001" -RH1 DAC1: 17 upper cutoff 10KHz
--R9 0x8980 "1000 0000" -RH1 DAC2: 0
--R10 0x8A10 "0001 0000" -RH2 DAC1: 16
--R11 0x8B80 "1000 0000" -RH2 DAC2: 0
--R12 0x8C10 "0001 0000" -RL DAC1
--R13 0x8DDC "1101 1100" -RL DAC2:28 DAC3:1 cutoff: 0.1HZ??????????????????????? confirm
--R14 0x8EFF "1111 1111"
--R15 0x8FFF "1111 1111"
--R16 0x90FF "1111 1111"
--R17 0x91FF "1111 1111"
--main statemachine
--this state machine generates command and puts those commands into the SPI module to serialize to the headstage
mainstatement: main_sm PORT MAP (
clk_spi => clk_spi,
reset => reset,
miso_reg => miso_reg,
data_lclkin => data_lclk,
spi_start_o => spi_start,
command_o => command,
hsync_o => hsync
);
--SPI data merger unit
merge: data_merge port map (
pclk => clk42M, --this gets 50MHz, should be the same as pclk frequency
reset => reset,
data_rdy_pcie => data_rdy_pcie, --this is generated from the SPI interface. Here we must sample this line using 50MHz clock
vsync_o => vsync, --link this directly to vsync_o output
stream1 => data_pcie_A,
stream2 => data_pcie_B,
stream3 => data_pcie_C,
stream4 => data_pcie_D,
dout_o => dout(11 downto 4) ---debug
);
--SPI module------------------------------------------------------
SPI_intan_chip1: SPI_module
port map(
clk_spi => clk_spi,
reset => reset,
spi_start => spi_start,
command_in => command, --read from 40 to 44 registers
--SPI inputs
miso_i => miso_chip1,
--SPI outputs
cs_o => cs,
sclk_o => sclk, --sclk is always 2x slower than clk_spi
mosi_o => mosi,
--data latch clock
data_lclk_o => data_lclk,
data_rdy_pcie_o => data_rdy_pcie,
data_pcie_A_o => data_pcie_A,
data_pcie_B_o => data_pcie_B,
miso_reg_A_o => miso_reg,
miso_reg_B_o => open
);
--SPI module------------------------------------------------------
SPI_intan_chip2: SPI_module
port map(
clk_spi => clk_spi,
reset => reset,
spi_start => spi_start,
command_in => command, --read from 40 to 44 registers
--SPI inputs
miso_i => miso_chip2,
--SPI outputs
cs_o => open,
sclk_o => open, --sclk is always 2x slower than clk_spi
mosi_o => open,
--data latch clock
data_lclk_o => open,
data_rdy_pcie_o => open,
data_pcie_A_o => data_pcie_C,
data_pcie_B_o => data_pcie_D,
miso_reg_A_o => open,
miso_reg_B_o => open
);
--LED development-------------------------------------------------------------
--generate the one shot for configuration
on_shot_pot: process(clk_pot_spi, reset)
begin
if (reset = '1') then
pot_state <= '0';
pot_config_enb <= '0';
elsif (rising_edge(clk_pot_spi)) then
if pot_state = '0' then
pot_state <= '1';
pot_config_enb <= '1';
else
pot_state <= '1';
pot_config_enb <= '0';
end if;
end if;
end process;
--pot command: write wiper information to register A and B.
--[C1 C0]="00"
--[A1 A0]="11"
pot_command <= "00" & "00" & "00" & "11" & "11011010"; --10K Ohm
--variable resistor (POT) SPI module--------------------------------------------------
SPI_imu: SPI_module
port map(
clk_spi => clk_pot_spi, --keep the frequency aroudn 1MHz or even slower
reset => reset,
spi_start => clktest, --generate a 1-shot configuration (get this from the main state_machine?)
command_in => pot_command, --read from 40 to 44 registers
--SPI inputs
miso_i => '0', --ground the miso for the pot because there is no output
--SPI outputs
cs_o => cs_pot,
sclk_o => sclk_pot, --sclk is always 2x slower than clk_spi
mosi_o => din_pot,
--data latch clock
data_lclk_o => open,
data_rdy_pcie_o => open,
data_pcie_A_o => open,
data_pcie_B_o => open,
miso_reg_A_o => open,
miso_reg_B_o => open
);
--LED configuration command
--led_command <= "1111111111111111"; --all on
led_command <= "0000000000000011";
-- Instantiate the Unit Under Test (UUT)
leddirver: SPI_LEDdriver PORT MAP (
clk_spi => clk_pot_spi,
reset => reset,
write_start => clktest,
command_in => led_command,
led_clk_o => led_clk,
led_data_o => led_data,
led_latch_o => led_latch
);
end Behavioral;
| mit | 890da0bfedd9adb7c506986048f5bc8d | 0.589794 | 3.012087 | false | false | false | false |
zhlinh/vhdl_course | Assignment/FREQ_CNT/D_LATCH.vhd | 1 | 720 | --Latch
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY D_LATCH IS
PORT( LATCH_EN: IN STD_LOGIC;
D1_IN: IN STD_LOGIC_VECTOR(3 DOWNTO 0);
D2_IN: IN STD_LOGIC_VECTOR(3 DOWNTO 0);
D3_IN: IN STD_LOGIC_VECTOR(3 DOWNTO 0);
D1_OUT: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
D2_OUT: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
D3_OUT: OUT STD_LOGIC_VECTOR(3 DOWNTO 0));
END ENTITY D_LATCH;
ARCHITECTURE ART1 OF D_LATCH IS
BEGIN
PROCESS(LATCH_EN)
BEGIN
IF (LATCH_EN='1') THEN
D1_OUT <= D1_IN;
D2_OUT <= D2_IN;
D3_OUT <= D3_IN;
ELSE
D1_OUT <= "0000";
D2_OUT <= "0000";
D3_OUT <= "0000";
END IF;
END PROCESS;
END ARCHITECTURE ART1;
| apache-2.0 | bce604968974d41907e307963bb327f3 | 0.648611 | 2.376238 | false | false | false | false |
cafe-alpha/wasca | obsolete/fpga_firmware_V2/ip_repo/ABus2AXI4Lite/hdl/ABus2AXI4Lite.vhd | 2 | 15,694 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ABus2AXI4Lite is
generic (
-- Users to add parameters here
-- User parameters ends
-- Do not modify the parameters beyond this line
-- Parameters of Axi Master Bus Interface M00_AXI
C_MASTER_AXI_TARGET_SLAVE_BASE_ADDR : std_logic_vector := x"00000000";
C_MASTER_AXI_ADDR_WIDTH : integer := 32;
C_MASTER_AXI_DATA_WIDTH : integer := 32;
C_SLAVE_AXI_ADDR_WIDTH : integer := 32;
C_SLAVE_AXI_DATA_WIDTH : integer := 32;
C_FILESYS_AXI_ADDR_WIDTH : integer := 32;
C_FILESYS_AXI_DATA_WIDTH : integer := 32
);
port (
-- abus ports
abus_address : in std_logic_vector(25 downto 0) := (others => '0'); -- abus.address
abus_data_in : in std_logic_vector(15 downto 0) := (others => '0'); -- abus.addressdata
abus_data_out : out std_logic_vector(15 downto 0) := (others => '0'); -- abus.addressdata
abus_data_direction : out std_logic := '0'; -- .direction
abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
abus_read : in std_logic := '0'; -- .read
abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write
abus_wait : out std_logic := '1'; -- .waitrequest
abus_wait_direction : out std_logic := '0'; -- .direction
abus_irq : out std_logic := '0'; -- .interrupt
abus_irq_direction : out std_logic := '0'; -- .direction
abus_reset : in std_logic := '0'; -- .saturn_reset
-- Ports of Axi Master Bus Interface
master_axi_aclk : in std_logic;
master_axi_aresetn : in std_logic;
master_axi_awaddr : out std_logic_vector(C_MASTER_AXI_ADDR_WIDTH-1 downto 0);
master_axi_awprot : out std_logic_vector(2 downto 0);
master_axi_awvalid : out std_logic;
master_axi_awready : in std_logic;
master_axi_wdata : out std_logic_vector(C_MASTER_AXI_DATA_WIDTH-1 downto 0);
master_axi_wstrb : out std_logic_vector(C_MASTER_AXI_DATA_WIDTH/8-1 downto 0);
master_axi_wvalid : out std_logic;
master_axi_wready : in std_logic;
master_axi_bresp : in std_logic_vector(1 downto 0);
master_axi_bvalid : in std_logic;
master_axi_bready : out std_logic;
master_axi_araddr : out std_logic_vector(C_MASTER_AXI_ADDR_WIDTH-1 downto 0);
master_axi_arprot : out std_logic_vector(2 downto 0);
master_axi_arvalid : out std_logic;
master_axi_arready : in std_logic;
master_axi_rdata : in std_logic_vector(C_MASTER_AXI_DATA_WIDTH-1 downto 0);
master_axi_rresp : in std_logic_vector(1 downto 0);
master_axi_rvalid : in std_logic;
master_axi_rready : out std_logic;
-- Ports of Slave Bus Interface
slave_axi_aclk : in std_logic;
slave_axi_aresetn : in std_logic;
slave_axi_awaddr : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0);
slave_axi_awprot : in std_logic_vector(2 downto 0);
slave_axi_awvalid : in std_logic;
slave_axi_awready : out std_logic;
slave_axi_wdata : in std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0);
slave_axi_wstrb : in std_logic_vector(C_SLAVE_AXI_DATA_WIDTH/8-1 downto 0);
slave_axi_wvalid : in std_logic;
slave_axi_wready : out std_logic;
slave_axi_bresp : out std_logic_vector(1 downto 0);
slave_axi_bvalid : out std_logic;
slave_axi_bready : in std_logic;
slave_axi_araddr : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0);
slave_axi_arprot : in std_logic_vector(2 downto 0);
slave_axi_arvalid : in std_logic;
slave_axi_arready : out std_logic;
slave_axi_rdata : out std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0);
slave_axi_rresp : out std_logic_vector(1 downto 0);
slave_axi_rvalid : out std_logic;
slave_axi_rready : in std_logic
-- -- Ports of Filesys Bus Interface
-- filesys_axi_aclk : in std_logic;
-- filesys_axi_aresetn : in std_logic;
-- filesys_axi_awaddr : in std_logic_vector(C_FILESYS_AXI_ADDR_WIDTH-1 downto 0);
-- filesys_axi_awprot : in std_logic_vector(2 downto 0);
-- filesys_axi_awvalid : in std_logic;
-- filesys_axi_awready : out std_logic;
-- filesys_axi_wdata : in std_logic_vector(C_FILESYS_AXI_DATA_WIDTH-1 downto 0);
-- filesys_axi_wstrb : in std_logic_vector(C_FILESYS_AXI_DATA_WIDTH/8-1 downto 0);
-- filesys_axi_wvalid : in std_logic;
-- filesys_axi_wready : out std_logic;
-- filesys_axi_bresp : out std_logic_vector(1 downto 0);
-- filesys_axi_bvalid : out std_logic;
-- filesys_axi_bready : in std_logic;
-- filesys_axi_araddr : in std_logic_vector(C_FILESYS_AXI_ADDR_WIDTH-1 downto 0);
-- filesys_axi_arprot : in std_logic_vector(2 downto 0);
-- filesys_axi_arvalid : in std_logic;
-- filesys_axi_arready : out std_logic;
-- filesys_axi_rdata : out std_logic_vector(C_FILESYS_AXI_DATA_WIDTH-1 downto 0);
-- filesys_axi_rresp : out std_logic_vector(1 downto 0);
-- filesys_axi_rvalid : out std_logic;
-- filesys_axi_rready : in std_logic
);
end ABus2AXI4Lite;
architecture arch_imp of ABus2AXI4Lite is
-- component declaration
component ABus2AXI4Lite_Master_AXI is
generic (
C_MASTER_TARGET_SLAVE_BASE_ADDR : std_logic_vector := x"40000000";
C_MASTER_AXI_ADDR_WIDTH : integer := 32;
C_MASTER_AXI_DATA_WIDTH : integer := 32
);
port (
abus_address : in std_logic_vector(25 downto 0) := (others => '0'); -- abus.address
abus_data_in : in std_logic_vector(15 downto 0) := (others => '0'); -- abus.addressdata
abus_data_out : out std_logic_vector(15 downto 0) := (others => '0'); -- abus.addressdata
abus_data_direction : out std_logic := '0'; -- .direction
abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
abus_read : in std_logic := '0'; -- .read
abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write
abus_wait : out std_logic := '1'; -- .waitrequest
abus_wait_direction : out std_logic := '0'; -- .direction
abus_irq : out std_logic := '0'; -- .interrupt
abus_irq_direction : out std_logic := '0'; -- .direction
abus_reset : in std_logic := '0'; -- .saturn_reset
MASTER_AXI_ACLK : in std_logic;
MASTER_AXI_ARESETN : in std_logic;
MASTER_AXI_AWADDR : out std_logic_vector(C_MASTER_AXI_ADDR_WIDTH-1 downto 0);
MASTER_AXI_AWPROT : out std_logic_vector(2 downto 0);
MASTER_AXI_AWVALID : out std_logic;
MASTER_AXI_AWREADY : in std_logic;
MASTER_AXI_WDATA : out std_logic_vector(C_MASTER_AXI_DATA_WIDTH-1 downto 0);
MASTER_AXI_WSTRB : out std_logic_vector(C_MASTER_AXI_DATA_WIDTH/8-1 downto 0);
MASTER_AXI_WVALID : out std_logic;
MASTER_AXI_WREADY : in std_logic;
MASTER_AXI_BRESP : in std_logic_vector(1 downto 0);
MASTER_AXI_BVALID : in std_logic;
MASTER_AXI_BREADY : out std_logic;
MASTER_AXI_ARADDR : out std_logic_vector(C_MASTER_AXI_ADDR_WIDTH-1 downto 0);
MASTER_AXI_ARPROT : out std_logic_vector(2 downto 0);
MASTER_AXI_ARVALID : out std_logic;
MASTER_AXI_ARREADY : in std_logic;
MASTER_AXI_RDATA : in std_logic_vector(C_MASTER_AXI_DATA_WIDTH-1 downto 0);
MASTER_AXI_RRESP : in std_logic_vector(1 downto 0);
MASTER_AXI_RVALID : in std_logic;
MASTER_AXI_RREADY : out std_logic
);
end component ABus2AXI4Lite_Master_AXI;
component ABus2AXI4Lite_Slave_AXI is
generic (
C_SLAVE_AXI_ADDR_WIDTH : integer := 32;
C_SLAVE_AXI_DATA_WIDTH : integer := 32
);
port (
PCNTR : out std_logic_vector(15 downto 0);
STATUS : out std_logic_vector(15 downto 0);
MODE : in std_logic_vector(15 downto 0);
HWVER : in std_logic_vector(15 downto 0);
SWVER : out std_logic_vector(15 downto 0);
SLAVE_AXI_ACLK : in std_logic;
SLAVE_AXI_ARESETN : in std_logic;
SLAVE_AXI_AWADDR : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0);
SLAVE_AXI_AWPROT : in std_logic_vector(2 downto 0);
SLAVE_AXI_AWVALID : in std_logic;
SLAVE_AXI_AWREADY : out std_logic;
SLAVE_AXI_WDATA : in std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0);
SLAVE_AXI_WSTRB : in std_logic_vector((C_SLAVE_AXI_DATA_WIDTH/8)-1 downto 0);
SLAVE_AXI_WVALID : in std_logic;
SLAVE_AXI_WREADY : out std_logic;
SLAVE_AXI_BRESP : out std_logic_vector(1 downto 0);
SLAVE_AXI_BVALID : out std_logic;
SLAVE_AXI_BREADY : in std_logic;
SLAVE_AXI_ARADDR : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0);
SLAVE_AXI_ARPROT : in std_logic_vector(2 downto 0);
SLAVE_AXI_ARVALID : in std_logic;
SLAVE_AXI_ARREADY : out std_logic;
SLAVE_AXI_RDATA : out std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0);
SLAVE_AXI_RRESP : out std_logic_vector(1 downto 0);
SLAVE_AXI_RVALID : out std_logic;
SLAVE_AXI_RREADY : in std_logic
);
end component;
component ABus2AXI4Lite_Filesys_regs_AXI is
generic (
C_FILESYS_AXI_DATA_WIDTH : integer := 32;
C_FILESYS_AXI_ADDR_WIDTH : integer := 5
);
port (
FILESYS_AXI_ACLK : in std_logic;
FILESYS_AXI_ARESETN : in std_logic;
FILESYS_AXI_AWADDR : in std_logic_vector(C_FILESYS_AXI_ADDR_WIDTH-1 downto 0);
FILESYS_AXI_AWPROT : in std_logic_vector(2 downto 0);
FILESYS_AXI_AWVALID : in std_logic;
FILESYS_AXI_AWREADY : out std_logic;
FILESYS_AXI_WDATA : in std_logic_vector(C_FILESYS_AXI_DATA_WIDTH-1 downto 0);
FILESYS_AXI_WSTRB : in std_logic_vector((C_FILESYS_AXI_DATA_WIDTH/8)-1 downto 0);
FILESYS_AXI_WVALID : in std_logic;
FILESYS_AXI_WREADY : out std_logic;
FILESYS_AXI_BRESP : out std_logic_vector(1 downto 0);
FILESYS_AXI_BVALID : out std_logic;
FILESYS_AXI_BREADY : in std_logic;
FILESYS_AXI_ARADDR : in std_logic_vector(C_FILESYS_AXI_ADDR_WIDTH-1 downto 0);
FILESYS_AXI_ARPROT : in std_logic_vector(2 downto 0);
FILESYS_AXI_ARVALID : in std_logic;
FILESYS_AXI_ARREADY : out std_logic;
FILESYS_AXI_RDATA : out std_logic_vector(C_FILESYS_AXI_DATA_WIDTH-1 downto 0);
FILESYS_AXI_RRESP : out std_logic_vector(1 downto 0);
FILESYS_AXI_RVALID : out std_logic;
FILESYS_AXI_RREADY : in std_logic
);
end component;
signal PCNTR : std_logic_vector(15 downto 0) := X"0000";
signal STATUS : std_logic_vector(15 downto 0) := X"0000";
signal MODE : std_logic_vector(15 downto 0) := X"0000";
signal HWVER : std_logic_vector(15 downto 0) := X"0100";
signal SWVER : std_logic_vector(15 downto 0) := X"0000";
begin
--the master interface goes to DDR3 memory
--it needs mode signal to disable reading or writing memory in certain modes
ABus2AXI4Lite_Master_AXI_inst : ABus2AXI4Lite_Master_AXI
generic map (
C_MASTER_TARGET_SLAVE_BASE_ADDR => C_MASTER_AXI_TARGET_SLAVE_BASE_ADDR,
C_MASTER_AXI_ADDR_WIDTH => C_MASTER_AXI_ADDR_WIDTH,
C_MASTER_AXI_DATA_WIDTH => C_MASTER_AXI_DATA_WIDTH
)
port map (
abus_address => abus_address,
abus_data_in => abus_data_in,
abus_data_out => abus_data_out,
abus_data_direction => abus_data_direction,
abus_chipselect => abus_chipselect,
abus_read => abus_read,
abus_write => abus_write,
abus_wait => abus_wait,
abus_wait_direction => abus_wait_direction,
abus_irq => abus_irq,
abus_irq_direction => abus_irq_direction,
abus_reset => abus_reset,
MASTER_AXI_ACLK => master_axi_aclk,
MASTER_AXI_ARESETN => master_axi_aresetn,
MASTER_AXI_AWADDR => master_axi_awaddr,
MASTER_AXI_AWPROT => master_axi_awprot,
MASTER_AXI_AWVALID => master_axi_awvalid,
MASTER_AXI_AWREADY => master_axi_awready,
MASTER_AXI_WDATA => master_axi_wdata,
MASTER_AXI_WSTRB => master_axi_wstrb,
MASTER_AXI_WVALID => master_axi_wvalid,
MASTER_AXI_WREADY => master_axi_wready,
MASTER_AXI_BRESP => master_axi_bresp,
MASTER_AXI_BVALID => master_axi_bvalid,
MASTER_AXI_BREADY => master_axi_bready,
MASTER_AXI_ARADDR => master_axi_araddr,
MASTER_AXI_ARPROT => master_axi_arprot,
MASTER_AXI_ARVALID => master_axi_arvalid,
MASTER_AXI_ARREADY => master_axi_arready,
MASTER_AXI_RDATA => master_axi_rdata,
MASTER_AXI_RRESP => master_axi_rresp,
MASTER_AXI_RVALID => master_axi_rvalid,
MASTER_AXI_RREADY => master_axi_rready
);
ABus2AXI4Lite_Slave_AXI_inst : ABus2AXI4Lite_Slave_AXI
generic map (
C_SLAVE_AXI_ADDR_WIDTH => C_SLAVE_AXI_ADDR_WIDTH,
C_SLAVE_AXI_DATA_WIDTH => C_SLAVE_AXI_DATA_WIDTH
)
port map(
PCNTR => PCNTR,
STATUS => STATUS,
MODE => MODE,
HWVER => HWVER,
SWVER => SWVER,
SLAVE_AXI_ACLK => slave_axi_aclk,
SLAVE_AXI_ARESETN => slave_axi_aresetn,
SLAVE_AXI_AWADDR => slave_axi_awaddr,
SLAVE_AXI_AWPROT => slave_axi_awprot,
SLAVE_AXI_AWVALID => slave_axi_awvalid,
SLAVE_AXI_AWREADY => slave_axi_awready,
SLAVE_AXI_WDATA => slave_axi_wdata,
SLAVE_AXI_WSTRB => slave_axi_wstrb,
SLAVE_AXI_WVALID => slave_axi_wvalid,
SLAVE_AXI_WREADY => slave_axi_wready,
SLAVE_AXI_BRESP => slave_axi_bresp,
SLAVE_AXI_BVALID => slave_axi_bvalid,
SLAVE_AXI_BREADY => slave_axi_bready,
SLAVE_AXI_ARADDR => slave_axi_araddr,
SLAVE_AXI_ARPROT => slave_axi_arprot,
SLAVE_AXI_ARVALID => slave_axi_arvalid,
SLAVE_AXI_ARREADY => slave_axi_arready,
SLAVE_AXI_RDATA => slave_axi_rdata,
SLAVE_AXI_RRESP => slave_axi_rresp,
SLAVE_AXI_RVALID => slave_axi_rvalid,
SLAVE_AXI_RREADY => slave_axi_rready
);
--ABus2AXI4Lite_Filesys_AXI_inst : ABus2AXI4Lite_Filesys_regs_AXI
-- generic map (
-- C_FILESYS_AXI_ADDR_WIDTH => C_FILESYS_AXI_ADDR_WIDTH,
-- C_FILESYS_AXI_DATA_WIDTH => C_FILESYS_AXI_DATA_WIDTH
-- )
-- port map(
-- FILESYS_AXI_ACLK => filesys_axi_aclk,
-- FILESYS_AXI_ARESETN => filesys_axi_aresetn,
-- FILESYS_AXI_AWADDR => filesys_axi_awaddr,
-- FILESYS_AXI_AWPROT => filesys_axi_awprot,
-- FILESYS_AXI_AWVALID => filesys_axi_awvalid,
-- FILESYS_AXI_AWREADY => filesys_axi_awready,
-- FILESYS_AXI_WDATA => filesys_axi_wdata,
-- FILESYS_AXI_WSTRB => filesys_axi_wstrb,
-- FILESYS_AXI_WVALID => filesys_axi_wvalid,
-- FILESYS_AXI_WREADY => filesys_axi_wready,
-- FILESYS_AXI_BRESP => filesys_axi_bresp,
-- FILESYS_AXI_BVALID => filesys_axi_bvalid,
-- FILESYS_AXI_BREADY => filesys_axi_bready,
-- FILESYS_AXI_ARADDR => filesys_axi_araddr,
-- FILESYS_AXI_ARPROT => filesys_axi_arprot,
-- FILESYS_AXI_ARVALID => filesys_axi_arvalid,
-- FILESYS_AXI_ARREADY => filesys_axi_arready,
-- FILESYS_AXI_RDATA => filesys_axi_rdata,
-- FILESYS_AXI_RRESP => filesys_axi_rresp,
-- FILESYS_AXI_RVALID => filesys_axi_rvalid,
-- FILESYS_AXI_RREADY => filesys_axi_rready
-- );
-- Add user logic here
-- User logic ends
end arch_imp;
| gpl-2.0 | 71fbca9856401efae22b356e48b095fa | 0.61686 | 3.042062 | false | false | false | false |
seyedmaysamlavasani/GorillaPP | apps/multiProtocolNpu/build/synthesis/asic/FreePDK45/osu_soc/lib/source/signalstorm/files/gscl45nm.vhdl | 3 | 97,387 | LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
LIBRARY IEEE;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
package prim is
CONSTANT DefCombSpikeMsgOn : BOOLEAN := true;
CONSTANT DefCombSpikeXOn : BOOLEAN := true;
CONSTANT DefSeqMsgOn : BOOLEAN := true;
CONSTANT DefSeqXOn : BOOLEAN := true;
CONSTANT DefDummyDelay : VitalDelayType := 1.00 ns;
CONSTANT DefDummySetup : VitalDelayType := 1.00 ns;
CONSTANT DefDummyHold : VitalDelayType := 1.00 ns;
CONSTANT DefDummyWidth : VitalDelayType := 1.00 ns;
CONSTANT DefDummyRecovery : VitalDelayType := 1.00 ns;
CONSTANT DefDummyRemoval : VitalDelayType := 1.00 ns;
CONSTANT DefDummyIpd : VitalDelayType := 0.00 ns;
CONSTANT DefDummyIsd : VitalDelayType := 0.00 ns;
CONSTANT DefDummyIcd : VitalDelayType := 0.00 ns;
CONSTANT udp_dff : VitalStateTableType (1 TO 21, 1 TO 7) := (
-- NOTIFIER D CLK RN S Q(t) Q(t+1)
( 'X', '-', '-', '-', '-', '-', 'X' ),
( '-', '-', '-', '-', '1', '-', '1' ),
( '-', '-', '-', '1', '0', '-', '0' ),
( '-', '0', '/', '-', '0', '-', '0' ),
( '-', '1', '/', '0', '-', '-', '1' ),
( '-', '1', '*', '0', '-', '1', '1' ),
( '-', '0', '*', '-', '0', '0', '0' ),
( '-', '-', '\', '-', '-', '-', 'S' ),
( '-', '*', 'B', '-', '-', '-', 'S' ),
( '-', '-', 'B', '0', '*', '1', '1' ),
( '-', '1', 'X', '0', '*', '1', '1' ),
( '-', '-', 'B', '*', '0', '0', '0' ),
( '-', '0', 'X', '*', '0', '0', '0' ),
( '-', 'B', 'r', '-', '-', '-', 'X' ),
( '-', '/', 'X', '-', '-', '-', 'X' ),
( '-', '-', '-', '-', '*', '-', 'X' ),
( '-', '-', '-', '*', '-', '-', 'X' ),
( '-', '-', 'f', '-', '-', '-', 'X' ),
( '-', '\', 'X', '0', '-', '-', 'X' ),
( '-', 'B', 'X', '-', '-', '-', 'S' ),
( '-', '-', 'S', '-', '-', '-', 'S' ));
CONSTANT udp_tlat : VitalStateTableType (1 TO 20, 1 TO 7) := (
-- NOT D G R S Q(t) Q(t+1)
( 'X', '-', '-', '-', '-', '-', 'X' ),
( '-', '-', '-', '-', '1', '-', '1' ),
( '-', '-', '-', '1', '0', '-', '0' ),
( '-', '1', '1', '0', '-', '-', '1' ),
( '-', '0', '1', '-', '0', '-', '0' ),
( '-', '1', '*', '0', '-', '1', '1' ),
( '-', '0', '*', '-', '0', '0', '0' ),
( '-', '*', '0', '-', '-', '-', 'S' ),
( '-', '-', '0', '0', '*', '1', '1' ),
( '-', '1', '-', '0', '*', '1', '1' ),
( '-', '-', '0', '*', '0', '0', '0' ),
( '-', '0', '-', '*', '0', '0', '0' ),
( '-', '0', '-', '-', '0', '0', '0' ),
( '-', '1', '-', '0', '-', '1', '1' ),
( '-', '*', '-', '-', '-', '-', 'X' ),
( '-', '-', '-', '*', '-', '-', 'X' ),
( '-', '-', '-', '-', '*', '-', 'X' ),
( '-', 'B', 'r', '0', '0', '-', 'X' ),
( '-', 'B', 'X', '0', '0', '-', 'S' ),
( '-', '-', 'S', '-', '-', '-', 'S' ) );
CONSTANT udp_rslat : VitalStateTableType (1 TO 12, 1 TO 5) := (
-- NOT R S Q(t) Q(t+1)
( 'X', '-', '-', '-', 'X' ),
( '-', '-', '1', '-', '1' ),
( '-', '1', '0', '-', '0' ),
( '-', '0', '-', '1', '1' ),
( '-', '-', '0', '0', '0' ),
( '-', '-', '-', '-', 'S' ),
( '-', '0', '*', '1', '1' ),
( '-', '*', '0', '0', '0' ),
( '-', '-', '0', '0', '0' ),
( '-', '0', '-', '1', '1' ),
( '-', '*', '-', '-', 'X' ),
( '-', '-', '*', '-', 'X' ) );
end prim;
package body prim is
end prim;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity AND2X1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.335241 ns, 0.243067 ns);
tpd_B_Y : VitalDelayType01 := (0.341203 ns, 0.249226 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of AND2X1 : entity is TRUE;
end AND2X1;
architecture behavioral of AND2X1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalAND2(A_ipd, B_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity AND2X2 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.061801 ns, 0.064675 ns);
tpd_B_Y : VitalDelayType01 := (0.0615099 ns, 0.0700575 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of AND2X2 : entity is TRUE;
end AND2X2;
architecture behavioral of AND2X2 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalAND2(A_ipd, B_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity AOI21X1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_C : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.366123 ns, 0.202405 ns);
tpd_B_Y : VitalDelayType01 := (0.360918 ns, 0.204079 ns);
tpd_C_Y : VitalDelayType01 := (0.307952 ns, 0.227488 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
C : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of AOI21X1 : entity is TRUE;
end AOI21X1;
architecture behavioral of AOI21X1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
SIGNAL C_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
VitalWireDelay( C_ipd, C, tipd_C );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd, C_ipd)
-- functionality section variables
VARIABLE n0_var : std_ulogic;
VARIABLE n1_var : std_ulogic;
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
n0_var := VitalAND2(A_ipd, B_ipd);
n1_var := VitalOR2(n0_var, C_ipd);
Y_zd := VitalINV(n1_var);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE),
2 => ( C_ipd'LAST_EVENT,
tpd_C_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity AOI22X1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_C : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_D : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.341706 ns, 0.211527 ns);
tpd_B_Y : VitalDelayType01 := (0.337339 ns, 0.210324 ns);
tpd_C_Y : VitalDelayType01 := (0.32125 ns, 0.19844 ns);
tpd_D_Y : VitalDelayType01 := (0.316696 ns, 0.199983 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
C : in std_ulogic := 'U' ;
D : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of AOI22X1 : entity is TRUE;
end AOI22X1;
architecture behavioral of AOI22X1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
SIGNAL C_ipd : std_ulogic := 'X';
SIGNAL D_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
VitalWireDelay( C_ipd, C, tipd_C );
VitalWireDelay( D_ipd, D, tipd_D );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd, C_ipd, D_ipd)
-- functionality section variables
VARIABLE n0_var : std_ulogic;
VARIABLE n1_var : std_ulogic;
VARIABLE n2_var : std_ulogic;
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
n0_var := VitalAND2(C_ipd, D_ipd);
n1_var := VitalAND2(A_ipd, B_ipd);
n2_var := VitalOR2(n0_var, n1_var);
Y_zd := VitalINV(n2_var);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE),
2 => ( C_ipd'LAST_EVENT,
tpd_C_Y,
TRUE),
3 => ( D_ipd'LAST_EVENT,
tpd_D_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity BUFX2 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.0639432 ns, 0.0618965 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of BUFX2 : entity is TRUE;
end BUFX2;
architecture behavioral of BUFX2 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
END BLOCK;
VITALBehavior : PROCESS (A_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalBUF(A_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity BUFX4 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.0476551 ns, 0.0696413 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of BUFX4 : entity is TRUE;
end BUFX4;
architecture behavioral of BUFX4 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
END BLOCK;
VITALBehavior : PROCESS (A_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalBUF(A_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity CLKBUF1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.121822 ns, 0.104436 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of CLKBUF1 : entity is TRUE;
end CLKBUF1;
architecture behavioral of CLKBUF1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
END BLOCK;
VITALBehavior : PROCESS (A_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalBUF(A_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity CLKBUF2 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.143843 ns, 0.127188 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of CLKBUF2 : entity is TRUE;
end CLKBUF2;
architecture behavioral of CLKBUF2 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
END BLOCK;
VITALBehavior : PROCESS (A_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalBUF(A_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity CLKBUF3 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.16682 ns, 0.150093 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of CLKBUF3 : entity is TRUE;
end CLKBUF3;
architecture behavioral of CLKBUF3 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
END BLOCK;
VITALBehavior : PROCESS (A_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalBUF(A_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity DFFNEGX1 is
generic (
tipd_CLK : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
ticd_CLK : VitalDelayType := DefDummyIcd;
tipd_D : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tisd_D_CLK : VitalDelayType := DefDummyIsd;
tsetup_D_CLK_posedge_negedge : VitalDelayType := 0.0937499 ns;
tsetup_D_CLK_negedge_negedge : VitalDelayType := 0.0937499 ns;
thold_D_CLK_posedge_negedge : VitalDelayType := -0.00000000216744 ns;
thold_D_CLK_negedge_negedge : VitalDelayType := 0 ns;
tpw_CLK_posedge : VitalDelayType := 0.0404763 ns;
tpw_CLK_negedge : VitalDelayType := 0.127802 ns;
tpd_CLK_Q_negedge : VitalDelayType01 := (0.215846 ns, 0.188439 ns);
TimingChecksOn : BOOLEAN := false;
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
CLK : in std_ulogic := 'U' ;
D : in std_ulogic := 'U' ;
Q : out std_ulogic);
attribute VITAL_LEVEL0 of DFFNEGX1 : entity is TRUE;
end DFFNEGX1;
architecture behavioral of DFFNEGX1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL CLK_dly : std_ulogic := 'X';
SIGNAL CLK_ipd : std_ulogic := 'X';
SIGNAL D_dly : std_ulogic := 'X';
SIGNAL D_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( CLK_ipd, CLK, tipd_CLK );
VitalWireDelay( D_ipd, D, tipd_D );
END BLOCK;
SIGNALDELAY : BLOCK
BEGIN
VitalSignalDelay( CLK_dly, CLK_ipd, ticd_CLK );
VitalSignalDelay( D_dly, D_ipd, tisd_D_CLK );
END BLOCK;
VITALBehavior : PROCESS (CLK_dly, D_dly)
--timing checks section variables
VARIABLE Tviol_D_CLK : std_ulogic := '0';
VARIABLE TimeMarker_D_CLK : VitalTimingDataType := VitalTimingDataInit;
VARIABLE PWviol_CLK : std_ulogic := '0';
VARIABLE PeriodCheckInfo_CLK : VitalPeriodDataType;
-- functionality section variables
VARIABLE intclk : std_ulogic;
VARIABLE n0_RN_dly : std_ulogic := '0';
VARIABLE n0_SN_dly : std_ulogic := '0';
VARIABLE DS0000 : std_ulogic;
VARIABLE P0000 : std_ulogic;
VARIABLE n0_vec : std_logic_vector( 1 TO 1 );
VARIABLE PrevData_udp_dff_n0 : std_logic_vector( 0 TO 4 );
VARIABLE Q_zd : std_ulogic;
VARIABLE NOTIFIER : std_ulogic := '0';
-- path delay section variables
VARIABLE Q_GlitchData : VitalGlitchDataType;
BEGIN
-- Timing checks section
IF (TimingChecksOn) THEN
VitalSetupHoldCheck (
TestSignal => D_dly,
TestSignalName => "D",
RefSignal => CLK_dly,
RefSignalName => "CLK",
SetupHigh => tsetup_D_CLK_posedge_negedge,
SetupLow => tsetup_D_CLK_negedge_negedge,
HoldHigh => thold_D_CLK_posedge_negedge,
HoldLow => thold_D_CLK_negedge_negedge,
CheckEnabled => TRUE,
RefTransition => 'F',
HeaderMsg => InstancePath & "/DFFNEGX1",
TimingData => TimeMarker_D_CLK,
Violation => Tviol_D_CLK,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
VitalPeriodPulseCheck (
TestSignal => CLK_dly,
TestSignalName => "CLK",
Period => 0 ps,
PulseWidthHigh => tpw_CLK_posedge,
PulseWidthLow => tpw_CLK_negedge,
PeriodData => PeriodCheckInfo_CLK,
Violation => PWviol_CLK,
HeaderMsg => InstancePath & "/DFFNEGX1",
CheckEnabled => TRUE,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
END IF;
-- Functionality section
NOTIFIER := (
Tviol_D_CLK OR
PWviol_CLK );
intclk := VitalINV(CLK_dly);
n0_RN_dly := '0';
n0_SN_dly := '0';
VitalStateTable ( StateTable => udp_dff,
DataIn => (NOTIFIER, D_dly, intclk, n0_RN_dly, n0_SN_dly),
NumStates => 1,
Result => n0_vec,
PreviousDataIn => PrevData_udp_dff_n0 );
DS0000 := n0_vec(1);
P0000 := VitalINV(DS0000);
Q_zd := VitalBUF(DS0000);
-- Path delay section
VitalPathDelay01(
OutSignal => Q,
OutSignalName => "Q",
OutTemp => Q_zd,
Paths => (
0 => ( CLK_dly'LAST_EVENT,
tpd_CLK_Q_negedge,
To_X01(CLK_dly) /= '1')),
GlitchData => Q_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity DFFPOSX1 is
generic (
tipd_CLK : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
ticd_CLK : VitalDelayType := DefDummyIcd;
tipd_D : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tisd_D_CLK : VitalDelayType := DefDummyIsd;
tsetup_D_CLK_posedge_posedge : VitalDelayType := 0.0937499 ns;
tsetup_D_CLK_negedge_posedge : VitalDelayType := 0.0937499 ns;
thold_D_CLK_posedge_posedge : VitalDelayType := 0 ns;
thold_D_CLK_negedge_posedge : VitalDelayType := 0.00000000216744 ns;
tpw_CLK_posedge : VitalDelayType := 0.129905 ns;
tpw_CLK_negedge : VitalDelayType := 0.0554379 ns;
tpd_CLK_Q_posedge : VitalDelayType01 := (0.218831 ns, 0.200897 ns);
TimingChecksOn : BOOLEAN := false;
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
CLK : in std_ulogic := 'U' ;
D : in std_ulogic := 'U' ;
Q : out std_ulogic);
attribute VITAL_LEVEL0 of DFFPOSX1 : entity is TRUE;
end DFFPOSX1;
architecture behavioral of DFFPOSX1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL CLK_dly : std_ulogic := 'X';
SIGNAL CLK_ipd : std_ulogic := 'X';
SIGNAL D_dly : std_ulogic := 'X';
SIGNAL D_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( CLK_ipd, CLK, tipd_CLK );
VitalWireDelay( D_ipd, D, tipd_D );
END BLOCK;
SIGNALDELAY : BLOCK
BEGIN
VitalSignalDelay( CLK_dly, CLK_ipd, ticd_CLK );
VitalSignalDelay( D_dly, D_ipd, tisd_D_CLK );
END BLOCK;
VITALBehavior : PROCESS (CLK_dly, D_dly)
--timing checks section variables
VARIABLE Tviol_D_CLK : std_ulogic := '0';
VARIABLE TimeMarker_D_CLK : VitalTimingDataType := VitalTimingDataInit;
VARIABLE PWviol_CLK : std_ulogic := '0';
VARIABLE PeriodCheckInfo_CLK : VitalPeriodDataType;
-- functionality section variables
VARIABLE intclk : std_ulogic;
VARIABLE n0_RN_dly : std_ulogic := '0';
VARIABLE n0_SN_dly : std_ulogic := '0';
VARIABLE DS0000 : std_ulogic;
VARIABLE P0000 : std_ulogic;
VARIABLE n0_vec : std_logic_vector( 1 TO 1 );
VARIABLE PrevData_udp_dff_n0 : std_logic_vector( 0 TO 4 );
VARIABLE Q_zd : std_ulogic;
VARIABLE NOTIFIER : std_ulogic := '0';
-- path delay section variables
VARIABLE Q_GlitchData : VitalGlitchDataType;
BEGIN
-- Timing checks section
IF (TimingChecksOn) THEN
VitalSetupHoldCheck (
TestSignal => D_dly,
TestSignalName => "D",
RefSignal => CLK_dly,
RefSignalName => "CLK",
SetupHigh => tsetup_D_CLK_posedge_posedge,
SetupLow => tsetup_D_CLK_negedge_posedge,
HoldHigh => thold_D_CLK_posedge_posedge,
HoldLow => thold_D_CLK_negedge_posedge,
CheckEnabled => TRUE,
RefTransition => 'R',
HeaderMsg => InstancePath & "/DFFPOSX1",
TimingData => TimeMarker_D_CLK,
Violation => Tviol_D_CLK,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
VitalPeriodPulseCheck (
TestSignal => CLK_dly,
TestSignalName => "CLK",
Period => 0 ps,
PulseWidthHigh => tpw_CLK_posedge,
PulseWidthLow => tpw_CLK_negedge,
PeriodData => PeriodCheckInfo_CLK,
Violation => PWviol_CLK,
HeaderMsg => InstancePath & "/DFFPOSX1",
CheckEnabled => TRUE,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
END IF;
-- Functionality section
NOTIFIER := (
Tviol_D_CLK OR
PWviol_CLK );
intclk := VitalBUF(CLK_dly);
n0_RN_dly := '0';
n0_SN_dly := '0';
VitalStateTable ( StateTable => udp_dff,
DataIn => (NOTIFIER, D_dly, intclk, n0_RN_dly, n0_SN_dly),
NumStates => 1,
Result => n0_vec,
PreviousDataIn => PrevData_udp_dff_n0 );
DS0000 := n0_vec(1);
P0000 := VitalINV(DS0000);
Q_zd := VitalBUF(DS0000);
-- Path delay section
VitalPathDelay01(
OutSignal => Q,
OutSignalName => "Q",
OutTemp => Q_zd,
Paths => (
0 => ( CLK_dly'LAST_EVENT,
tpd_CLK_Q_posedge,
To_X01(CLK_dly) /= '0')),
GlitchData => Q_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity DFFSR is
generic (
tipd_CLK : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
ticd_CLK : VitalDelayType := DefDummyIcd;
tipd_D : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tisd_D_CLK : VitalDelayType := DefDummyIsd;
tipd_R : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tisd_R_CLK : VitalDelayType := DefDummyIsd;
tipd_S : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tisd_S_CLK : VitalDelayType := DefDummyIsd;
trecovery_R_S_posedge_posedge : VitalDelayType := 0 ns;
trecovery_R_CLK_posedge_posedge : VitalDelayType := 0 ns;
tremoval_R_CLK_posedge_posedge : VitalDelayType := 0.1875 ns;
trecovery_S_R_posedge_posedge : VitalDelayType := 0.0937499 ns;
trecovery_S_CLK_posedge_posedge : VitalDelayType := 0 ns;
tremoval_S_CLK_posedge_posedge : VitalDelayType := 0.0937499 ns;
tsetup_D_CLK_posedge_posedge : VitalDelayType := 0.0937499 ns;
tsetup_D_CLK_negedge_posedge : VitalDelayType := 0.0937499 ns;
thold_D_CLK_posedge_posedge : VitalDelayType := 0 ns;
thold_D_CLK_negedge_posedge : VitalDelayType := 0.00000000216744 ns;
tpw_CLK_posedge : VitalDelayType := 0.262012 ns;
tpw_CLK_negedge : VitalDelayType := 0.125679 ns;
tpw_S_negedge : VitalDelayType := 0.214412 ns;
tpw_R_negedge : VitalDelayType := 0.14159 ns;
tremoval_S_R_posedge_posedge : VitalDelayType := VitalZeroDelay;
tremoval_R_S_posedge_posedge : VitalDelayType := VitalZeroDelay;
tpd_CLK_Q_posedge : VitalDelayType01 := (0.425419 ns, 0.320966 ns);
tpd_R_Q_negedge : VitalDelayType01 := (0 ns, 0.260231 ns);
tpd_R_Q_posedge : VitalDelayType01 := (0.348445 ns, 0 ns);
tpd_S_Q_negedge : VitalDelayType01 := (0.397458 ns, 0 ns);
TimingChecksOn : BOOLEAN := false;
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
CLK : in std_ulogic := 'U' ;
D : in std_ulogic := 'U' ;
R : in std_ulogic := 'U' ;
S : in std_ulogic := 'U' ;
Q : out std_ulogic);
attribute VITAL_LEVEL0 of DFFSR : entity is TRUE;
end DFFSR;
architecture behavioral of DFFSR is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL CLK_dly : std_ulogic := 'X';
SIGNAL CLK_ipd : std_ulogic := 'X';
SIGNAL D_dly : std_ulogic := 'X';
SIGNAL D_ipd : std_ulogic := 'X';
SIGNAL R_dly : std_ulogic := 'X';
SIGNAL R_ipd : std_ulogic := 'X';
SIGNAL S_dly : std_ulogic := 'X';
SIGNAL S_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( CLK_ipd, CLK, tipd_CLK );
VitalWireDelay( D_ipd, D, tipd_D );
VitalWireDelay( R_ipd, R, tipd_R );
VitalWireDelay( S_ipd, S, tipd_S );
END BLOCK;
SIGNALDELAY : BLOCK
BEGIN
VitalSignalDelay( CLK_dly, CLK_ipd, ticd_CLK );
VitalSignalDelay( D_dly, D_ipd, tisd_D_CLK );
VitalSignalDelay( R_dly, R_ipd, tisd_R_CLK );
VitalSignalDelay( S_dly, S_ipd, tisd_S_CLK );
END BLOCK;
VITALBehavior : PROCESS (CLK_dly, D_dly, R_dly, S_dly)
--timing checks section variables
VARIABLE Tviol_rec_R_S_posedge : std_ulogic := '0';
VARIABLE TimeMarker_rec_R_S_posedge : VitalTimingDataType := VitalTimingDataInit;
VARIABLE Tviol_rec_CLK_S_posedge : std_ulogic := '0';
VARIABLE TimeMarker_rec_CLK_S_posedge : VitalTimingDataType := VitalTimingDataInit;
VARIABLE Tviol_rec_S_R_posedge : std_ulogic := '0';
VARIABLE TimeMarker_rec_S_R_posedge : VitalTimingDataType := VitalTimingDataInit;
VARIABLE Tviol_rec_CLK_R_posedge : std_ulogic := '0';
VARIABLE TimeMarker_rec_CLK_R_posedge : VitalTimingDataType := VitalTimingDataInit;
VARIABLE Tviol_D_CLK : std_ulogic := '0';
VARIABLE TimeMarker_D_CLK : VitalTimingDataType := VitalTimingDataInit;
VARIABLE PWviol_S_negedge : std_ulogic := '0';
VARIABLE PeriodCheckInfo_S_negedge : VitalPeriodDataType;
VARIABLE PWviol_R_negedge : std_ulogic := '0';
VARIABLE PeriodCheckInfo_R_negedge : VitalPeriodDataType;
VARIABLE PWviol_CLK : std_ulogic := '0';
VARIABLE PeriodCheckInfo_CLK : VitalPeriodDataType;
-- functionality section variables
VARIABLE intclk : std_ulogic;
VARIABLE n0_CLEAR : std_ulogic;
VARIABLE n0_SET : std_ulogic;
VARIABLE P0002 : std_ulogic;
VARIABLE P0003 : std_ulogic;
VARIABLE D_dly_t : std_ulogic;
VARIABLE n0_vec : std_logic_vector( 1 TO 1 );
VARIABLE PrevData_udp_dff_n0 : std_logic_vector( 0 TO 4 );
VARIABLE Q_zd : std_ulogic;
VARIABLE D_EQ_1_AN_S_EQ_1 : std_ulogic;
VARIABLE n1_var : std_ulogic;
VARIABLE D_EQ_0_AN_R_EQ_1 : std_ulogic;
VARIABLE S_EQ_1_AN_R_EQ_1 : std_ulogic;
VARIABLE NOTIFIER : std_ulogic := '0';
-- path delay section variables
VARIABLE Q_GlitchData : VitalGlitchDataType;
BEGIN
-- Timing checks section
IF (TimingChecksOn) THEN
VitalRecoveryRemovalCheck (
TestSignal => S_dly,
TestSignalName => "S",
RefSignal => R_dly,
RefSignalName => "R",
Recovery => trecovery_S_R_posedge_posedge,
Removal => tremoval_S_R_posedge_posedge,
CheckEnabled => TRUE,
ActiveLow => TRUE,
RefTransition => 'R',
HeaderMsg => InstancePath & "/DFFSR",
TimingData => TimeMarker_rec_R_S_posedge,
Violation => Tviol_rec_R_S_posedge,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
VitalRecoveryRemovalCheck (
TestSignal => S_dly,
TestSignalName => "S",
RefSignal => CLK_dly,
RefSignalName => "CLK",
Recovery => trecovery_S_CLK_posedge_posedge,
Removal => tremoval_S_CLK_posedge_posedge,
CheckEnabled => To_X01(D_EQ_0_AN_R_EQ_1) /= '0',
ActiveLow => TRUE,
RefTransition => 'R',
HeaderMsg => InstancePath & "/DFFSR",
TimingData => TimeMarker_rec_CLK_S_posedge,
Violation => Tviol_rec_CLK_S_posedge,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
VitalRecoveryRemovalCheck (
TestSignal => R_dly,
TestSignalName => "R",
RefSignal => S_dly,
RefSignalName => "S",
Recovery => trecovery_R_S_posedge_posedge,
Removal => tremoval_R_S_posedge_posedge,
CheckEnabled => TRUE,
ActiveLow => TRUE,
RefTransition => 'R',
HeaderMsg => InstancePath & "/DFFSR",
TimingData => TimeMarker_rec_S_R_posedge,
Violation => Tviol_rec_S_R_posedge,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
VitalRecoveryRemovalCheck (
TestSignal => R_dly,
TestSignalName => "R",
RefSignal => CLK_dly,
RefSignalName => "CLK",
Recovery => trecovery_R_CLK_posedge_posedge,
Removal => tremoval_R_CLK_posedge_posedge,
CheckEnabled => To_X01(D_EQ_1_AN_S_EQ_1) /= '0',
ActiveLow => TRUE,
RefTransition => 'R',
HeaderMsg => InstancePath & "/DFFSR",
TimingData => TimeMarker_rec_CLK_R_posedge,
Violation => Tviol_rec_CLK_R_posedge,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
VitalSetupHoldCheck (
TestSignal => D_dly,
TestSignalName => "D",
RefSignal => CLK_dly,
RefSignalName => "CLK",
SetupHigh => tsetup_D_CLK_posedge_posedge,
SetupLow => tsetup_D_CLK_negedge_posedge,
HoldHigh => thold_D_CLK_posedge_posedge,
HoldLow => thold_D_CLK_negedge_posedge,
CheckEnabled => To_X01(S_EQ_1_AN_R_EQ_1) /= '0',
RefTransition => 'R',
HeaderMsg => InstancePath & "/DFFSR",
TimingData => TimeMarker_D_CLK,
Violation => Tviol_D_CLK,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
VitalPeriodPulseCheck (
TestSignal => S_dly,
TestSignalName => "S",
Period => 0 ps,
PulseWidthHigh => 0 ns,
PulseWidthLow => tpw_S_negedge,
PeriodData => PeriodCheckInfo_S_negedge,
Violation => PWviol_S_negedge,
HeaderMsg => InstancePath & "/DFFSR",
CheckEnabled => TRUE,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
VitalPeriodPulseCheck (
TestSignal => R_dly,
TestSignalName => "R",
Period => 0 ps,
PulseWidthHigh => 0 ns,
PulseWidthLow => tpw_R_negedge,
PeriodData => PeriodCheckInfo_R_negedge,
Violation => PWviol_R_negedge,
HeaderMsg => InstancePath & "/DFFSR",
CheckEnabled => TRUE,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
VitalPeriodPulseCheck (
TestSignal => CLK_dly,
TestSignalName => "CLK",
Period => 0 ps,
PulseWidthHigh => tpw_CLK_posedge,
PulseWidthLow => tpw_CLK_negedge,
PeriodData => PeriodCheckInfo_CLK,
Violation => PWviol_CLK,
HeaderMsg => InstancePath & "/DFFSR",
CheckEnabled => TRUE,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
END IF;
-- Functionality section
NOTIFIER := (
Tviol_rec_R_S_posedge OR
Tviol_rec_CLK_S_posedge OR
Tviol_rec_S_R_posedge OR
Tviol_rec_CLK_R_posedge OR
Tviol_D_CLK OR
PWviol_S_negedge OR
PWviol_R_negedge OR
PWviol_CLK );
intclk := VitalBUF(CLK_dly);
n0_CLEAR := VitalINV(R_dly);
n0_SET := VitalINV(S_dly);
D_dly_t := VitalINV(D_dly);
VitalStateTable ( StateTable => udp_dff,
DataIn => (NOTIFIER, D_dly_t, intclk, n0_SET, n0_CLEAR),
NumStates => 1,
Result => n0_vec,
PreviousDataIn => PrevData_udp_dff_n0 );
P0003 := n0_vec(1);
P0002 := VitalINV(P0003);
Q_zd := VitalBUF(P0002);
D_EQ_1_AN_S_EQ_1 := VitalAND2(D_dly, S_dly);
n1_var := VitalINV(D_dly);
D_EQ_0_AN_R_EQ_1 := VitalAND2(n1_var, R_dly);
S_EQ_1_AN_R_EQ_1 := VitalAND2(S_dly, R_dly);
-- Path delay section
VitalPathDelay01(
OutSignal => Q,
OutSignalName => "Q",
OutTemp => Q_zd,
Paths => (
0 => ( CLK_dly'LAST_EVENT,
tpd_CLK_Q_posedge,
To_X01(CLK_dly) /= '0'),
1 => ( R_dly'LAST_EVENT,
tpd_R_Q_negedge,
To_X01(R_dly) /= '1'),
2 => ( R_dly'LAST_EVENT,
tpd_R_Q_posedge,
To_X01(R_dly) /= '0'),
3 => ( S_dly'LAST_EVENT,
tpd_S_Q_negedge,
To_X01(S_dly) /= '1')),
GlitchData => Q_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity FAX1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_C : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_YC : VitalDelayType01 := (0.360088 ns, 0.284056 ns);
tpd_A_YS : VitalDelayType01 := (0.385604 ns, 0.289139 ns);
tpd_B_YC : VitalDelayType01 := (0.360796 ns, 0.282487 ns);
tpd_B_YS : VitalDelayType01 := (0.381334 ns, 0.288096 ns);
tpd_C_YC : VitalDelayType01 := (0.357997 ns, 0.275687 ns);
tpd_C_YS : VitalDelayType01 := (0.374129 ns, 0.282399 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
C : in std_ulogic := 'U' ;
YC : out std_ulogic ;
YS : out std_ulogic);
attribute VITAL_LEVEL0 of FAX1 : entity is TRUE;
end FAX1;
architecture behavioral of FAX1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
SIGNAL C_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
VitalWireDelay( C_ipd, C, tipd_C );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd, C_ipd)
-- functionality section variables
VARIABLE n0_var : std_ulogic;
VARIABLE n1_var : std_ulogic;
VARIABLE n2_var : std_ulogic;
VARIABLE n3_var : std_ulogic;
VARIABLE YC_zd : std_ulogic;
VARIABLE n4_var : std_ulogic;
VARIABLE YS_zd : std_ulogic;
-- path delay section variables
VARIABLE YC_GlitchData : VitalGlitchDataType;
VARIABLE YS_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
n0_var := VitalAND2(A_ipd, B_ipd);
n1_var := VitalAND2(B_ipd, C_ipd);
n2_var := VitalOR2(n0_var, n1_var);
n3_var := VitalAND2(C_ipd, A_ipd);
YC_zd := VitalOR2(n2_var, n3_var);
n4_var := VitalXOR2(A_ipd, B_ipd);
YS_zd := VitalXOR2(n4_var, C_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => YC,
OutSignalName => "YC",
OutTemp => YC_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_YC,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_YC,
TRUE),
2 => ( C_ipd'LAST_EVENT,
tpd_C_YC,
TRUE)),
GlitchData => YC_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
VitalPathDelay01(
OutSignal => YS,
OutSignalName => "YS",
OutTemp => YS_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_YS,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_YS,
TRUE),
2 => ( C_ipd'LAST_EVENT,
tpd_C_YS,
TRUE)),
GlitchData => YS_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity HAX1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_YC : VitalDelayType01 := (0.344948 ns, 0.261591 ns);
tpd_A_YS : VitalDelayType01 := (0.362799 ns, 0.264331 ns);
tpd_B_YC : VitalDelayType01 := (0.345539 ns, 0.256117 ns);
tpd_B_YS : VitalDelayType01 := (0.3572 ns, 0.258583 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
YC : out std_ulogic ;
YS : out std_ulogic);
attribute VITAL_LEVEL0 of HAX1 : entity is TRUE;
end HAX1;
architecture behavioral of HAX1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd)
-- functionality section variables
VARIABLE YS_zd : std_ulogic;
VARIABLE YC_zd : std_ulogic;
-- path delay section variables
VARIABLE YC_GlitchData : VitalGlitchDataType;
VARIABLE YS_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
YS_zd := VitalXOR2(A_ipd, B_ipd);
YC_zd := VitalAND2(A_ipd, B_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => YC,
OutSignalName => "YC",
OutTemp => YC_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_YC,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_YC,
TRUE)),
GlitchData => YC_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
VitalPathDelay01(
OutSignal => YS,
OutSignalName => "YS",
OutTemp => YS_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_YS,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_YS,
TRUE)),
GlitchData => YS_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity INVX1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.334383 ns, 0.22935 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of INVX1 : entity is TRUE;
end INVX1;
architecture behavioral of INVX1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
END BLOCK;
VITALBehavior : PROCESS (A_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalINV(A_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity INVX2 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.0535923 ns, 0.0390769 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of INVX2 : entity is TRUE;
end INVX2;
architecture behavioral of INVX2 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
END BLOCK;
VITALBehavior : PROCESS (A_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalINV(A_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity INVX4 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.0542471 ns, 0.0399682 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of INVX4 : entity is TRUE;
end INVX4;
architecture behavioral of INVX4 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
END BLOCK;
VITALBehavior : PROCESS (A_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalINV(A_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity INVX8 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.0542926 ns, 0.0399237 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of INVX8 : entity is TRUE;
end INVX8;
architecture behavioral of INVX8 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
END BLOCK;
VITALBehavior : PROCESS (A_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalINV(A_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity LATCH is
generic (
tipd_CLK : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
ticd_CLK : VitalDelayType := DefDummyIcd;
tipd_D : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tisd_D_CLK : VitalDelayType := DefDummyIsd;
tsetup_D_CLK_posedge_negedge : VitalDelayType := 0.1875 ns;
tsetup_D_CLK_negedge_negedge : VitalDelayType := 0.1875 ns;
thold_D_CLK_posedge_negedge : VitalDelayType := -0.00000000216744 ns;
thold_D_CLK_negedge_negedge : VitalDelayType := 0 ns;
tpw_CLK_posedge : VitalDelayType := 0.110989 ns;
tpd_CLK_Q_posedge : VitalDelayType01 := (0.197405 ns, 0.168677 ns);
tpd_D_Q : VitalDelayType01 := (0.204494 ns, 0.178792 ns);
TimingChecksOn : BOOLEAN := false;
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
CLK : in std_ulogic := 'U' ;
D : in std_ulogic := 'U' ;
Q : out std_ulogic);
attribute VITAL_LEVEL0 of LATCH : entity is TRUE;
end LATCH;
architecture behavioral of LATCH is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL CLK_dly : std_ulogic := 'X';
SIGNAL CLK_ipd : std_ulogic := 'X';
SIGNAL D_dly : std_ulogic := 'X';
SIGNAL D_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( CLK_ipd, CLK, tipd_CLK );
VitalWireDelay( D_ipd, D, tipd_D );
END BLOCK;
SIGNALDELAY : BLOCK
BEGIN
VitalSignalDelay( CLK_dly, CLK_ipd, ticd_CLK );
VitalSignalDelay( D_dly, D_ipd, tisd_D_CLK );
END BLOCK;
VITALBehavior : PROCESS (CLK_dly, D_dly)
--timing checks section variables
VARIABLE Tviol_D_CLK : std_ulogic := '0';
VARIABLE TimeMarker_D_CLK : VitalTimingDataType := VitalTimingDataInit;
VARIABLE PWviol_CLK_posedge : std_ulogic := '0';
VARIABLE PeriodCheckInfo_CLK_posedge : VitalPeriodDataType;
-- functionality section variables
VARIABLE n0_RN_dly : std_ulogic := '0';
VARIABLE n0_SN_dly : std_ulogic := '0';
VARIABLE DS0000 : std_ulogic;
VARIABLE P0000 : std_ulogic;
VARIABLE n0_vec : std_logic_vector( 1 TO 1 );
VARIABLE PrevData_udp_tlat_n0 : std_logic_vector( 0 TO 4 );
VARIABLE Q_zd : std_ulogic;
VARIABLE NOTIFIER : std_ulogic := '0';
-- path delay section variables
VARIABLE Q_GlitchData : VitalGlitchDataType;
BEGIN
-- Timing checks section
IF (TimingChecksOn) THEN
VitalSetupHoldCheck (
TestSignal => D_dly,
TestSignalName => "D",
RefSignal => CLK_dly,
RefSignalName => "CLK",
SetupHigh => tsetup_D_CLK_posedge_negedge,
SetupLow => tsetup_D_CLK_negedge_negedge,
HoldHigh => thold_D_CLK_posedge_negedge,
HoldLow => thold_D_CLK_negedge_negedge,
CheckEnabled => TRUE,
RefTransition => 'F',
HeaderMsg => InstancePath & "/LATCH",
TimingData => TimeMarker_D_CLK,
Violation => Tviol_D_CLK,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
VitalPeriodPulseCheck (
TestSignal => CLK_dly,
TestSignalName => "CLK",
Period => 0 ps,
PulseWidthHigh => tpw_CLK_posedge,
PulseWidthLow => 0 ns,
PeriodData => PeriodCheckInfo_CLK_posedge,
Violation => PWviol_CLK_posedge,
HeaderMsg => InstancePath & "/LATCH",
CheckEnabled => TRUE,
XOn => DefSeqXOn,
MsgOn => DefSeqMsgOn,
MsgSeverity => WARNING );
END IF;
-- Functionality section
NOTIFIER := (
Tviol_D_CLK OR
PWviol_CLK_posedge );
n0_RN_dly := '0';
n0_SN_dly := '0';
VitalStateTable ( StateTable => udp_tlat,
DataIn => (NOTIFIER, D_dly, CLK_dly, n0_RN_dly, n0_SN_dly),
NumStates => 1,
Result => n0_vec,
PreviousDataIn => PrevData_udp_tlat_n0 );
DS0000 := n0_vec(1);
P0000 := VitalINV(DS0000);
Q_zd := VitalBUF(DS0000);
-- Path delay section
VitalPathDelay01(
OutSignal => Q,
OutSignalName => "Q",
OutTemp => Q_zd,
Paths => (
0 => ( CLK_dly'LAST_EVENT,
tpd_CLK_Q_posedge,
To_X01(CLK_dly) /= '0'),
1 => ( D_dly'LAST_EVENT,
tpd_D_Q,
TRUE)),
GlitchData => Q_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity MUX2X1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_S : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.371168 ns, 0.205438 ns);
tpd_B_Y : VitalDelayType01 := (0.372994 ns, 0.204202 ns);
tpd_S_Y : VitalDelayType01 := (0.365381 ns, 0.2111 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
S : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of MUX2X1 : entity is TRUE;
end MUX2X1;
architecture behavioral of MUX2X1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
SIGNAL S_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
VitalWireDelay( S_ipd, S, tipd_S );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd, S_ipd)
-- functionality section variables
VARIABLE n0_var : std_ulogic;
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
n0_var := VitalMUX2(A_ipd, B_ipd, S_ipd);
Y_zd := VitalINV(n0_var);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE),
2 => ( S_ipd'LAST_EVENT,
tpd_S_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity NAND2X1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.345107 ns, 0.196856 ns);
tpd_B_Y : VitalDelayType01 := (0.336319 ns, 0.19685 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of NAND2X1 : entity is TRUE;
end NAND2X1;
architecture behavioral of NAND2X1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd)
-- functionality section variables
VARIABLE n0_var : std_ulogic;
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
n0_var := VitalAND2(A_ipd, B_ipd);
Y_zd := VitalINV(n0_var);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity NAND3X1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_C : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.354578 ns, 0.187007 ns);
tpd_B_Y : VitalDelayType01 := (0.350956 ns, 0.186309 ns);
tpd_C_Y : VitalDelayType01 := (0.345234 ns, 0.185511 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
C : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of NAND3X1 : entity is TRUE;
end NAND3X1;
architecture behavioral of NAND3X1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
SIGNAL C_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
VitalWireDelay( C_ipd, C, tipd_C );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd, C_ipd)
-- functionality section variables
VARIABLE n0_var : std_ulogic;
VARIABLE n1_var : std_ulogic;
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
n0_var := VitalAND2(A_ipd, B_ipd);
n1_var := VitalAND2(n0_var, C_ipd);
Y_zd := VitalINV(n1_var);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE),
2 => ( C_ipd'LAST_EVENT,
tpd_C_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity NOR2X1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.359749 ns, 0.232749 ns);
tpd_B_Y : VitalDelayType01 := (0.355257 ns, 0.227842 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of NOR2X1 : entity is TRUE;
end NOR2X1;
architecture behavioral of NOR2X1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd)
-- functionality section variables
VARIABLE n0_var : std_ulogic;
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
n0_var := VitalOR2(A_ipd, B_ipd);
Y_zd := VitalINV(n0_var);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity NOR3X1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_C : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.387203 ns, 0.249178 ns);
tpd_B_Y : VitalDelayType01 := (0.378956 ns, 0.24302 ns);
tpd_C_Y : VitalDelayType01 := (0.36077 ns, 0.234046 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
C : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of NOR3X1 : entity is TRUE;
end NOR3X1;
architecture behavioral of NOR3X1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
SIGNAL C_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
VitalWireDelay( C_ipd, C, tipd_C );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd, C_ipd)
-- functionality section variables
VARIABLE n0_var : std_ulogic;
VARIABLE n1_var : std_ulogic;
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
n0_var := VitalOR2(A_ipd, B_ipd);
n1_var := VitalOR2(n0_var, C_ipd);
Y_zd := VitalINV(n1_var);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE),
2 => ( C_ipd'LAST_EVENT,
tpd_C_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity OAI21X1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_C : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.369203 ns, 0.201265 ns);
tpd_B_Y : VitalDelayType01 := (0.365136 ns, 0.198267 ns);
tpd_C_Y : VitalDelayType01 := (0.340702 ns, 0.177778 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
C : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of OAI21X1 : entity is TRUE;
end OAI21X1;
architecture behavioral of OAI21X1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
SIGNAL C_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
VitalWireDelay( C_ipd, C, tipd_C );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd, C_ipd)
-- functionality section variables
VARIABLE n0_var : std_ulogic;
VARIABLE n1_var : std_ulogic;
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
n0_var := VitalOR2(A_ipd, B_ipd);
n1_var := VitalAND2(n0_var, C_ipd);
Y_zd := VitalINV(n1_var);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE),
2 => ( C_ipd'LAST_EVENT,
tpd_C_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity OAI22X1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_C : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_D : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.377949 ns, 0.188768 ns);
tpd_B_Y : VitalDelayType01 := (0.374067 ns, 0.183907 ns);
tpd_C_Y : VitalDelayType01 := (0.368706 ns, 0.185444 ns);
tpd_D_Y : VitalDelayType01 := (0.36175 ns, 0.181201 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
C : in std_ulogic := 'U' ;
D : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of OAI22X1 : entity is TRUE;
end OAI22X1;
architecture behavioral of OAI22X1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
SIGNAL C_ipd : std_ulogic := 'X';
SIGNAL D_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
VitalWireDelay( C_ipd, C, tipd_C );
VitalWireDelay( D_ipd, D, tipd_D );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd, C_ipd, D_ipd)
-- functionality section variables
VARIABLE n0_var : std_ulogic;
VARIABLE n1_var : std_ulogic;
VARIABLE n2_var : std_ulogic;
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
n0_var := VitalOR2(C_ipd, D_ipd);
n1_var := VitalOR2(A_ipd, B_ipd);
n2_var := VitalAND2(n0_var, n1_var);
Y_zd := VitalINV(n2_var);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE),
2 => ( C_ipd'LAST_EVENT,
tpd_C_Y,
TRUE),
3 => ( D_ipd'LAST_EVENT,
tpd_D_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity OR2X1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.342477 ns, 0.243741 ns);
tpd_B_Y : VitalDelayType01 := (0.352883 ns, 0.252976 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of OR2X1 : entity is TRUE;
end OR2X1;
architecture behavioral of OR2X1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalOR2(A_ipd, B_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity OR2X2 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.0693921 ns, 0.0656425 ns);
tpd_B_Y : VitalDelayType01 := (0.0764625 ns, 0.0744664 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of OR2X2 : entity is TRUE;
end OR2X2;
architecture behavioral of OR2X2 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalOR2(A_ipd, B_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity TBUFX1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_EN : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.365569 ns, 0.203276 ns);
tpd_EN_Y : VitalDelayType01Z := (VitalZeroDelay, VitalZeroDelay, 0.0107727 ns, 0.356689 ns, 0.0272097 ns, 0.195231 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
EN : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of TBUFX1 : entity is TRUE;
end TBUFX1;
architecture behavioral of TBUFX1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL EN_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( EN_ipd, EN, tipd_EN );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, EN_ipd)
-- functionality section variables
VARIABLE n0_var : std_ulogic;
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
n0_var := VitalINV(A_ipd);
Y_zd := VitalBUFIF1(n0_var, EN_ipd);
-- Path delay section
VitalPathDelay01Z(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
VitalExtendToFillDelay(tpd_A_Y),
TRUE),
1 => ( EN_ipd'LAST_EVENT,
VitalExtendToFillDelay(tpd_EN_Y),
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity TBUFX2 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_EN : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.0690322 ns, 0.0420601 ns);
tpd_EN_Y : VitalDelayType01Z := (VitalZeroDelay, VitalZeroDelay, 0.0107727 ns, 0.0573587 ns, 0.0252425 ns, 0.0362576 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
EN : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of TBUFX2 : entity is TRUE;
end TBUFX2;
architecture behavioral of TBUFX2 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL EN_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( EN_ipd, EN, tipd_EN );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, EN_ipd)
-- functionality section variables
VARIABLE n0_var : std_ulogic;
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
n0_var := VitalINV(A_ipd);
Y_zd := VitalBUFIF1(n0_var, EN_ipd);
-- Path delay section
VitalPathDelay01Z(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
VitalExtendToFillDelay(tpd_A_Y),
TRUE),
1 => ( EN_ipd'LAST_EVENT,
VitalExtendToFillDelay(tpd_EN_Y),
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity XNOR2X1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.360659 ns, 0.206292 ns);
tpd_B_Y : VitalDelayType01 := (0.371926 ns, 0.210513 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of XNOR2X1 : entity is TRUE;
end XNOR2X1;
architecture behavioral of XNOR2X1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd)
-- functionality section variables
VARIABLE n0_var : std_ulogic;
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
n0_var := VitalXOR2(A_ipd, B_ipd);
Y_zd := VitalINV(n0_var);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
LIBRARY IEEE;
USE IEEE.Std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.prim.all;
entity XOR2X1 is
generic (
tipd_A : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tipd_B : VitalDelayType01 := (DefDummyIpd, DefDummyIpd);
tpd_A_Y : VitalDelayType01 := (0.358317 ns, 0.20642 ns);
tpd_B_Y : VitalDelayType01 := (0.372178 ns, 0.210108 ns);
XOn : BOOLEAN := DefCombSpikeXOn;
MsgOn : BOOLEAN := DefCombSpikeMsgOn;
instancePath : STRING := "*" );
port (
A : in std_ulogic := 'U' ;
B : in std_ulogic := 'U' ;
Y : out std_ulogic);
attribute VITAL_LEVEL0 of XOR2X1 : entity is TRUE;
end XOR2X1;
architecture behavioral of XOR2X1 is
attribute VITAL_LEVEL1 of behavioral : architecture is TRUE;
SIGNAL A_ipd : std_ulogic := 'X';
SIGNAL B_ipd : std_ulogic := 'X';
begin
--Input Path Delays
WIREDELAY : BLOCK
BEGIN
VitalWireDelay( A_ipd, A, tipd_A );
VitalWireDelay( B_ipd, B, tipd_B );
END BLOCK;
VITALBehavior : PROCESS (A_ipd, B_ipd)
-- functionality section variables
VARIABLE Y_zd : std_ulogic;
-- path delay section variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
BEGIN
-- Functionality section
Y_zd := VitalXOR2(A_ipd, B_ipd);
-- Path delay section
VitalPathDelay01(
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (
0 => ( A_ipd'LAST_EVENT,
tpd_A_Y,
TRUE),
1 => ( B_ipd'LAST_EVENT,
tpd_B_Y,
TRUE)),
GlitchData => Y_GlitchData,
Mode => OnEvent,
XOn => XOn,
MsgOn => MsgOn,
MsgSeverity => WARNING );
END PROCESS;
end behavioral;
| bsd-3-clause | 406d78661b7f15d840b1129da48530a1 | 0.487611 | 4.262759 | false | false | false | false |
jz0229/open-ephys-pcie | serdes-interface/firmware/SPI_LEDdriver.vhd | 2 | 3,359 | ----------------------------------------------------------------------------------
--this is an parallel to serial converter
--takes command_in and serilize it for the LED driver
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
entity SPI_LEDdriver is
port (
clk_spi : in std_logic;
reset : in std_logic;
write_start : in std_logic;
command_in : in std_logic_vector(15 downto 0);
led_clk_o : out std_logic;
led_data_o : out std_logic;
led_latch_o : out std_logic
);
end SPI_LEDdriver;
architecture Behavioral of SPI_LEDdriver is
signal led_clk, led_latch : std_logic;
signal led_clk_next, led_latch_next : std_logic;
signal led_data, led_data_next : std_logic_vector(15 downto 0);
type spi_states is (IDLE, OP_lo, OP_hi, LATCHRDY); --state machine definition
signal spi_sm, spi_sm_next : spi_states;
signal sm_cnt, sm_cnt_next : unsigned(3 downto 0);
signal cycle_cnt, cycle_cnt_next : unsigned(3 downto 0);
begin
--ouput mapping
led_clk_o <= led_clk;
led_data_o <= led_data(15);
led_latch_o <= led_latch;
--SPI state machine
SPI_proc: process(clk_spi, reset)
begin
if (reset = '1') then
led_clk <= '0';
led_data <= (others=>'0');
led_latch <= '0';
spi_sm <= IDLE;
sm_cnt <= (others=>'0');
cycle_cnt <= (others=>'0');
elsif (falling_edge(clk_spi)) then --next state logic
led_clk <= led_clk_next;
led_data <= led_data_next;
led_latch <= led_latch_next;
spi_sm <= spi_sm_next;
sm_cnt <= sm_cnt_next;
cycle_cnt <= cycle_cnt_next;
end if;
end process;
--next state logic for the state machines
SPI_proc_next: process(spi_sm, sm_cnt, write_start, command_in, led_data, led_clk, cycle_cnt)
begin
case spi_sm is
when IDLE =>
if write_start = '1' then
if cycle_cnt <= 10 then
led_data_next <= command_in; --"1011011101111001" for testing.
spi_sm_next <= OP_lo;
else
led_data_next <= command_in; --"1011011101111001" for testing.
spi_sm_next <= IDLE;
end if;
else
led_data_next <= led_data;
spi_sm_next <= IDLE;
end if;
sm_cnt_next <= (others=>'0'); --state counter
led_clk_next <= '0';
led_latch_next <= '0';
cycle_cnt_next <= cycle_cnt;
when OP_lo =>
led_data_next <= led_data;
spi_sm_next <= OP_hi;
led_clk_next <= not led_clk; --toggle sclk
sm_cnt_next <= sm_cnt;
led_latch_next <= '0';
cycle_cnt_next <= cycle_cnt;
when OP_hi =>
if sm_cnt>=15 then --state counter triggers at 15
spi_sm_next <= LATCHRDY;
sm_cnt_next <= sm_cnt;
led_latch_next <= '0';
else
spi_sm_next <= OP_lo;
sm_cnt_next <= sm_cnt + 1; --sm counter increment
led_latch_next <= '0';
end if;
led_data_next(15 downto 1) <= led_data(14 downto 0); --shift the command out
led_data_next(0) <= '0'; --pad '0';
led_clk_next <= not led_clk; --toggle sclk
cycle_cnt_next <= cycle_cnt;
when LATCHRDY =>
led_data_next <= led_data;
spi_sm_next <= IDLE;
led_clk_next <= '0'; --toggle sclk
sm_cnt_next <= sm_cnt;
led_latch_next <= '1';
cycle_cnt_next <= cycle_cnt + 1;
end case;
end process;
end Behavioral;
| mit | 1371537ffab59ce5a78ca8d941aa1c19 | 0.564751 | 2.936189 | false | false | false | false |
Hyvok/HardHeat | src/ds18b20.vhd | 1 | 6,113 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.utils_pkg.all;
entity ds18b20 is
generic
(
-- Conversion delay in clock cycles
CONV_DELAY_VAL : natural
);
port
(
clk : in std_logic;
reset : in std_logic;
-- Request temperature
conv_in_f : in std_logic;
-- Connections to 1-wire module
data_in : in std_logic_vector(8 - 1 downto 0);
data_in_f : in std_logic;
busy_in : in std_logic;
error_in : in std_logic;
error_id_in : in unsigned(1 downto 0);
crc_in : in std_logic_vector(8 - 1 downto 0);
reset_ow_out : out std_logic;
data_out : out std_logic_vector(8 - 1 downto 0);
data_out_f : out std_logic;
receive_data_out_f : out std_logic;
-- Temperature output and associated strobe
temp_out : out signed(16 - 1 downto 0);
temp_out_f : out std_logic;
temp_error_out : out std_logic;
pullup_out : out std_logic
);
end entity;
architecture rtl of ds18b20 is
constant DS18B20_ROM_CMD : std_logic_vector(8 - 1 downto 0) := x"CC";
constant DS18B20_CONV_CMD : std_logic_vector(8 - 1 downto 0) := x"44";
constant DS18B20_READ_CMD : std_logic_vector(8 - 1 downto 0) := x"BE";
begin
handler_p: process(clk, reset)
type ds18b20_state is (idle, wait_busy, reset_ow, reset_error, rom_cmd,
conv_cmd, conv_delay, read_cmd, start_read, read_byte);
type data_array is array (9 - 1 downto 0) of
std_logic_vector(8 - 1 downto 0);
variable state : ds18b20_state;
variable next_state : ds18b20_state;
variable next_cmd : ds18b20_state;
variable data : data_array;
variable bytes_left : unsigned(ceil_log2(data_in'length) downto 0);
variable busy_state : std_logic;
variable timer : unsigned(ceil_log2(CONV_DELAY_VAL) downto 0);
begin
if reset = '1' then
state := idle;
next_state := idle;
next_cmd := conv_cmd;
reset_ow_out <= '0';
busy_state := '0';
data := (others => (others => '0'));
bytes_left := (others => '0');
timer := (others => '0');
receive_data_out_f <= '0';
data_out <= (others => '0');
data_out_f <= '0';
temp_out <= (others => '0');
temp_out_f <= '0';
temp_error_out <= '0';
pullup_out <= '1';
elsif rising_edge(clk) then
if state = idle then
temp_out_f <= '0';
if conv_in_f = '1' then
reset_ow_out <= '1';
state := reset_ow;
end if;
elsif state = wait_busy then
data_out_f <= '0';
if not busy_state = busy_in and busy_in = '0' then
state := next_state;
end if;
busy_state := busy_in;
elsif state = reset_ow then
bytes_left := to_unsigned(data'length, bytes_left'length);
reset_ow_out <= '0';
-- Reset error flag
temp_error_out <= '0';
pullup_out <= '1';
state := wait_busy;
next_state := reset_error;
elsif state = reset_error then
-- No device present on the bus, stop and go back to idle
if error_in = '1' and error_id_in = 1 then
temp_error_out <= '1';
state := idle;
else
state := rom_cmd;
end if;
elsif state = rom_cmd then
data_out <= DS18B20_ROM_CMD;
data_out_f <= '1';
state := wait_busy;
next_state := next_cmd;
elsif state = conv_cmd then
data_out <= DS18B20_CONV_CMD;
data_out_f <= '1';
state := wait_busy;
next_state := conv_delay;
elsif state = conv_delay then
data_out_f <= '0';
pullup_out <= '0';
if timer < CONV_DELAY_VAL then
timer := timer + 1;
else
timer := (others => '0');
next_cmd := read_cmd;
reset_ow_out <= '1';
state := reset_ow;
end if;
elsif state = read_cmd then
data_out <= DS18B20_READ_CMD;
data_out_f <= '1';
state := wait_busy;
next_cmd := conv_cmd;
next_state := start_read;
elsif state = start_read then
receive_data_out_f <= '1';
state := read_byte;
elsif state = read_byte then
receive_data_out_f <= '0';
if data_in_f = '1' then
data(data'length - to_integer(bytes_left)) := data_in;
bytes_left := bytes_left - 1;
if bytes_left = 0 then
-- If CRC is valid
if crc_in = x"00" then
state := idle;
temp_out <= signed(std_logic_vector'(
data(1) & data(0)));
temp_out_f <= '1';
else
state := idle;
temp_error_out <= '1';
end if;
else
state := start_read;
end if;
end if;
end if;
end if;
end process;
end;
| mit | 4232ddbac428d82eba434b8eb0715f08 | 0.422706 | 4.135995 | false | false | false | false |
zhlinh/vhdl_course | Exercise/MUX41/MUX41.vhd | 1 | 451 | --4选1信号选择器
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY MUX41 IS
PORT(X:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
A,B:IN STD_LOGIC;
Y:OUT STD_LOGIC);
END ENTITY MUX41;
ARCHITECTURE ART OF MUX41 IS
SIGNAL SEL:STD_LOGIC_VECTOR(1 DOWNTO 0);
BEGIN
SEL<=B&A;
PROCESS(X,SEL) IS
BEGIN
IF(SEL="00") THEN Y<=X(0);
ELSIF(SEL="01") THEN Y<=X(1);
ELSIF(SEL="11") THEN Y<=X(2);
ELSE Y<=X(3);
END IF;
END PROCESS;
END ARCHITECTURE ART; | apache-2.0 | 46224e2d601dfd675e9f9ad140f4dfaa | 0.665148 | 2.347594 | false | false | false | false |
albayaty/Video-Game-Engine | EDK/VGA/20x15/user_logic.vhd | 1 | 14,480 | -- ==============================================
-- Copyright © 2014 Ali M. Al-Bayaty
--
-- Video-Game-Engine is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- any later version.
--
-- Video-Game-Engine is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- ==============================================
--
-- Video Game Engine Project
-- ( EDK: VGA 20x15 Resolution, User Logic VHDL )
--
-- MSEE student: Ali M. Al-Bayaty
-- EE659: System-On-Chip
-- Personal website: <http://albayaty.github.io/>
-- Source code link: <https://github.com/albayaty/Video-Game-Engine.git>
--
-- ==============================================
--
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Sat Oct 15 15:40:57 2011 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 7
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
hsync: out std_logic;
vsync: out std_logic;
rgb: out std_logic_vector(0 to 2);
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
component vga is
port (
clk: in std_logic;
hsync, vsync: out std_logic;
rgb: out std_logic_vector(0 to 2);
we: in std_logic;
add_bus1: in std_logic_vector(0 to 8);
add_bus2: in std_logic_vector(0 to 8);
add_bus3: in std_logic_vector(0 to 10);
data_bus1: in std_logic_vector(0 to 4);
data_bus2: in std_logic_vector(0 to 4);
data_bus3: in std_logic_vector(0 to 3) );
end component;
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg1 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg2 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg3 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg4 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg5 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg6 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg_write_sel : std_logic_vector(0 to 6);
signal slv_reg_read_sel : std_logic_vector(0 to 6);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
vgamodule: vga port map(
clk => Bus2IP_Clk,
hsync => hsync,
vsync => vsync,
rgb => rgb,
we => slv_reg0(31),
add_bus1 => slv_reg1(23 to 31),
add_bus2 => slv_reg2(23 to 31),
add_bus3 => slv_reg3(21 to 31),
data_bus1 => slv_reg4(27 to 31),
data_bus2 => slv_reg5(27 to 31),
data_bus3 => slv_reg6(28 to 31)
);
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_write_sel <= Bus2IP_WrCE(0 to 6);
slv_reg_read_sel <= Bus2IP_RdCE(0 to 6);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4) or Bus2IP_WrCE(5) or Bus2IP_WrCE(6);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4) or Bus2IP_RdCE(5) or Bus2IP_RdCE(6);
-- implement slave model software accessible register(s)
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_reg0 <= (others => '0');
slv_reg1 <= (others => '0');
slv_reg2 <= (others => '0');
slv_reg3 <= (others => '0');
slv_reg4 <= (others => '0');
slv_reg5 <= (others => '0');
slv_reg6 <= (others => '0');
else
case slv_reg_write_sel is
when "1000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0100000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg1(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0010000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg2(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0001000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg3(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000100" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg4(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000010" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg5(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000001" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg6(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when others => null;
end case;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6 ) is
begin
case slv_reg_read_sel is
when "1000000" => slv_ip2bus_data <= slv_reg0;
when "0100000" => slv_ip2bus_data <= slv_reg1;
when "0010000" => slv_ip2bus_data <= slv_reg2;
when "0001000" => slv_ip2bus_data <= slv_reg3;
when "0000100" => slv_ip2bus_data <= slv_reg4;
when "0000010" => slv_ip2bus_data <= slv_reg5;
when "0000001" => slv_ip2bus_data <= slv_reg6;
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
| gpl-3.0 | d67a0de2778b7f5b7ef83de7f633cba7 | 0.496029 | 3.894298 | false | false | false | false |
kjellhar/ArtixPi | blink_led/src/hdl/blink_led.vhd | 1 | 2,156 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06/15/2016 03:17:35 AM
-- Design Name:
-- Module Name: blink_led - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity blink_led is
Port (
clk1 : in STD_LOGIC;
clk1_en : out std_logic;
usr_led1 : out std_logic;
usr_led2 : out std_logic);
end blink_led;
architecture Behavioral of blink_led is
constant div1_period : integer := 100000000;
constant div2_period : integer := 30000000;
component clk_wiz_0
port (-- Clock in ports
clk_in1 : in std_logic;
-- Clock out ports
clk_out1 : out std_logic);
end component;
signal clk100m : std_logic;
signal clk_en_i : std_logic := '1';
signal div1 : integer range 0 to div1_period/2 - 1 := 0;
signal div2 : integer range 0 to div2_period/2 - 1 := 0;
signal led1 : std_logic := '0';
signal led2 : std_logic := '0';
begin
clkgen : clk_wiz_0
port map (
-- Clock in ports
clk_in1 => clk1,
-- Clock out ports
clk_out1 => clk100m
);
process
begin
wait until rising_edge(clk100m);
div1 <= div1 + 1;
div2 <= div2 + 1;
if div1 = 0 then
led1 <= not led1;
end if;
if div2 = 0 then
led2 <= not led2;
end if;
end process;
usr_led1 <= led1;
usr_led2 <= led2;
clk1_en <= clk_en_i;
end Behavioral;
| gpl-3.0 | 156b64027be1bf43c5ca90c2595dca2f | 0.526438 | 3.802469 | false | false | false | false |
thequbit/af_paper | code/xilinx/pixel_difference_2d.vhd | 1 | 1,935 |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity pixel_difference_2d is
Port ( i_clk : in STD_LOGIC;
i_reset : in STD_LOGIC;
i_R : in STD_LOGIC_VECTOR (7 downto 0);
i_G : in STD_LOGIC_VECTOR (7 downto 0);
i_B : in STD_LOGIC_VECTOR (7 downto 0);
i_framevalid : in STD_LOGIC;
i_linevalid : in STD_LOGIC;
o_focusvalue : out STD_LOGIC_VECTOR(31 downto 0);
o_dv : out STD_LOGIC
);
end pixel_difference_2d;
architecture Behavioral of pixel_difference_2d is
COMPONENT color_space_converter
PORT(
i_clk : IN std_logic;
i_reset : IN std_logic;
i_R : IN std_logic_vector(7 downto 0);
i_G : IN std_logic_vector(7 downto 0);
i_B : IN std_logic_vector(7 downto 0);
i_framevalid : IN std_logic;
i_linevalid : IN std_logic;
o_Y : OUT std_logic_vector(7 downto 0);
o_framevalid : OUT std_logic;
o_linevalid : OUT std_logic
);
END COMPONENT;
COMPONENT focus_calculation_pixel_difference_2d
PORT(
i_clk : IN std_logic;
i_reset : IN std_logic;
i_framevalid : IN std_logic;
i_linevalid : IN std_logic;
i_Y : IN std_logic_vector(7 downto 0);
o_focusvalue : OUT std_logic_vector(31 downto 0);
o_dv : OUT std_logic
);
END COMPONENT;
signal s_framevalid : STD_LOGIC;
signal s_linevalid : STD_LOGIC;
signal s_Y : STD_LOGIC_VECTOR(7 downto 0);
begin
Inst_color_space_converter: color_space_converter PORT MAP(
i_clk => i_clk,
i_reset => i_reset,
i_R => i_R,
i_G => i_G,
i_B => i_B,
i_framevalid => i_framevalid,
i_linevalid => i_linevalid,
o_Y => s_Y,
o_framevalid => s_framevalid,
o_linevalid => s_linevalid
);
Inst_focus_calculation: focus_calculation_pixel_difference_2d PORT MAP(
i_clk => i_clk,
i_reset => i_reset,
i_framevalid => s_framevalid,
i_linevalid => s_linevalid,
i_Y => s_Y,
o_focusvalue => o_focusvalue,
o_dv => o_dv
);
end Behavioral;
| gpl-3.0 | ee5f727cd55555903933c30a5f70cf55 | 0.62739 | 2.764286 | false | false | false | false |
zhlinh/vhdl_course | Exercise/BIDIR/BIDIR.vhd | 1 | 738 | --双向总线缓存器,有两个数据输入/输出端A和B,一个方向控制端DIR和一个选通端EN。
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY BIDIR IS
PORT(A,B:INOUT STD_LOGIC_VECTOR(7 DOWNTO 0);
EN,DIR:IN STD_LOGIC);
END ENTITY;
ARCHITECTURE ART OF BIDIR IS
SIGNAL AOUT,BOUT:STD_LOGIC_VECTOR(7 DOWNTO 0);
BEGIN
PROCESS(A,EN,DIR) IS
BEGIN
IF((EN='0') AND (DIR='1')) THEN BOUT<=A;
ELSE BOUT<="ZZZZZZZZ";
END IF;
B<=BOUT;
END PROCESS;
PROCESS(B,EN,DIR) IS
BEGIN
IF((EN='0') AND (DIR='1')) THEN AOUT<=B;
ELSE AOUT<="ZZZZZZZZ";
END IF;
A<=AOUT;
END PROCESS;
END ARCHITECTURE ART;
| apache-2.0 | e7fae6c9c1fb0e739a9da07048f3d05c | 0.564179 | 2.875536 | false | false | false | false |
jz0229/open-ephys-pcie | oepcie_host_firmware/HDLs/hs_com_control.vhd | 1 | 11,626 | --hs_com_control.vdh
--Jie Zhang, MWL, MIT.
--This module handles the multi-sensor buffering and multiplexing to the xillybus 32bits data FIFO
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
use work.myDeclare.all;
entity hs_com_control is
port (
bus_clk : in std_logic;
global_reset : in std_logic;
--device_num : in std_logic_vector(LOG2_MAX_DEVICE_NUMBER-1 downto 0);
dev_reset_in : in std_logic;
hs_com_fifo_data : out std_logic_vector(31 downto 0);
hs_com_fifo_enb : out std_logic
);
end hs_com_control;
architecture Behavioral of hs_com_control is
type hscomstate_type is (PAUSE, LOOPCHECK, HEADER, DEVICEMAPINDEX, DEVICEPUSH, NEWDEVICE); --state machine definition
signal hscomstate : hscomstate_type;
signal dev_cnt : unsigned(LOG2_MAX_DEVICE_NUMBER-1 downto 0); --allocate a device counter bounded by MAX DEVICE NUMBER
signal data_cnt : unsigned(LOG2_MAX_DATA_FRAME_PER_DEVICE-1 downto 0); --allocate a data counter
signal data_toggle : std_logic := '0'; --used to merge 16bits data to 32bits bus
signal clk_slow : std_logic := '0';
type intan_data_type is array (0 to 66) of std_logic_vector(15 downto 0);
type intan_device_array_type is array (0 to 3) of intan_data_type;
signal intan_device_array : intan_device_array_type;
signal frame_number : unsigned(63 downto 0);
--sensor specific signals
signal threshold, threshold_buf, sensor_clk, sensor_rd, sensor_wr : std_logic_vector(NUMBEROFDEVICE-1 downto 0);
type sensor_data_array_type is array (0 to NUMBEROFDEVICE-1) of std_logic_vector(15 downto 0);
signal sensor_data_in_array : sensor_data_array_type;
signal sensor_data_out_array : sensor_data_array_type;
component clk_div is
generic (MAXD: natural:=5);
port(
clk: in std_logic;
reset: in std_logic;
div: in integer range 0 to MAXD;
div_clk: out std_logic
);
end component;
begin
clk_div_slow: clk_div generic map (MAXD => 125)
port map ( clk => bus_clk, reset => global_reset, div => 125, div_clk => clk_slow);
clk_div_intan_0: clk_div generic map (MAXD => 2)
port map ( clk => clk_slow, reset => global_reset, div => 2, div_clk => sensor_clk(0));
clk_div_intan_1: clk_div generic map (MAXD => 7)
port map ( clk => clk_slow, reset => global_reset, div => 5, div_clk => sensor_clk(1));
clk_div_imu_2: clk_div generic map (MAXD => 2000)
port map ( clk => clk_slow, reset => global_reset, div => 2000, div_clk => sensor_clk(2));
--some fake intan operations:
intan_proc: process(clk_slow, global_reset, hscomstate)
begin
if (global_reset = '1') then
for i in 0 to 3 loop
for j in 0 to 66 loop
intan_device_array(i)(j) <= (others=>'0');
end loop;
end loop;
elsif (rising_edge(clk_slow)) then
if hscomstate = NEWDEVICE then
for i in 0 to 3 loop
for j in 0 to 66 loop
intan_device_array(i)(j) <= std_logic_vector(unsigned(intan_device_array(i)(j)) + j);
end loop;
end loop;
end if;
end if;
end process;
--instantiate sensor FIFOs
-- 32bit uni-directional data bus to the host
fifo_intan_inst_0 : fifo_intan_16bits
port map(
wr_clk => sensor_clk(0),
rd_clk => bus_clk,
rst => '0',
din => (others=>'0'),
wr_en => '1',
rd_en => sensor_rd(0),
dout => sensor_data_out_array(0),
full => open,
empty => open,
prog_empty => threshold(0)
);
fifo_intan_inst_1 : fifo_intan_16bits
port map(
wr_clk => sensor_clk(1),
rd_clk => bus_clk,
rst => '0',
din => (others=>'0'),
wr_en => '1',
rd_en => sensor_rd(1),
dout => sensor_data_out_array(1),
full => open,
empty => open,
prog_empty => threshold(1)
);
fifo_imu_inst_0 : fifo_imu_16bits
port map(
wr_clk => sensor_clk(2),
rd_clk => bus_clk,
rst => '0',
din => (others=>'0'),
wr_en => '1',
rd_en => sensor_rd(2),
dout => sensor_data_out_array(2),
full => open,
empty => open,
prog_empty => threshold(2)
);
--hs com state machine
hs_com_proc: process(bus_clk, global_reset, data_cnt, threshold_buf, threshold, sensor_clk, sensor_rd)
begin
if (dev_reset_in = '1' or global_reset = '1') then
hscomstate <= PAUSE;
dev_cnt <= (others=>'0');
data_cnt <= (others=>'0');
data_toggle <= '0';
hs_com_fifo_data <= (others=>'0');
hs_com_fifo_enb <= '0';
frame_number <= (others=>'0');
threshold_buf <= (others=>'1');
sensor_rd <= (others=>'0');
elsif (rising_edge(bus_clk)) then
case hscomstate is
when PAUSE =>
if data_cnt >= 500 then --pause 500 cycles
hscomstate <= LOOPCHECK;
threshold_buf <= threshold;
data_cnt <= (others=>'0');
else
data_cnt <= data_cnt + 1;
end if;
hs_com_fifo_data <= (others=>'0');
hs_com_fifo_enb <= '0';
threshold_buf <= (others=>'1');
sensor_rd <= (others=>'0');
dev_cnt <= (others=>'0');
when LOOPCHECK =>
hs_com_fifo_enb <= '0';
data_toggle <= '0';
sensor_rd <= (others=>'0');
--count the number of devices that is not zero.
if data_cnt > NUMBEROFDEVICE-1 then
if dev_cnt > 0 then
hscomstate <= HEADER;
else
hscomstate <= LOOPCHECK;
dev_cnt <= (others=>'0');
threshold_buf <= threshold;
end if;
data_cnt <= (others=>'0');
else
if (threshold_buf(to_integer(data_cnt)) = '0') then
dev_cnt <= dev_cnt + 1;
end if;
hscomstate <= LOOPCHECK;
data_cnt <= data_cnt + 1;
end if;
when HEADER =>
if data_cnt = 0 then --send first 32bits of frame number (bytes 0-3)
hs_com_fifo_data <= std_logic_vector(frame_number(31 downto 0));
--hs_com_fifo_data <= x"01_23_45_67";
--hs_com_fifo_data <= x"00_00_00_01";
elsif data_cnt = 1 then --send the rest 32bits of frame number (bytes 4-7)
--hs_com_fifo_data <= x"00_00_00_00";
hs_com_fifo_data <= std_logic_vector(frame_number(63 downto 32));
elsif data_cnt = 2 then
hs_com_fifo_data(15 downto 0) <= std_logic_vector(to_unsigned(to_integer(dev_cnt), 16)); --3 devices in this frame
hs_com_fifo_data(23 downto 16) <= (others=>'0'); --corrupt
hs_com_fifo_data(31 downto 24) <= (others=>'0'); --reserved
else --reserved
hs_com_fifo_data <= (others=>'0');
end if;
hs_com_fifo_enb <= '1';
if data_cnt >= 7 then
data_cnt <= (others=>'0');
hscomstate <= DEVICEMAPINDEX;
dev_cnt <= (others=>'0');
else
data_cnt <= data_cnt + 1;
hscomstate <= HEADER;
end if;
when DEVICEMAPINDEX =>
if dev_cnt >= NUMBEROFDEVICE-1 then --3 device
dev_cnt <= (others=>'0');
hscomstate <= NEWDEVICE;
else
dev_cnt <= dev_cnt + 1;
hscomstate <= DEVICEMAPINDEX;
end if;
if (threshold_buf(to_integer(dev_cnt)) = '0') then --almost empty flag not asserted
hs_com_fifo_data <= std_logic_vector(to_unsigned(to_integer(dev_cnt),32));
hs_com_fifo_enb <= '1';
else
hs_com_fifo_enb <= '0';
end if;
when DEVICEPUSH =>
if data_cnt >= data_length_array(to_integer(dev_cnt))-1 then
hscomstate <= NEWDEVICE;
dev_cnt <= dev_cnt + 1;
sensor_rd(to_integer(dev_cnt)) <= '0';
else
data_cnt <= data_cnt + 1;
sensor_rd(to_integer(dev_cnt)) <= '1';
end if;
data_toggle <= not data_toggle;
if data_toggle = '0' then
hs_com_fifo_data(15 downto 0) <= intan_device_array(to_integer(dev_cnt))(to_integer(data_cnt));
hs_com_fifo_enb <= '0';
else
hs_com_fifo_data(31 downto 16) <= intan_device_array(to_integer(dev_cnt))(to_integer(data_cnt));
hs_com_fifo_enb <= '1';
end if;
when NEWDEVICE =>
if (dev_cnt > NUMBEROFDEVICE-1) then
if data_toggle = '1' then --needs padding
hs_com_fifo_data(31 downto 16) <= (others=>'0');
hs_com_fifo_enb <= '1';
else
hs_com_fifo_enb <= '0';
end if;
hscomstate <= LOOPCHECK;
frame_number <= frame_number + 1;
dev_cnt <= (others=>'0');
threshold_buf <= threshold;
else
if threshold_buf(to_integer(dev_cnt)) = '0' then --asserted
sensor_rd(to_integer(dev_cnt)) <= '1';
hscomstate <= DEVICEPUSH;
else
dev_cnt <= dev_cnt + 1;
hscomstate <= NEWDEVICE;
end if;
hs_com_fifo_enb <= '0';
end if;
data_cnt <= (others=>'0');
end case;
end if;
end process;
end Behavioral;
| mit | f495f3cc4e568183f9bbbd7bb31e1b2b | 0.434715 | 4.267988 | false | false | false | false |
upci/upci | Projeto/pc.vhd | 1 | 2,554 | ---- Program Counter ---------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.numeric_std.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE work.processor_functions.all;
------------------------------------------------------------------------------------------------------------------
ENTITY pc IS
PORT (clk, nrst: IN STD_LOGIC; -- reset ativo em zero
PC_inc: IN STD_LOGIC; -- sinal que indica que o PC deve ser incrementado
PC_load: IN STD_LOGIC; -- sinal que indica que PC deve ser substituido pelo valor em PC_bus
PC_valid: IN STD_LOGIC; -- sinal que indica que o valor de PC deve ser colocado em PC_bus (ou Z se 0)
PC_bus: INOUT STD_LOGIC_VECTOR(n-1 DOWNTO 0);
PC_7seg: OUT STD_LOGIC_VECTOR(0 TO 15)); -- barramento de entrada/saida
END ENTITY pc;
------------------------------------------------------------------------------------------------------------------
ARCHITECTURE rtl OF pc IS
SIGNAL counter: INTEGER RANGE 0 to 2**n -1; -- contador em si
SIGNAL counter_vector: STD_LOGIC_VECTOR(n-1 DOWNTO 0);
COMPONENT bcd_to_7seg IS
PORT (bcd: IN STD_LOGIC_VECTOR(3 DOWNTO 0);
en: IN STD_LOGIC;
output: OUT STD_LOGIC_VECTOR (0 TO 7));
END COMPONENT;
BEGIN
-- Se o PC_valid = '1', manda o valor do PC pro barramento. Caso contrario, manda Z.
PC_bus <= counter_vector
WHEN PC_valid = '1'
ELSE (OTHERS => 'Z');
counter_vector <= STD_LOGIC_VECTOR(to_unsigned(counter, PC_bus'length));
-- Gera a visualizacao 7seg
counter7seg_0: bcd_to_7seg PORT MAP(counter_vector(3 DOWNTO 0), seg_en, PC_7seg(0 TO 7));
counter7seg_1: bcd_to_7seg PORT MAP(counter_vector(7 DOWNTO 4), seg_en, PC_7seg(8 TO 15));
PROCESS (clk, nrst) IS
BEGIN
-- De forma assincrona, se o reset ficar em nivel 0, volta o contador pra 0
IF nrst = '0' THEN
counter <= 0;
-- Se teve uma borda de subida no clock, faz as outras coisas
ELSIF rising_edge(clk) THEN
-- A maior prioridade eh do incremento. Se esta em 1, incrementa o PC
IF PC_inc = '1' THEN
counter <= counter + 1;
-- Caso contrario, verifica se eh pra carregar o valor do bus.
ELSIF PC_load = '1' THEN
-- O PC_load deve carregar apenas o endereco, desconsiderando o OPCODE
counter <= TO_INTEGER(UNSIGNED(PC_bus(n-oplen-1 DOWNTO 0))); -- Cast de STD_LOGIC_VECTOR pra INTEGER
END IF;
END IF;
END PROCESS;
END ARCHITECTURE rtl;
------------------------------------------------------------------------------------------------------------------ | gpl-2.0 | a04162fbeab07e37907b05e9ddbaaefe | 0.567006 | 3.640514 | false | false | false | false |
upci/upci | Projeto/processor.vhd | 1 | 2,899 | -- The processor --
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE work.processor_functions.all;
ENTITY processor IS
PORT (clk, nrst, WAKE_signal: IN std_logic;
-- Switches
switches: IN std_logic_vector(17 downto 0);
-- Leds vermelhos
red_leds: OUT std_logic_vector(17 downto 0);
-- Leds verdes
green_leds: OUT std_logic_vector(8 downto 0);
-- 7 Seg
hex7: OUT std_logic_vector(0 TO 7);
hex6: OUT std_logic_vector(0 TO 7);
hex5: OUT std_logic_vector(0 TO 7);
hex4: OUT std_logic_vector(0 TO 7);
hex3: OUT std_logic_vector(0 TO 7);
hex2: OUT std_logic_vector(0 TO 7);
hex1: OUT std_logic_vector(0 TO 7);
hex0: OUT std_logic_vector(0 TO 7));
END ENTITY processor;
ARCHITECTURE processor OF processor IS
SIGNAL CONTROL_bus: std_logic_vector(n-1 DOWNTO 0);
SIGNAL clk_out: std_logic;
-- IR
SIGNAL IR_opcode: opcode;
SIGNAL IR_load: std_logic;
SIGNAL IR_valid: std_logic;
SIGNAL IR_opcode_leds: std_logic_vector(3 DOWNTO 0);
-- PC
SIGNAL PC_inc: std_logic;
SIGNAL PC_load: std_logic;
SIGNAL PC_valid: std_logic;
SIGNAL PC_7seg: std_logic_vector(0 TO 15);
-- Memory
SIGNAL MDR_load: std_logic;
SIGNAL MAR_load: std_logic;
SIGNAL MEM_valid: std_logic;
SIGNAL MEM_en: std_logic;
SIGNAL MEM_rw: std_logic;
-- ALU
SIGNAL ALU_zero: std_logic;
SIGNAL ALU_slt: std_logic;
SIGNAL ALU_valid: std_logic;
SIGNAL ALU_enable: std_logic;
SIGNAL ALU_cmd: std_logic_vector(3 DOWNTO 0);
-- IO
SIGNAL IODR_load: std_logic;
SIGNAL IOAR_load: std_logic;
SIGNAL IO_valid: std_logic;
SIGNAL IO_en: std_logic;
SIGNAL IO_rw: std_logic;
BEGIN
-- Para visualizacao
green_leds(0) <= not nrst;
green_leds(7) <= not WAKE_signal;
red_leds(17) <= clk_out;
red_leds(11 DOWNTO 0) <= CONTROL_bus;
red_leds(16 DOWNTO 13) <= IR_opcode_leds;
hex7 <= "01100001";
hex5 <= PC_7seg(8 TO 15);
hex4 <= PC_7seg(0 TO 7);
-- Divisor de clock
clock_divisor : entity work.clock_divisor port map(clk, nrst, clk_out);
-- Entidades internas
controller : entity work.controller port map(clk_out, nrst, CONTROL_bus, hex6, IR_opcode, IR_load, IR_valid, PC_inc, PC_load, PC_valid, MDR_load, MAR_load, MEM_valid, MEM_en, MEM_rw, ALU_zero, ALU_valid, ALU_slt, ALU_enable, ALU_cmd, IODR_load, IOAR_load, IO_valid, IO_en, IO_rw, WAKE_signal, green_leds(8));
memory : entity work.memory port map(clk_out, nrst, MDR_load, MAR_load, MEM_valid, MEM_en, MEM_rw, CONTROL_bus);
alu : entity work.alu port map(clk_out, nrst, ALU_cmd, ALU_zero, ALU_slt, ALU_valid, ALU_enable, CONTROL_bus);
ir : entity work.ir port map(clk_out, nrst, IR_load, IR_valid, IR_opcode, CONTROL_bus, IR_opcode_leds);
pc : entity work.pc port map(clk_out, nrst, PC_inc, PC_load, PC_valid, CONTROL_bus, PC_7seg);
io : entity work.io port map(clk_out, nrst, IODR_load, IOAR_load, IO_valid, IO_en, IO_rw, CONTROL_bus, switches, hex3, hex2, hex1, hex0);
END ARCHITECTURE; | gpl-2.0 | 3a4e73c3c11de759da4e64ce566e7480 | 0.684029 | 2.694238 | false | false | false | false |
upci/upci | Projeto/processor_functions.vhd | 1 | 2,141 | LIBRARY ieee;
USE ieee.numeric_std.all;
USE ieee.std_logic_1164.all;
PACKAGE processor_functions IS
TYPE opcode IS (LOAD, STORE, ADD, NOTT, ANDD, ORR, XORR, INC, SUB, JUMP, BZERO, BGREATER, BLESS, DEC, NOP, WAITT);
FUNCTION decode (word: STD_LOGIC_VECTOR) RETURN opcode;
FUNCTION cmdDecode (op: opcode) RETURN STD_LOGIC_VECTOR;
CONSTANT n: integer := 12;
CONSTANT seg_en: STD_LOGIC := '1';
CONSTANT wordlen: integer := 12;
CONSTANT oplen: integer := 4;
CONSTANT clk_frequency : integer := 1000000;
CONSTANT mem_limit: INTEGER := 128;
TYPE memory_array IS ARRAY (0 to 2**(n-oplen-1)) of STD_LOGIC_VECTOR(n-1 DOWNTO 0);
CONSTANT reg_zero: unsigned (n-1 DOWNTO 0) := (OTHERS => '0');
END PACKAGE processor_functions;
PACKAGE BODY processor_functions IS
FUNCTION decode (word: STD_LOGIC_VECTOR) RETURN opcode IS
VARIABLE opcode_out: opcode;
BEGIN
CASE word(n-1 DOWNTO n-oplen) IS
WHEN "0000" => opcode_out := LOAD;
WHEN "0001" => opcode_out := STORE;
WHEN "0010" => opcode_out := ADD;
WHEN "0011" => opcode_out := SUB;
WHEN "0100" => opcode_out := INC;
WHEN "0101" => opcode_out := DEC;
WHEN "0110" => opcode_out := NOTT;
WHEN "0111" => opcode_out := ANDD;
WHEN "1000" => opcode_out := ORR;
WHEN "1001" => opcode_out := XORR;
WHEN "1010" => opcode_out := JUMP;
WHEN "1011" => opcode_out := BZERO;
WHEN "1100" => opcode_out := BGREATER;
WHEN "1101" => opcode_out := BLESS;
WHEN "1110" => opcode_out := WAITT;
WHEN "1111" => opcode_out := NOP;
WHEN OTHERS => NULL;
END CASE;
RETURN opcode_out;
END FUNCTION decode;
FUNCTION cmdDecode (op: opcode) RETURN STD_LOGIC_VECTOR IS
VARIABLE cmd_out: STD_LOGIC_VECTOR(3 DOWNTO 0);
BEGIN
CASE op IS
WHEN LOAD => cmd_out := "0000";
WHEN ADD => cmd_out := "0001";
WHEN NOTT => cmd_out := "0010";
WHEN ORR => cmd_out := "0011";
WHEN ANDD => cmd_out := "0100";
WHEN XORR => cmd_out := "0101";
WHEN INC => cmd_out := "0110";
WHEN SUB => cmd_out := "0111";
WHEN DEC => cmd_out := "1000";
WHEN OTHERS => NULL;
END CASE;
RETURN cmd_out;
END FUNCTION cmdDecode;
END PACKAGE BODY processor_functions; | gpl-2.0 | 48dc1d9d7b09053934b5a2d4c7adea1a | 0.642223 | 2.990223 | false | false | false | false |
pren-et/bldc | diskret/vhdl/commutate/commutate.vhd | 1 | 4,517 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:45:53 11/22/2014
-- Design Name:
-- Module Name: commutate - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity commutate is
generic (
CLK_FRQ : integer := 50_000_000; -- 50 MHz
PWM_FRQ : integer := 285
);
Port ( clk : in STD_ULOGIC;
rst : in STD_ULOGIC;
sw : in STD_ULOGIC_VECTOR (3 downto 0);
h : in STD_ULOGIC_VECTOR (2 downto 0);
led : out STD_ULOGIC_VECTOR (7 downto 0);
u_l : out STD_ULOGIC;
u_h : out STD_ULOGIC;
v_l : out STD_ULOGIC;
v_h : out STD_ULOGIC;
w_l : out STD_ULOGIC;
w_h : out STD_ULOGIC;
h_b : out STD_ULOGIC);
end commutate;
architecture Behavioral of commutate is
signal h_buffered : STD_ULOGIC_VECTOR (2 downto 0);
signal pwm : STD_ULOGIC;
signal pwm_clk : STD_ULOGIC;
signal div_cnt : unsigned (15 downto 0);
signal pwm_cnt : STD_ULOGIC_VECTOR (3 downto 0);
begin
f_div : process (rst, clk)
begin
if rst = '1' then
div_cnt <= (others => '0');
pwm_clk <= '0';
elsif rising_edge(clk) then
if div_cnt = to_unsigned(0, 16) then
div_cnt <= to_unsigned(156, 16);
pwm_clk <= '1';
else
div_cnt <= div_cnt - 1;
pwm_clk <= '0';
end if;
end if;
end process;
p_pwm : process (rst, clk, pwm_clk, sw)
begin
if rst = '1' then
pwm_cnt <= "0000";
pwm <= '0';
elsif rising_edge(clk) then
if pwm_clk = '1' then
if pwm_cnt = "1111" then
pwm_cnt <= "0000";
else
pwm_cnt <= std_ulogic_vector(unsigned(pwm_cnt) + 1);
end if;
if pwm_cnt < sw then
pwm <= '1';
else
pwm <= '0';
end if;
end if;
end if;
end process;
h_buffer : process (pwm, h)
begin
if falling_edge(pwm) then
h_buffered <= h;
else
h_buffered <= h_buffered;
end if;
end process;
h_b <= h_buffered(0);
led(0) <= not h(0);
led(1) <= not h(1);
led(2) <= not h(2);
led(3) <= pwm;
led(4) <= pwm_clk;
led(5) <= '0';
led(6) <= '0';
led(7) <= '0';
comm : process (pwm, h_buffered )
begin
case h_buffered is
when "000" =>
u_l <= '0';
u_h <= '0';
v_l <= '0';
v_h <= '0';
w_l <= '0';
w_h <= '0';
when "001" =>
u_l <= '0';
u_h <= '0';
v_l <= pwm;
v_h <= '0';
w_l <= '0';
w_h <= pwm;
when "010" =>
u_l <= pwm;
u_h <= '0';
v_l <= '0';
v_h <= pwm;
w_l <= '0';
w_h <= '0';
when "011" =>
u_l <= pwm;
u_h <= '0';
v_l <= '0';
v_h <= '0';
w_l <= '0';
w_h <= pwm;
when "100" =>
u_l <= '0';
u_h <= pwm;
v_l <= '0';
v_h <= '0';
w_l <= pwm;
w_h <= '0';
when "101" =>
u_l <= '0';
u_h <= pwm;
v_l <= pwm;
v_h <= '0';
w_l <= '0';
w_h <= '0';
when "110" =>
u_l <= '0';
u_h <= '0';
v_l <= '0';
v_h <= pwm;
w_l <= pwm;
w_h <= '0';
when "111" =>
u_l <= '0';
u_h <= '0';
v_l <= '0';
v_h <= '0';
w_l <= '0';
w_h <= '0';
when others =>
u_l <= '0';
u_h <= '0';
v_l <= '0';
v_h <= '0';
w_l <= '0';
w_h <= '0';
end case;
end process;
end Behavioral;
| gpl-2.0 | 43eaa43edebb775a8f49e24d6fec3080 | 0.395617 | 3.333579 | false | false | false | false |
zhlinh/vhdl_course | Assignment/LED_CNT/SCANNER.vhd | 1 | 1,023 | --Scanner Entity
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY SCANNER IS
PORT ( CLK_SCAN : IN STD_LOGIC;
RESET: IN STD_LOGIC;
DIN12 : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
NUM : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
SEL : OUT STD_LOGIC_VECTOR (1 DOWNTO 0));
END ENTITY SCANNER;
ARCHITECTURE ART1 OF SCANNER IS
SIGNAL SEL_REG : STD_LOGIC_VECTOR(1 DOWNTO 0);
BEGIN
PROCESS(SEL_REG)
BEGIN
SEL <= SEL_REG;
END PROCESS;
PROCESS(CLK_SCAN,RESET)
BEGIN
IF(RESET='0') THEN
SEL_REG <= "00";
ELSIF(CLK_SCAN'EVENT AND CLK_SCAN='1') THEN
IF (SEL_REG = "11") THEN
SEL_REG <= "01";
ELSE
SEL_REG <= SEL_REG + 1;
END IF;
END IF;
END PROCESS;
PROCESS(SEL_REG)
BEGIN
CASE(SEL_REG) IS
WHEN "01" =>
NUM <= DIN12(3 DOWNTO 0);
WHEN "10" =>
NUM <= DIN12(7 DOWNTO 4);
WHEN "11" =>
NUM <= DIN12(11 DOWNTO 8);
WHEN OTHERS =>
NUM <= (OTHERS=> '0');
END CASE;
END PROCESS;
END ARCHITECTURE ART1; | apache-2.0 | 89f686f3eb20c3d63643ebd51e01906e | 0.602151 | 2.772358 | false | false | false | false |
sudov/options-accel | zedboard/xillinux-eval-zedboard-1.1/vhdl/src/xillydemo.vhd | 2 | 18,174 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity xillydemo is
port (
PS_CLK : IN std_logic;
PS_PORB : IN std_logic;
PS_SRSTB : IN std_logic;
clk_100 : IN std_logic;
otg_oc : IN std_logic;
DDR_Addr : INOUT std_logic_vector(14 DOWNTO 0);
DDR_BankAddr : INOUT std_logic_vector(2 DOWNTO 0);
DDR_CAS_n : INOUT std_logic;
DDR_CKE : INOUT std_logic;
DDR_CS_n : INOUT std_logic;
DDR_Clk : INOUT std_logic;
DDR_Clk_n : INOUT std_logic;
DDR_DM : INOUT std_logic_vector(3 DOWNTO 0);
DDR_DQ : INOUT std_logic_vector(31 DOWNTO 0);
DDR_DQS : INOUT std_logic_vector(3 DOWNTO 0);
DDR_DQS_n : INOUT std_logic_vector(3 DOWNTO 0);
DDR_DRSTB : INOUT std_logic;
DDR_ODT : INOUT std_logic;
DDR_RAS_n : INOUT std_logic;
DDR_VRN : INOUT std_logic;
DDR_VRP : INOUT std_logic;
MIO : INOUT std_logic_vector(53 DOWNTO 0);
PS_GPIO : INOUT std_logic_vector(55 DOWNTO 0);
DDR_WEB : OUT std_logic;
GPIO_LED : OUT std_logic_vector(3 DOWNTO 0);
vga4_blue : OUT std_logic_vector(3 DOWNTO 0);
vga4_green : OUT std_logic_vector(3 DOWNTO 0);
vga4_red : OUT std_logic_vector(3 DOWNTO 0);
vga_hsync : OUT std_logic;
vga_vsync : OUT std_logic;
audio_mclk : OUT std_logic;
audio_dac : OUT std_logic;
audio_adc : IN std_logic;
audio_bclk : IN std_logic;
audio_lrclk : IN std_logic;
smb_sclk : OUT std_logic;
smb_sdata : INOUT std_logic;
smbus_addr : OUT std_logic_vector(1 DOWNTO 0));
end xillydemo;
architecture sample_arch of xillydemo is
component xillybus
port (
PS_CLK : IN std_logic;
PS_PORB : IN std_logic;
PS_SRSTB : IN std_logic;
clk_100 : IN std_logic;
otg_oc : IN std_logic;
DDR_Addr : INOUT std_logic_vector(14 DOWNTO 0);
DDR_BankAddr : INOUT std_logic_vector(2 DOWNTO 0);
DDR_CAS_n : INOUT std_logic;
DDR_CKE : INOUT std_logic;
DDR_CS_n : INOUT std_logic;
DDR_Clk : INOUT std_logic;
DDR_Clk_n : INOUT std_logic;
DDR_DM : INOUT std_logic_vector(3 DOWNTO 0);
DDR_DQ : INOUT std_logic_vector(31 DOWNTO 0);
DDR_DQS : INOUT std_logic_vector(3 DOWNTO 0);
DDR_DQS_n : INOUT std_logic_vector(3 DOWNTO 0);
DDR_DRSTB : INOUT std_logic;
DDR_ODT : INOUT std_logic;
DDR_RAS_n : INOUT std_logic;
DDR_VRN : INOUT std_logic;
DDR_VRP : INOUT std_logic;
MIO : INOUT std_logic_vector(53 DOWNTO 0);
PS_GPIO : INOUT std_logic_vector(55 DOWNTO 0);
DDR_WEB : OUT std_logic;
GPIO_LED : OUT std_logic_vector(3 DOWNTO 0);
bus_clk : OUT std_logic;
quiesce : OUT std_logic;
vga4_blue : OUT std_logic_vector(3 DOWNTO 0);
vga4_green : OUT std_logic_vector(3 DOWNTO 0);
vga4_red : OUT std_logic_vector(3 DOWNTO 0);
vga_hsync : OUT std_logic;
vga_vsync : OUT std_logic;
user_r_mem_8_rden : OUT std_logic;
user_r_mem_8_empty : IN std_logic;
user_r_mem_8_data : IN std_logic_vector(7 DOWNTO 0);
user_r_mem_8_eof : IN std_logic;
user_r_mem_8_open : OUT std_logic;
user_w_mem_8_wren : OUT std_logic;
user_w_mem_8_full : IN std_logic;
user_w_mem_8_data : OUT std_logic_vector(7 DOWNTO 0);
user_w_mem_8_open : OUT std_logic;
user_mem_8_addr : OUT std_logic_vector(4 DOWNTO 0);
user_mem_8_addr_update : OUT std_logic;
user_r_read_32_rden : OUT std_logic;
user_r_read_32_empty : IN std_logic;
user_r_read_32_data : IN std_logic_vector(31 DOWNTO 0);
user_r_read_32_eof : IN std_logic;
user_r_read_32_open : OUT std_logic;
user_r_read_8_rden : OUT std_logic;
user_r_read_8_empty : IN std_logic;
user_r_read_8_data : IN std_logic_vector(7 DOWNTO 0);
user_r_read_8_eof : IN std_logic;
user_r_read_8_open : OUT std_logic;
user_w_write_32_wren : OUT std_logic;
user_w_write_32_full : IN std_logic;
user_w_write_32_data : OUT std_logic_vector(31 DOWNTO 0);
user_w_write_32_open : OUT std_logic;
user_w_write_8_wren : OUT std_logic;
user_w_write_8_full : IN std_logic;
user_w_write_8_data : OUT std_logic_vector(7 DOWNTO 0);
user_w_write_8_open : OUT std_logic;
user_r_audio_rden : OUT std_logic;
user_r_audio_empty : IN std_logic;
user_r_audio_data : IN std_logic_vector(31 DOWNTO 0);
user_r_audio_eof : IN std_logic;
user_r_audio_open : OUT std_logic;
user_w_audio_wren : OUT std_logic;
user_w_audio_full : IN std_logic;
user_w_audio_data : OUT std_logic_vector(31 DOWNTO 0);
user_w_audio_open : OUT std_logic;
user_r_smb_rden : OUT std_logic;
user_r_smb_empty : IN std_logic;
user_r_smb_data : IN std_logic_vector(7 DOWNTO 0);
user_r_smb_eof : IN std_logic;
user_r_smb_open : OUT std_logic;
user_w_smb_wren : OUT std_logic;
user_w_smb_full : IN std_logic;
user_w_smb_data : OUT std_logic_vector(7 DOWNTO 0);
user_w_smb_open : OUT std_logic;
user_clk : OUT std_logic;
user_wren : OUT std_logic;
user_wstrb : OUT std_logic_vector(3 DOWNTO 0);
user_rden : OUT std_logic;
user_rd_data : IN std_logic_vector(31 DOWNTO 0);
user_wr_data : OUT std_logic_vector(31 DOWNTO 0);
user_addr : OUT std_logic_vector(31 DOWNTO 0);
user_irq : IN std_logic);
end component;
component fifo_8x2048
port (
clk: IN std_logic;
srst: IN std_logic;
din: IN std_logic_VECTOR(7 downto 0);
wr_en: IN std_logic;
rd_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
full: OUT std_logic;
empty: OUT std_logic);
end component;
component fifo_32x512
port (
clk: IN std_logic;
srst: IN std_logic;
din: IN std_logic_VECTOR(31 downto 0);
wr_en: IN std_logic;
rd_en: IN std_logic;
dout: OUT std_logic_VECTOR(31 downto 0);
full: OUT std_logic;
empty: OUT std_logic);
end component;
component i2s_audio
port (
bus_clk : IN std_logic;
clk_100 : IN std_logic;
quiesce : IN std_logic;
audio_mclk : OUT std_logic;
audio_dac : OUT std_logic;
audio_adc : IN std_logic;
audio_bclk : IN std_logic;
audio_lrclk : IN std_logic;
user_r_audio_rden : IN std_logic;
user_r_audio_empty : OUT std_logic;
user_r_audio_data : OUT std_logic_vector(31 DOWNTO 0);
user_r_audio_eof : OUT std_logic;
user_r_audio_open : IN std_logic;
user_w_audio_wren : IN std_logic;
user_w_audio_full : OUT std_logic;
user_w_audio_data : IN std_logic_vector(31 DOWNTO 0);
user_w_audio_open : IN std_logic);
end component;
component smbus
port (
bus_clk : IN std_logic;
quiesce : IN std_logic;
smb_sclk : OUT std_logic;
smb_sdata : INOUT std_logic;
smbus_addr : OUT std_logic_vector(1 DOWNTO 0);
user_r_smb_rden : IN std_logic;
user_r_smb_empty : OUT std_logic;
user_r_smb_data : OUT std_logic_vector(7 DOWNTO 0);
user_r_smb_eof : OUT std_logic;
user_r_smb_open : IN std_logic;
user_w_smb_wren : IN std_logic;
user_w_smb_full : OUT std_logic;
user_w_smb_data : IN std_logic_vector(7 DOWNTO 0);
user_w_smb_open : IN std_logic);
end component;
-- Synplicity black box declaration
attribute syn_black_box : boolean;
attribute syn_black_box of fifo_32x512: component is true;
attribute syn_black_box of fifo_8x2048: component is true;
type demo_mem is array(0 TO 31) of std_logic_vector(7 DOWNTO 0);
signal demoarray : demo_mem;
signal litearray0 : demo_mem;
signal litearray1 : demo_mem;
signal litearray2 : demo_mem;
signal litearray3 : demo_mem;
signal bus_clk : std_logic;
signal quiesce : std_logic;
signal reset_8 : std_logic;
signal reset_32 : std_logic;
signal ram_addr : integer range 0 to 31;
signal lite_addr : integer range 0 to 31;
signal user_r_mem_8_rden : std_logic;
signal user_r_mem_8_empty : std_logic;
signal user_r_mem_8_data : std_logic_vector(7 DOWNTO 0);
signal user_r_mem_8_eof : std_logic;
signal user_r_mem_8_open : std_logic;
signal user_w_mem_8_wren : std_logic;
signal user_w_mem_8_full : std_logic;
signal user_w_mem_8_data : std_logic_vector(7 DOWNTO 0);
signal user_w_mem_8_open : std_logic;
signal user_mem_8_addr : std_logic_vector(4 DOWNTO 0);
signal user_mem_8_addr_update : std_logic;
signal user_r_read_32_rden : std_logic;
signal user_r_read_32_empty : std_logic;
signal user_r_read_32_data : std_logic_vector(31 DOWNTO 0);
signal user_r_read_32_eof : std_logic;
signal user_r_read_32_open : std_logic;
signal user_r_read_8_rden : std_logic;
signal user_r_read_8_empty : std_logic;
signal user_r_read_8_data : std_logic_vector(7 DOWNTO 0);
signal user_r_read_8_eof : std_logic;
signal user_r_read_8_open : std_logic;
signal user_w_write_32_wren : std_logic;
signal user_w_write_32_full : std_logic;
signal user_w_write_32_data : std_logic_vector(31 DOWNTO 0);
signal user_w_write_32_open : std_logic;
signal user_w_write_8_wren : std_logic;
signal user_w_write_8_full : std_logic;
signal user_w_write_8_data : std_logic_vector(7 DOWNTO 0);
signal user_w_write_8_open : std_logic;
signal user_r_audio_rden : std_logic;
signal user_r_audio_empty : std_logic;
signal user_r_audio_data : std_logic_vector(31 DOWNTO 0);
signal user_r_audio_eof : std_logic;
signal user_r_audio_open : std_logic;
signal user_w_audio_wren : std_logic;
signal user_w_audio_full : std_logic;
signal user_w_audio_data : std_logic_vector(31 DOWNTO 0);
signal user_w_audio_open : std_logic;
signal user_r_smb_rden : std_logic;
signal user_r_smb_empty : std_logic;
signal user_r_smb_data : std_logic_vector(7 DOWNTO 0);
signal user_r_smb_eof : std_logic;
signal user_r_smb_open : std_logic;
signal user_w_smb_wren : std_logic;
signal user_w_smb_full : std_logic;
signal user_w_smb_data : std_logic_vector(7 DOWNTO 0);
signal user_w_smb_open : std_logic;
signal user_clk : std_logic;
signal user_wren : std_logic;
signal user_wstrb : std_logic_vector(3 DOWNTO 0);
signal user_rden : std_logic;
signal user_rd_data : std_logic_vector(31 DOWNTO 0);
signal user_wr_data : std_logic_vector(31 DOWNTO 0);
signal user_addr : std_logic_vector(31 DOWNTO 0);
signal user_irq : std_logic;
begin
xillybus_ins : xillybus
port map (
-- Ports related to /dev/xillybus_mem_8
-- FPGA to CPU signals:
user_r_mem_8_rden => user_r_mem_8_rden,
user_r_mem_8_empty => user_r_mem_8_empty,
user_r_mem_8_data => user_r_mem_8_data,
user_r_mem_8_eof => user_r_mem_8_eof,
user_r_mem_8_open => user_r_mem_8_open,
-- CPU to FPGA signals:
user_w_mem_8_wren => user_w_mem_8_wren,
user_w_mem_8_full => user_w_mem_8_full,
user_w_mem_8_data => user_w_mem_8_data,
user_w_mem_8_open => user_w_mem_8_open,
-- Address signals:
user_mem_8_addr => user_mem_8_addr,
user_mem_8_addr_update => user_mem_8_addr_update,
-- Ports related to /dev/xillybus_read_32
-- FPGA to CPU signals:
user_r_read_32_rden => user_r_read_32_rden,
user_r_read_32_empty => user_r_read_32_empty,
user_r_read_32_data => user_r_read_32_data,
user_r_read_32_eof => user_r_read_32_eof,
user_r_read_32_open => user_r_read_32_open,
-- Ports related to /dev/xillybus_read_8
-- FPGA to CPU signals:
user_r_read_8_rden => user_r_read_8_rden,
user_r_read_8_empty => user_r_read_8_empty,
user_r_read_8_data => user_r_read_8_data,
user_r_read_8_eof => user_r_read_8_eof,
user_r_read_8_open => user_r_read_8_open,
-- Ports related to /dev/xillybus_write_32
-- CPU to FPGA signals:
user_w_write_32_wren => user_w_write_32_wren,
user_w_write_32_full => user_w_write_32_full,
user_w_write_32_data => user_w_write_32_data,
user_w_write_32_open => user_w_write_32_open,
-- Ports related to /dev/xillybus_write_8
-- CPU to FPGA signals:
user_w_write_8_wren => user_w_write_8_wren,
user_w_write_8_full => user_w_write_8_full,
user_w_write_8_data => user_w_write_8_data,
user_w_write_8_open => user_w_write_8_open,
-- Ports related to Xillybus Lite
user_clk => user_clk,
user_wren => user_wren,
user_wstrb => user_wstrb,
user_rden => user_rden,
user_rd_data => user_rd_data,
user_wr_data => user_wr_data,
user_addr => user_addr,
user_irq => user_irq,
-- Ports related to /dev/xillybus_audio
-- FPGA to CPU signals:
user_r_audio_rden => user_r_audio_rden,
user_r_audio_empty => user_r_audio_empty,
user_r_audio_data => user_r_audio_data,
user_r_audio_eof => user_r_audio_eof,
user_r_audio_open => user_r_audio_open,
-- CPU to FPGA signals:
user_w_audio_wren => user_w_audio_wren,
user_w_audio_full => user_w_audio_full,
user_w_audio_data => user_w_audio_data,
user_w_audio_open => user_w_audio_open,
-- Ports related to /dev/xillybus_smb
-- FPGA to CPU signals:
user_r_smb_rden => user_r_smb_rden,
user_r_smb_empty => user_r_smb_empty,
user_r_smb_data => user_r_smb_data,
user_r_smb_eof => user_r_smb_eof,
user_r_smb_open => user_r_smb_open,
-- CPU to FPGA signals:
user_w_smb_wren => user_w_smb_wren,
user_w_smb_full => user_w_smb_full,
user_w_smb_data => user_w_smb_data,
user_w_smb_open => user_w_smb_open,
-- General signals
PS_CLK => PS_CLK,
PS_PORB => PS_PORB,
PS_SRSTB => PS_SRSTB,
clk_100 => clk_100,
otg_oc => otg_oc,
DDR_Addr => DDR_Addr,
DDR_BankAddr => DDR_BankAddr,
DDR_CAS_n => DDR_CAS_n,
DDR_CKE => DDR_CKE,
DDR_CS_n => DDR_CS_n,
DDR_Clk => DDR_Clk,
DDR_Clk_n => DDR_Clk_n,
DDR_DM => DDR_DM,
DDR_DQ => DDR_DQ,
DDR_DQS => DDR_DQS,
DDR_DQS_n => DDR_DQS_n,
DDR_DRSTB => DDR_DRSTB,
DDR_ODT => DDR_ODT,
DDR_RAS_n => DDR_RAS_n,
DDR_VRN => DDR_VRN,
DDR_VRP => DDR_VRP,
MIO => MIO,
PS_GPIO => PS_GPIO,
DDR_WEB => DDR_WEB,
GPIO_LED => GPIO_LED,
bus_clk => bus_clk,
quiesce => quiesce,
vga4_blue => vga4_blue,
vga4_green => vga4_green,
vga4_red => vga4_red,
vga_hsync => vga_hsync,
vga_vsync => vga_vsync
);
-- Xillybus Lite
user_irq <= '0'; -- No interrupts for now
lite_addr <= conv_integer(user_addr(6 DOWNTO 2));
process (user_clk)
begin
if (user_clk'event and user_clk = '1') then
if (user_wstrb(0) = '1') then
litearray0(lite_addr) <= user_wr_data(7 DOWNTO 0);
end if;
if (user_wstrb(1) = '1') then
litearray1(lite_addr) <= user_wr_data(15 DOWNTO 8);
end if;
if (user_wstrb(2) = '1') then
litearray2(lite_addr) <= user_wr_data(23 DOWNTO 16);
end if;
if (user_wstrb(3) = '1') then
litearray3(lite_addr) <= user_wr_data(31 DOWNTO 24);
end if;
if (user_rden = '1') then
user_rd_data <= litearray3(lite_addr) & litearray2(lite_addr) &
litearray1(lite_addr) & litearray0(lite_addr);
end if;
end if;
end process;
-- A simple inferred RAM
ram_addr <= conv_integer(user_mem_8_addr);
process (bus_clk)
begin
if (bus_clk'event and bus_clk = '1') then
if (user_w_mem_8_wren = '1') then
demoarray(ram_addr) <= user_w_mem_8_data;
end if;
if (user_r_mem_8_rden = '1') then
user_r_mem_8_data <= demoarray(ram_addr);
end if;
end if;
end process;
user_r_mem_8_empty <= '0';
user_r_mem_8_eof <= '0';
user_w_mem_8_full <= '0';
-- 32-bit loopback
fifo_32 : fifo_32x512
port map(
clk => bus_clk,
srst => reset_32,
din => user_w_write_32_data,
wr_en => user_w_write_32_wren,
rd_en => user_r_read_32_rden,
dout => user_r_read_32_data,
full => user_w_write_32_full,
empty => user_r_read_32_empty
);
reset_32 <= not (user_w_write_32_open or user_r_read_32_open);
user_r_read_32_eof <= '0';
-- 8-bit loopback
fifo_8 : fifo_8x2048
port map(
clk => bus_clk,
srst => reset_8,
din => user_w_write_8_data,
wr_en => user_w_write_8_wren,
rd_en => user_r_read_8_rden,
dout => user_r_read_8_data,
full => user_w_write_8_full,
empty => user_r_read_8_empty
);
reset_8 <= not (user_w_write_8_open or user_r_read_8_open);
user_r_read_8_eof <= '0';
audio_ins : i2s_audio
port map(
bus_clk => bus_clk,
clk_100 => clk_100,
quiesce => quiesce,
audio_mclk => audio_mclk,
audio_dac => audio_dac,
audio_adc => audio_adc,
audio_bclk => audio_bclk,
audio_lrclk => audio_lrclk,
user_r_audio_rden => user_r_audio_rden,
user_r_audio_empty => user_r_audio_empty,
user_r_audio_data => user_r_audio_data,
user_r_audio_eof => user_r_audio_eof,
user_r_audio_open => user_r_audio_open,
user_w_audio_wren => user_w_audio_wren,
user_w_audio_full => user_w_audio_full,
user_w_audio_data => user_w_audio_data,
user_w_audio_open => user_w_audio_open
);
smbus_ins : smbus
port map(
bus_clk => bus_clk,
quiesce => quiesce,
smb_sclk => smb_sclk,
smb_sdata => smb_sdata,
smbus_addr => smbus_addr,
user_r_smb_rden => user_r_smb_rden,
user_r_smb_empty => user_r_smb_empty,
user_r_smb_data => user_r_smb_data,
user_r_smb_eof => user_r_smb_eof,
user_r_smb_open => user_r_smb_open,
user_w_smb_wren => user_w_smb_wren,
user_w_smb_full => user_w_smb_full,
user_w_smb_data => user_w_smb_data,
user_w_smb_open => user_w_smb_open
);
end sample_arch;
| apache-2.0 | 023e863018d49abebbdc636d672e25ed | 0.599043 | 2.887512 | false | false | false | false |
luk51000/tg2 | Experimentos/ex1/Sources/static/clocks.vhd | 1 | 7,632 | -- file: clocks.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___200.000______0.000______50.0_______98.146_____89.971
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary_________200.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clocks is
port
(-- Clock in ports
CLK_IN_P : in std_logic;
CLK_IN_N : in std_logic;
-- Clock out ports
CLK_OUT : out std_logic
);
end clocks;
architecture xilinx of clocks is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clocks,clk_wiz_v3_6,{component_name=clocks,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=MMCM_ADV,num_out_clk=1,clkin1_period=5.000,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=MANUAL,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkfboutb_unused : std_logic;
signal clkout0 : std_logic;
signal clkout0b_unused : std_logic;
signal clkout1_unused : std_logic;
signal clkout1b_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout2b_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout3b_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
signal clkout6_unused : std_logic;
-- Dynamic programming unused signals
signal do_unused : std_logic_vector(15 downto 0);
signal drdy_unused : std_logic;
-- Dynamic phase shift unused signals
signal psdone_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
signal clkfbstopped_unused : std_logic;
signal clkinstopped_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1_buf : IBUFGDS
port map
(O => clkin1,
I => CLK_IN_P,
IB => CLK_IN_N);
-- Clocking primitive
--------------------------------------
-- Instantiation of the MMCM primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
mmcm_adv_inst : MMCME2_ADV
generic map
(BANDWIDTH => "OPTIMIZED",
CLKOUT4_CASCADE => FALSE,
COMPENSATION => "ZHOLD",
STARTUP_WAIT => FALSE,
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT_F => 5.000,
CLKFBOUT_PHASE => 0.000,
CLKFBOUT_USE_FINE_PS => FALSE,
CLKOUT0_DIVIDE_F => 5.000,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT0_USE_FINE_PS => FALSE,
CLKIN1_PERIOD => 5.000,
REF_JITTER1 => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKFBOUTB => clkfboutb_unused,
CLKOUT0 => clkout0,
CLKOUT0B => clkout0b_unused,
CLKOUT1 => clkout1_unused,
CLKOUT1B => clkout1b_unused,
CLKOUT2 => clkout2_unused,
CLKOUT2B => clkout2b_unused,
CLKOUT3 => clkout3_unused,
CLKOUT3B => clkout3b_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
CLKOUT6 => clkout6_unused,
-- Input clock control
CLKFBIN => clkfbout,
CLKIN1 => clkin1,
CLKIN2 => '0',
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DO => do_unused,
DRDY => drdy_unused,
DWE => '0',
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => psdone_unused,
-- Other control and status signals
LOCKED => locked_unused,
CLKINSTOPPED => clkinstopped_unused,
CLKFBSTOPPED => clkfbstopped_unused,
PWRDWN => '0',
RST => '0');
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT,
I => clkout0);
end xilinx;
| gpl-3.0 | 5e9e10fa15b6b7f43d4983138688cbb1 | 0.584644 | 4.202643 | false | false | false | false |
zhlinh/vhdl_course | Exercise/MUXB41/MUXB41.vhd | 1 | 513 | --4选1数据选择器
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY MUXB41 IS
PORT(DATA0,DATA1,DATA2,DATA3:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
A,B:IN STD_LOGIC;
Y:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));
END ENTITY;
ARCHITECTURE ART OF MUXB41 IS
SIGNAL SEL:STD_LOGIC_VECTOR(1 DOWNTO 0);
BEGIN
SEL<=B&A;
PROCESS(SEL)
BEGIN
CASE SEL IS
WHEN "00"=>Y<=DATA0;
WHEN "01"=>Y<=DATA1;
WHEN "10"=>Y<=DATA2;
WHEN "11"=>Y<=DATA3;
WHEN OTHERS=>Y<=NULL;
END CASE;
END PROCESS;
END ARCHITECTURE ART; | apache-2.0 | d9c1f5a61e4751318890c62e97a4a06e | 0.674651 | 2.443902 | false | false | false | false |
jz0229/open-ephys-pcie | oepcie_host_firmware/HDLs/async_com_control.vhd | 1 | 11,496 | --async_com_control.vdh
--by Jie Zhang, MWL, MIT.
--this module controls the async communication interface. It sends COBS encoded streams to the 8-bit width communication channel
--it detects a magic word from the headstage, which symbolizes the transmission of configuration details of the headstage.
--This module then encodes them using COBS before transmitting to the host.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
library work;
use WORK.myDeclare.all;
entity async_com_control is
port (
bus_clk : in std_logic;
reset : in std_logic;
--pclk : in std_logic;
--din : in std_logic_vector(11 downto 0); --headstage communication input from the Deserilizer.
dev_reset_in : in std_logic; --a signal that resets the state machine and gives out a device map data stream.
conf_ack : in std_logic;
conf_nack : in std_logic;
conf_done : in std_logic;
conf_mem_in : in mem_type;
--cobs fifo output
async_fifo_wr_enb : out std_logic;
async_fifo_wr_data : out std_logic_vector(7 downto 0)
);
end async_com_control;
architecture Behavioral of async_com_control is
--COBS encoder declaration
component cobs_encoder is
Port (
bus_clk : in std_logic;
reset : in std_logic;
--cobs inputs
pre_cobs_data_in : in async_stream_type;
data_in_length : in std_logic_vector(4 downto 0);
cobs_conv_begin : in std_logic;
--cobs outputs
cobs_data_out : out cobs_stream_types;
data_out_length : out std_logic_vector(4 downto 0);
cobs_conv_rdy : out std_logic
);
end component;
type async_sm_type is (IDLE, DEVRESET, COBSCONV, COBSWAIT, COBSPUSH);
signal async_sm : async_sm_type;
--CMD array struct
type async_cmd_array_type is array (0 to 8) of std_logic_vector(31 downto 0);
--constant ASYNC_CMD_ARRAY : async_cmd_array_type := (
--x"00_00_00_00", --Configuration write ack
--x"00_00_00_01", --Configuration write Nack
--x"00_00_00_02", --Configuration read ack
--x"00_00_00_04", --Configuration read Nack
--x"00_00_00_08", --Configuration write ack
--x"00_00_00_10", --DEVICE MAP START
--x"00_00_01_00", --FRAME READ SIZE IN BYTES
--x"00_00_10_00", --FRAME WRITE SIZE IN BYTES
--x"00_01_00_00" --DEVICE MAP INSTANT
--);
constant ASYNC_CMD_ARRAY : async_cmd_array_type := (
"00000000000000000000000000000001", --Configuration write ack
"00000000000000000000000000000010", --Configuration write Nack
"00000000000000000000000000000100", --Configuration read ack
"00000000000000000000000000001000", --Configuration read Nack
"00000000000000000000000000010000", --Configuration write ack
"00000000000000000000000000100000", --DEVICE MAP START
"00000000000000000000000001000000", --FRAME READ SIZE IN BYTES
"00000000000000000000000010000000", --FRAME WRITE SIZE IN BYTES
"00000000000000000000000100000000" --DEVICE MAP INSTANT
);
constant DEVICEMAPACK : std_logic_vector(63 downto 0) := ASYNC_CMD_ARRAY(5) & std_logic_vector(to_unsigned(3,32));
constant FRAMERSIZE : std_logic_vector(63 downto 0) := ASYNC_CMD_ARRAY(6) & std_logic_vector(to_unsigned(134,32));
constant FRAMEWSIZE : std_logic_vector(63 downto 0) := ASYNC_CMD_ARRAY(7) & std_logic_vector(to_unsigned(341,32));
constant DEVICEINST_dev0 : std_logic_vector(191 downto 0) := ASYNC_CMD_ARRAY(8) & std_logic_vector(to_unsigned(2,32)) &
std_logic_vector(to_unsigned(134 ,32)) & std_logic_vector(to_unsigned(0,32)) &
std_logic_vector(to_unsigned(0,32)) & std_logic_vector(to_unsigned(0,32));
constant DEVICEINST_dev1 : std_logic_vector(191 downto 0) := ASYNC_CMD_ARRAY(8) & std_logic_vector(to_unsigned(2,32)) &
std_logic_vector(to_unsigned(134 ,32)) & std_logic_vector(to_unsigned(0,32)) &
std_logic_vector(to_unsigned(0,32)) & std_logic_vector(to_unsigned(0,32));
constant DEVICEINST_dev2 : std_logic_vector(191 downto 0) := ASYNC_CMD_ARRAY(8) & std_logic_vector(to_unsigned(3,32)) &
std_logic_vector(to_unsigned(18,32)) & std_logic_vector(to_unsigned(0,32)) &
std_logic_vector(to_unsigned(0,32)) & std_logic_vector(to_unsigned(0,32));
--A function to convert the memory blocks to async stream
function MEM_TO_24BYTE (
memin : mem_type; wr_rd : std_logic; ack : std_logic)
return async_stream_type is
variable bytes24 : async_stream_type;
variable k : integer := 0;
begin
if wr_rd = '0' and ack = '1' then --write and ack
bytes24(0) := ASYNC_CMD_ARRAY(0)(31 downto 24);
bytes24(1) := ASYNC_CMD_ARRAY(0)(23 downto 16);
bytes24(2) := ASYNC_CMD_ARRAY(0)(15 downto 8);
bytes24(3) := ASYNC_CMD_ARRAY(0)(7 downto 0);
elsif wr_rd = '0' and ack = '0' then --write and nack
bytes24(0) := ASYNC_CMD_ARRAY(1)(31 downto 24);
bytes24(1) := ASYNC_CMD_ARRAY(1)(23 downto 16);
bytes24(2) := ASYNC_CMD_ARRAY(1)(15 downto 8);
bytes24(3) := ASYNC_CMD_ARRAY(1)(7 downto 0);
elsif wr_rd = '1' and ack = '1' then --read and ack
bytes24(0) := ASYNC_CMD_ARRAY(2)(31 downto 24);
bytes24(1) := ASYNC_CMD_ARRAY(2)(23 downto 16);
bytes24(2) := ASYNC_CMD_ARRAY(2)(15 downto 8);
bytes24(3) := ASYNC_CMD_ARRAY(2)(7 downto 0);
elsif wr_rd = '1' and ack = '0' then --read and ack
bytes24(0) := ASYNC_CMD_ARRAY(3)(31 downto 24);
bytes24(1) := ASYNC_CMD_ARRAY(3)(23 downto 16);
bytes24(2) := ASYNC_CMD_ARRAY(3)(15 downto 8);
bytes24(3) := ASYNC_CMD_ARRAY(3)(7 downto 0);
end if;
for k in 1 to HS_MEMARRAY_LENGTH loop
bytes24(k*4) := memin(k-1)(31 downto 24);
bytes24(k*4+1) := memin(k-1)(23 downto 16);
bytes24(k*4+2) := memin(k-1)(15 downto 8);
bytes24(k*4+3) := memin(k-1)(7 downto 0);
end loop;
return bytes24;
end MEM_TO_24BYTE;
--a function to conver 192 length std_logic_vector to byte array
function VECTOR_TO_24BYTE (
vecin : std_logic_vector(191 downto 0))
return async_stream_type is
variable bytes24 : async_stream_type;
variable k : integer := 0;
begin
for k in 23 downto 0 loop
bytes24(23-k) := vecin(k*8+7 downto k*8);
end loop;
return bytes24;
end VECTOR_TO_24BYTE;
--a function to convert 64 length std_logic_vector to byte array
function VECTOR_TO_8BYTE (
vecin : std_logic_vector(63 downto 0))
return async_stream_type is
variable bytes8 : async_stream_type;
variable k : integer := 0;
begin
for k in 7 downto 0 loop
bytes8(7-k) := vecin(k*8+7 downto k*8);
end loop;
for k in 8 to 23 loop
bytes8(k) := "00000000";
end loop;
return bytes8;
end VECTOR_TO_8BYTE;
signal DEVICEMAPACK_BYTE : async_stream_type := VECTOR_TO_8BYTE(DEVICEMAPACK);
signal FRAMERSIZE_BYTE : async_stream_type := VECTOR_TO_8BYTE(FRAMERSIZE);
signal FRAMEWSIZE_BYTE : async_stream_type := VECTOR_TO_8BYTE(FRAMEWSIZE);
signal DEVICEINST_dev0_BYTE : async_stream_type := VECTOR_TO_24BYTE(DEVICEINST_dev0);
signal DEVICEINST_dev1_BYTE : async_stream_type := VECTOR_TO_24BYTE(DEVICEINST_dev1);
signal DEVICEINST_dev2_BYTE : async_stream_type := VECTOR_TO_24BYTE(DEVICEINST_dev2);
type async_stream_type_array is array (0 to 5) of async_stream_type;
signal pre_cobs_array : async_stream_type_array := (
DEVICEMAPACK_BYTE,
FRAMERSIZE_BYTE,
FRAMEWSIZE_BYTE,
DEVICEINST_dev0_BYTE,
DEVICEINST_dev1_BYTE,
DEVICEINST_dev2_BYTE
);
type cobs_length_array_type is array (0 to 6) of std_logic_vector(4 downto 0);
signal cobs_length_array : cobs_length_array_type := (
std_logic_vector(to_unsigned(8, 5)),
std_logic_vector(to_unsigned(8, 5)),
std_logic_vector(to_unsigned(8, 5)),
std_logic_vector(to_unsigned(24, 5)),
std_logic_vector(to_unsigned(24, 5)),
std_logic_vector(to_unsigned(24, 5)),
std_logic_vector(to_unsigned(24, 5))
);
signal cobs_begin : std_logic;
signal cobs_conv_rdy : std_logic;
signal array_cnt : unsigned(2 downto 0);
signal pre_cobs_data : async_stream_type;
signal cobs_data, cobs_data_in : cobs_stream_types;
signal data_in_length, cobs_length, data_out_length : std_logic_vector(4 downto 0);
signal fifo_push_cnt : unsigned(4 downto 0);
signal conf_ack_flag : std_logic;
begin
sm_process: process(bus_clk, reset, dev_reset_in)
begin
if (reset = '1') then
async_sm <= IDLE;
array_cnt <= (others=>'0');
fifo_push_cnt <= (others=>'0');
cobs_begin <= '0';
data_in_length <= (others=>'0');
cobs_length <= (others=>'0');
async_fifo_wr_data <= (others=>'0');
async_fifo_wr_enb <= '0';
conf_ack_flag <= '0';
--array initilization
for i in 0 to 25 loop
cobs_data(i) <= (others=>'0');
end loop;
for j in 0 to 23 loop
pre_cobs_data(j) <= (others=>'0');
end loop;
elsif (rising_edge(bus_clk)) then
if dev_reset_in = '1' then --<-- this is a "synchronous reset" that puts the state machine in to RESET state, which then sets a DEVICE map once dev_reset_in is released
async_sm <= DEVRESET;
array_cnt <= (others=>'0');
fifo_push_cnt <= (others=>'0');
cobs_begin <= '0';
data_in_length <= (others=>'0');
cobs_length <= (others=>'0');
async_fifo_wr_data <= (others=>'0');
async_fifo_wr_enb <= '0';
conf_ack_flag <= '0';
--array initilization
for i in 0 to 25 loop
cobs_data(i) <= (others=>'0');
end loop;
for j in 0 to 23 loop
pre_cobs_data(j) <= (others=>'0');
end loop;
else
case async_sm is
when IDLE =>
if (conf_ack = '1') then --go to COBSCONV
async_sm <= COBSCONV;
conf_ack_flag <= '1';
pre_cobs_data <= MEM_TO_24BYTE(conf_mem_in, '0', '1');
else
async_sm <= IDLE;
end if;
cobs_begin <= '0';
async_fifo_wr_enb <= '0';
async_fifo_wr_data <= (others=>'0');
when DEVRESET =>
--currently just go directly to the next state
async_sm <= COBSCONV;
cobs_begin <= '0';
when COBSCONV =>
if conf_ack_flag = '1' then
data_in_length <= std_logic_vector(to_unsigned(24, 5));
else
pre_cobs_data <= pre_cobs_array(to_integer(array_cnt));
data_in_length <= cobs_length_array(to_integer(array_cnt));
end if;
cobs_begin <= '1';
async_fifo_wr_enb <= '0';
async_sm <= COBSWAIT;
when COBSWAIT =>
cobs_begin <= '0';
if cobs_conv_rdy = '1' then --wait for cobs to finish conversion.
async_sm <= COBSPUSH;
cobs_data <= cobs_data_in;
cobs_length <= data_out_length;
cobs_begin <= '0';
end if;
when COBSPUSH => --push COBS to FIFO
cobs_begin <= '0';
if (fifo_push_cnt >= unsigned(cobs_length) - 1) then
fifo_push_cnt <= (others=>'0');
if conf_ack_flag = '1' then
async_sm <= IDLE;
conf_ack_flag <= '0';
else
if array_cnt < 5 then
array_cnt <= array_cnt + 1;
async_sm <= COBSCONV;
else
array_cnt <= (others=>'0');
async_sm <= IDLE;
end if;
end if;
else
fifo_push_cnt <= fifo_push_cnt + 1;
end if;
async_fifo_wr_data <= cobs_data(to_integer(fifo_push_cnt));
async_fifo_wr_enb <= '1';
end case;
end if;
end if;
end process;
COBS_inst: cobs_encoder
port map(
bus_clk => bus_clk,
reset => reset,
--cobs inputs
pre_cobs_data_in => pre_cobs_data,
data_in_length => data_in_length,
cobs_conv_begin => cobs_begin,
--cobs outputs
cobs_data_out => cobs_data_in,
data_out_length => data_out_length,
cobs_conv_rdy => cobs_conv_rdy
);
end Behavioral;
| mit | 406c6a1522b88de639af936d755a5ee3 | 0.641701 | 2.98908 | false | false | false | false |
Hyvok/HardHeat | src/adpll.vhd | 1 | 4,160 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity adpll is
generic
(
TDC_N : positive;
FILT_P_SHIFT_N : integer;
FILT_I_SHIFT_N : integer;
FILT_INIT_OUT_VAL : positive;
FILT_OUT_OFFSET : natural;
FILT_OUT_LIMIT : natural;
ACCUM_BITS_N : positive;
ACCUM_WORD_N : positive;
LD_LOCK_N : positive;
LD_ULOCK_N : positive;
LD_LOCK_LIMIT : natural
);
port
(
clk : in std_logic;
reset : in std_logic;
ref_in : in std_logic;
sig_out : out std_logic;
lock_out : out std_logic
);
end entity;
architecture rtl of adpll is
signal up : std_logic;
signal down : std_logic;
signal pid_out : signed(TDC_N - 1 downto 0);
signal phase_time : signed(TDC_N - 1 downto 0);
signal tuning_word : unsigned(ACCUM_WORD_N - 1 downto 0);
signal sig : std_logic;
function trunc_to_unsigned(arg : signed) return unsigned is
begin
return unsigned(std_logic_vector(arg));
end function;
function clamp_to_unsigned(arg : signed) return unsigned is
variable res : unsigned(arg'high - 1 downto 0);
begin
-- Shift value so it is always positive
res := trunc_to_unsigned(resize(arg + to_signed(2**(arg'length - 1) - 1
, arg'length)
, res'length));
return res;
end function;
function clamp(arg : unsigned; limit : natural) return unsigned is
begin
if arg > limit then
return to_unsigned(limit, arg'length);
else
return arg;
end if;
end function;
begin
sig_out <= sig;
pfd_p: entity work.resonant_pfd(rtl)
port map
(
clk => clk,
reset => reset,
sig_in => sig,
ref_in => ref_in,
up_out => up,
down_out => down
);
tdc_p: entity work.tdc(rtl)
generic map
(
COUNTER_N => TDC_N
)
port map
(
clk => clk,
reset => reset,
up_in => up,
down_in => down,
time_out => phase_time
);
filter_p: entity work.pid(rtl)
generic map
(
P_SHIFT_N => FILT_P_SHIFT_N,
I_SHIFT_N => FILT_I_SHIFT_N,
BITS_N => TDC_N,
INIT_OUT_VAL => FILT_INIT_OUT_VAL
)
port map
(
clk => clk,
reset => reset,
upd_clk_in => ref_in,
setpoint_in => to_signed(0, TDC_N),
pid_in => phase_time,
pid_out => pid_out
);
tuning_word <= clamp(shift_left(resize(clamp_to_unsigned(-pid_out)
, tuning_word'length)
, tuning_word'length - phase_time'length)
+ to_unsigned(FILT_OUT_OFFSET
, tuning_word'length)
, FILT_OUT_LIMIT);
phase_accumulator_p: entity work.phase_accumulator(rtl)
generic map
(
ACCUM_BITS_N => ACCUM_BITS_N,
TUNING_WORD_N => ACCUM_WORD_N
)
port map
(
clk => clk,
reset => reset,
tuning_word_in => tuning_word,
sig_out => sig
);
lock_detector_p: entity work.lock_detector(rtl)
generic map
(
PHASE_TIME_IN_N => TDC_N,
LOCK_COUNT_N => LD_LOCK_N,
ULOCK_COUNT_N => LD_ULOCK_N,
LOCK_LIMIT => LD_LOCK_LIMIT
)
port map
(
clk => clk,
reset => reset,
phase_time_in => phase_time,
lock_out => lock_out
);
end;
| mit | e691eccbac8078022bed348eb9b668a3 | 0.442548 | 3.977055 | false | false | false | false |
kacaperek/serdescrc16 | deserializer.vhd | 1 | 2,867 | ----------------------------------------------------------------------------------
-- Company: PWr
-- Engineer: Kacper Witkowski
-- Module Name: Serializer
-- Project Name: Nadajnik i odbiornik szeregowy z kontrolą poprawności przesyłu CRC16
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use work.PCK_CRC16_D1.all;
entity deserializer is
Port ( reset : in STD_LOGIC;
clk : in STD_LOGIC;
serial_in : in STD_LOGIC;
serial_out : out std_logic;
parallel_out : out STD_LOGIC_vector(7 downto 0));
end deserializer;
architecture Behavioral of deserializer is
signal cnt : std_logic_vector(2 downto 0):= (others => '0');
signal d : std_logic_vector(7 downto 0):= (others => '0');
signal transmission_running : std_logic := '0'; -- czy transmisja działa? generowane po sygnale 0x7e
signal crc_running : std_logic := '0'; -- crc, liczone bo odebraniu 0x7e
signal pckg_cnt : std_logic_vector(4 downto 0) := (others => '0'); --licznik odebranych bajtów
signal newCRC : std_logic_vector(15 downto 0) := (others => '0'); -- wektor wartosci crc
begin
counter : process (reset, clk)
begin
if reset = '0' then
cnt <= (others => '0');
elsif (clk'event and clk = '1') then
if transmission_running = '1' then
cnt <= cnt + "01";
if cnt = "111" and pckg_cnt = "10001" then
pckg_cnt <= "00000";
cnt <= "000";
elsif cnt = "111" then
pckg_cnt <= pckg_cnt + "01";
end if;
end if;
end if;
end process counter;
transmission_control : process(reset, clk)
begin
if reset='0' then
crc_running <= '0';
transmission_running <= '0';
elsif clk'event and clk = '1' then
if d = "01111110" and pckg_cnt = "00000" then
crc_running <= '1';
transmission_running <= '1';
elsif pckg_cnt = "10001" and cnt = "111" then
crc_running <= '0';
transmission_running <= '0';
end if;
end if;
end process transmission_control;
crc_calc : process(reset, clk)
begin
if clk'event and clk = '1' then
if crc_running = '1' then
newCRC <= nextCRC16(d(0), newCRC);
end if;
end if;
end process crc_calc;
sipo : process (reset, clk)
begin
if reset = '0' then
d <= (others => '0');
elsif (clk'event and clk = '1') then
d(7 downto 0) <= d(6 downto 0) & serial_in;
if transmission_running = '1' and pckg_cnt < "10000" and cnt = "111" then
parallel_out <= d;
end if;
end if;
end process sipo;
crcso : process(reset, clk, d)
begin
if reset = '0' then
serial_out <= '0';
elsif (clk'event and clk = '1') then
if pckg_cnt = "10001" and cnt = "111" then
if newCRC = "0000000000000000" then
serial_out <= '1';
end if;
else
serial_out <= '0';
end if;
end if;
end process crcso;
end Behavioral;
| gpl-2.0 | fd23d54a850f76ab4ba1b7df54a58ead | 0.587701 | 3.080732 | false | false | false | false |
Hyvok/HardHeat | sim/tdc/tdc_tb.vhd | 1 | 1,212 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity tdc_tb is
end entity;
architecture rtl of tdc_tb is
-- Clock frequency 100 MHz
constant CLK_PERIOD : time := 1 sec / 10e7;
-- Up signal frequency 40 kHz
constant UP_PERIOD : time := 1 sec / 40e3;
-- Down signal frequency 50 kHz
constant DOWN_PERIOD : time := 1 sec / 50e3;
signal clk : std_logic := '0';
signal reset : std_logic;
signal up : std_logic := '0';
signal down : std_logic := '0';
begin
DUT_inst: entity work.tdc(rtl)
generic map
(
COUNTER_N => 12
)
port map
(
clk => clk,
reset => reset,
up_in => up,
down_in => down
);
reset <= '1', '0' after 500 ns;
clk_gen: process(clk)
begin
clk <= not clk after CLK_PERIOD / 2;
end process;
up_gen: process(up)
begin
up <= not up after UP_PERIOD / 2;
end process;
down_gen: process(down)
begin
down <= not down after DOWN_PERIOD / 2;
end process;
end;
| mit | 64c22da86b5db60d4bdb411dc02308bd | 0.50165 | 3.740741 | false | false | false | false |
albayaty/Video-Game-Engine | EDK/NES-Controller/nes_ip_core.vhd | 1 | 22,983 | -- ==============================================
-- Copyright © 2014 Ali M. Al-Bayaty
--
-- Video-Game-Engine is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- any later version.
--
-- Video-Game-Engine is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- ==============================================
--
-- Video Game Engine Project
-- ( EDK: NES IP Core VHDL )
--
-- MSEE student: Ali M. Al-Bayaty
-- EE659: System-On-Chip
-- Personal website: <http://albayaty.github.io/>
-- Source code link: <https://github.com/albayaty/Video-Game-Engine.git>
--
-- ==============================================
--
------------------------------------------------------------------------------
-- nes_ip_core.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: nes_ip_core.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Sun Oct 16 17:16:09 2011 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
library nes_ip_core_v1_00_a;
use nes_ip_core_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- PLBv46 slave: base address
-- C_HIGHADDR -- PLBv46 slave: high address
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
------------------------------------------------------------------------------
entity nes_ip_core is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 1;
C_FAMILY : string := "virtex6"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
reset : in STD_LOGIC;
led : out STD_LOGIC_VECTOR(0 to 7);
nes_latch : out STD_LOGIC;
nes_clk : out STD_LOGIC;
nes_data : in STD_LOGIC;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1)
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
end entity nes_ip_core;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of nes_ip_core is
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR;
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address
);
------------------------------------------
-- Array of desired number of chip enables for each address range
------------------------------------------
constant USER_SLV_NUM_REG : integer := 1;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_SLV_NUM_REG) -- number of ce for user logic slave space
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity nes_ip_core_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_SLV_DWIDTH => USER_SLV_DWIDTH,
C_NUM_REG => USER_NUM_REG
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
reset => reset,
led => led,
nes_latch => nes_latch,
nes_clk => nes_clk,
nes_data => nes_data,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error
);
------------------------------------------
-- connect internal signals
------------------------------------------
ipif_IP2Bus_Data <= user_IP2Bus_Data;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
end IMP;
| gpl-3.0 | 5c4af005580d7a1708e49ab679c5f571 | 0.457271 | 4.422167 | false | false | false | false |
albayaty/Video-Game-Engine | EDK/VGA/20x15/vga_ip_core.vhd | 1 | 22,878 | -- ==============================================
-- Copyright © 2014 Ali M. Al-Bayaty
--
-- Video-Game-Engine is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- any later version.
--
-- Video-Game-Engine is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- ==============================================
--
-- Video Game Engine Project
-- ( EDK: VGA 20x15 Resolution, IP Core VHDL )
--
-- MSEE student: Ali M. Al-Bayaty
-- EE659: System-On-Chip
-- Personal website: <http://albayaty.github.io/>
-- Source code link: <https://github.com/albayaty/Video-Game-Engine.git>
--
-- ==============================================
--
------------------------------------------------------------------------------
-- vga_ip_core.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: vga_ip_core.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Sat Oct 15 15:40:57 2011 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
library vga_ip_core_v1_00_a;
use vga_ip_core_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- PLBv46 slave: base address
-- C_HIGHADDR -- PLBv46 slave: high address
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
------------------------------------------------------------------------------
entity vga_ip_core is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 1;
C_FAMILY : string := "virtex6"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
hsync: out std_logic;
vsync: out std_logic;
rgb: out std_logic_vector(0 to 2);
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1)
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
end entity vga_ip_core;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of vga_ip_core is
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR;
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address
);
------------------------------------------
-- Array of desired number of chip enables for each address range
------------------------------------------
constant USER_SLV_NUM_REG : integer := 7;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_SLV_NUM_REG) -- number of ce for user logic slave space
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity vga_ip_core_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_SLV_DWIDTH => USER_SLV_DWIDTH,
C_NUM_REG => USER_NUM_REG
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
hsync => hsync,
vsync => vsync,
rgb => rgb,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error
);
------------------------------------------
-- connect internal signals
------------------------------------------
ipif_IP2Bus_Data <= user_IP2Bus_Data;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
end IMP;
| gpl-3.0 | 87775a1f9f2fe63b4e97991be89ff1ff | 0.457053 | 4.441274 | false | false | false | false |
luk51000/tg2 | Experimentos/ex1/Sources/dynamic_fsm/dynamic.vhd | 1 | 802 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity dynamic is
port (
clk_1hz : in std_logic;
leds : out std_logic_vector(3 downto 0)
);
end dynamic;
architecture Behavioral of dynamic is
type state_type is (s0,s1);
signal current_s,next_s: state_type;
signal output : std_logic_vector(3 downto 0);
begin
process (clk_1hz)
begin
if (rising_edge(clk_1hz)) then
current_s <= next_s;
end if;
end process;
process (current_s)
begin
case current_s is
when s0 =>
next_s <= s1;
output <= "0011";
when s1 =>
next_s <= s0;
output <= "1100";
end case;
end process;
leds <= output;
end Behavioral; | gpl-3.0 | ab5791ebb95645a1a56e1de8d17040db | 0.516209 | 3.645455 | false | false | false | false |
Hyvok/HardHeat | src/ds18b20_data_gen.vhd | 1 | 8,398 | library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.utils_pkg.all;
entity ds18b20_data_gen is
generic
(
MICROSECOND_D : positive
);
port
(
clk : in std_logic;
reset : in std_logic;
ow_out : in std_logic;
-- Test temperature value in, data_gen generates a new data packet from
-- this value and transmits it to the 1-wire bus
temp_in : in signed(16 - 1 downto 0);
temp_in_f : in std_logic;
ow_in : out std_logic
);
end entity;
architecture rtl of ds18b20_data_gen is
subtype data_t is std_logic_vector(8 - 1 downto 0);
type data_array_t is array(natural range <>) of data_t;
function calc_crc(Arg : data_t; NewByte : data_t) return data_t is
variable crc : data_t;
variable bit_num : natural := 0;
begin
while bit_num < 8 loop
crc := Arg;
crc(crc'left) := NewByte(bit_num) xor crc(crc'right);
crc(4) := crc(3) xor crc(crc'left);
crc(5) := crc(4) xor crc(crc'left);
crc := shift_left_vec(crc, 1);
bit_num := bit_num + 1;
end loop;
return(crc);
end function;
function gen_data(Temp : signed(16 - 1 downto 0)) return data_array_t is
variable byte_num : natural := 0;
variable bit_num : natural := 0;
variable data : data_array_t(8 downto 0);
variable crc : data_t := (others => '0');
begin
while byte_num < 9 loop
if byte_num = 0 then
data(byte_num) := std_logic_vector(Temp(7 downto 0));
elsif byte_num = 1 then
data(byte_num) := std_logic_vector(Temp(15 downto 8));
-- Just use some (valid) fixed data for the rest of the bytes
elsif byte_num = 2 then
data(byte_num) := x"4B";
elsif byte_num = 3 then
data(byte_num) := x"46";
elsif byte_num = 4 then
data(byte_num) := x"FF";
elsif byte_num = 5 then
data(byte_num) := x"FF";
elsif byte_num = 6 then
data(byte_num) := x"02";
elsif byte_num = 7 then
data(byte_num) := x"10";
elsif byte_num = 8 then
data(byte_num) := crc;
-- Do not calculate CRC for CRC byte so just return
return(data);
end if;
crc := calc_crc(crc, data(byte_num));
byte_num := byte_num + 1;
end loop;
end function;
constant RESET_D : natural := MICROSECOND_D * 479;
constant RESET_WAIT_D : natural := MICROSECOND_D * 15;
constant RESET_PRESENCE_D : natural := MICROSECOND_D * 239;
constant ZERO_D : natural := MICROSECOND_D * 59;
constant ONE_D : natural := MICROSECOND_D * 1;
constant SKIP_ROM_CMD : std_logic_vector(8 - 1 downto 0) := x"CC";
constant CONV_CMD : std_logic_vector(8 - 1 downto 0) := x"44";
constant READ_CMD : std_logic_vector(8 - 1 downto 0) := x"BE";
begin
data_gen_p: process(clk, reset)
type data_gen_state is (
idle,
reset_wait,
presence,
wait_reset_high,
read,
command,
transmit
);
-- Increment timer value and go to next state when delay is fullfilled
procedure handle_delay( constant delay : in natural;
variable timer : inout natural;
constant next_state : in data_gen_state;
variable state_var : inout data_gen_state) is
begin
timer := timer + 1;
if timer >= delay then
state_var := next_state;
timer := 0;
end if;
end procedure;
procedure new_bit( variable buf : inout data_t;
constant val : in std_logic) is
begin
buf := shift_right_vec(buf, 1);
buf(buf'high) := val;
end procedure;
variable state : data_gen_state;
variable next_state : data_gen_state;
variable byte_num : natural;
variable bit_num : natural;
variable last_out : std_logic;
variable tx_buf : data_array_t(8 downto 0);
variable timer : natural;
variable rx_buf : data_t;
variable rx_bits_left : natural;
begin
if reset = '1' then
state := idle;
next_state := idle;
byte_num := 0;
bit_num := 0;
last_out := '0';
tx_buf := gen_data(temp_in);
timer := 0;
rx_buf := (others => '0');
rx_bits_left := 0;
ow_in <= '1';
elsif rising_edge(clk) then
if state = idle then
ow_in <= '1';
if ow_out = '0' then
handle_delay(RESET_D, timer, reset_wait, state);
else
timer := 0;
end if;
elsif state = reset_wait then
handle_delay(RESET_WAIT_D, timer, presence, state);
elsif state = presence then
ow_in <= '0';
handle_delay(RESET_PRESENCE_D, timer, wait_reset_high, state);
elsif state = wait_reset_high then
ow_in <= '1';
if ow_out = '1' then
state := read;
rx_buf := (others => '0');
rx_bits_left := rx_buf'length;
next_state := command;
end if;
elsif state = read then
ow_in <= '1';
if rx_bits_left > 0 then
if ow_out = '0' then
timer := timer + 1;
elsif ow_out = '1' then
if timer >= ZERO_D then
new_bit(rx_buf, '0');
rx_bits_left := rx_bits_left - 1;
elsif timer >= ONE_D then
new_bit(rx_buf, '1');
rx_bits_left := rx_bits_left - 1;
end if;
timer := 0;
end if;
else
state := next_state;
end if;
elsif state = command then
if rx_buf = SKIP_ROM_CMD then
next_state := command;
state := read;
rx_bits_left := rx_buf'length;
elsif rx_buf = CONV_CMD then
-- Just start waiting for next reset
state := idle;
next_state := idle;
rx_bits_left := rx_buf'length;
elsif rx_buf = READ_CMD then
state := transmit;
rx_bits_left := 0;
else
report "Unknown command" severity warning;
state := idle;
next_state := idle;
rx_bits_left := 0;
end if;
rx_buf := (others => '0');
elsif state = transmit then
if not last_out = ow_out and ow_out = '0' then
ow_in <= tx_buf(byte_num)(bit_num);
bit_num := bit_num + 1;
if bit_num = 8 then
bit_num := 0;
byte_num := byte_num + 1;
if byte_num = tx_buf'length then
state := idle;
next_state := idle;
bit_num := 0;
byte_num := 0;
end if;
end if;
end if;
end if;
last_out := ow_out;
-- Update TX buffer data if temperature has changed
if temp_in_f = '1' then
tx_buf := gen_data(temp_in);
end if;
end if;
end process;
end;
| mit | d18eadd8a37c32ccfe30c6d0d7ae3506 | 0.43427 | 4.286881 | false | false | false | false |
suoto/hdlcc | .ci/test_support/test_project/basic_library/clock_divider.vhd | 1 | 1,392 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library basic_library;
use basic_library.very_common_pkg.all;
use work.package_with_constants;
entity clock_divider is
generic (
DIVIDER : integer := 10
);
port (
reset : in std_logic;
clk_input : in std_logic;
clk_output : out std_logic
);
end clock_divider;
architecture clock_divider of clock_divider is
signal counter : integer range 0 to DIVIDER - 1 := 0;
signal clk_internal : std_logic := '0';
signal clk_enable_unused : std_logic := '0';
begin
clk_output <= clk_internal;
useless_u : clk_en_generator
generic map (
DIVIDER => DIVIDER)
port map (
reset => reset,
clk_input => clk_input,
clk_en => open);
-- We read 'reset' signal asynchronously inside the process to force
-- msim issuing a synthesis warning
process(clk_input)
begin
if reset = '1' then
counter <= 0;
elsif clk_input'event and clk_input = '1' then
if counter < DIVIDER then
counter <= counter + 1;
else
counter <= 0;
clk_internal <= not clk_internal;
end if;
end if;
end process;
end clock_divider;
| gpl-3.0 | 1cdc644b62760b775232a891d810217f | 0.541667 | 4.130564 | false | false | false | false |
iamllama/EE2020 | ee2020.cache/ip/3d845bac08f84459/dds_compiler_0_sim_netlist.vhdl | 1 | 142,143 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
-- Date : Tue Mar 28 02:08:39 2017
-- Host : DESKTOP-B1QME94 running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ dds_compiler_0_sim_netlist.vhdl
-- Design : dds_compiler_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
UeUQOSqc517u4Gp21W1qcB44JkXjttQw3I9etxLnnrt3tkJ0d4uxhbBwSkc7IM9w0xxr7owGLR37
1Ii0/OYJsQ==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
kOXgzYTJC4GxJCP3UAJekjjYLOXKC9b70sFPvaIFCHz6zbI3mz+JUFPTpADGukAuJQCKiXWwYOBZ
MmBb8JugLkKE+O1iqIjgnplEt9Bnnc0cPnUeT9o1Q0bWLLOKk75pVanxsTWyvGhO5t3dBcHf76mm
DceLRrUeM7AAXcHNQP8=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
JeQtyj3Kal6oTj33H4A+stJ+V3DCiNJv8J7k4H0+dLfFYYJJ3jbUoUt90xE3PJrsmjZDUKwDIVOX
HWBDaCL3u44dq/L0M441Q3RfpW9QQqU0ai34/xEtkAvplg6Oe3ludzsYQZ7T2bjYDyh8NSDEu4PD
/ngBWkp/hfXUBkMQq3g=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
D+mEShAo+idVddojD4Ocf30d3PeQsjyupmNQjqsNdbpJFSb9AWyTI4HLKIImT0S50Zgb6LGKxa9h
26g8vXL3CdbVdP5O8FpM1809Abu5sfhEOCwdvtKWRwLRZt1+A/6C8nMHuYTLwrt4lXg1bU5c54n9
i12z+RFxTTeQUgM++Sl/RYKl7QJ7e+6a2bvs7RCI+NDk3Qaeos9nT6roJnfx2wpYOF4jStxFa2up
F5q2mhYTDOmLHpkBQCKAWc41vFlv1ZeWkv5nIa97hTbbuUW8GmJEmxKYO5Ix08oKP4QxHuiNF++X
v0t8M5z/+3rsLJl0oKiKofyP/dx+okR3PXDIyw==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
tcnuNu53+hchNr+pZ1NtakfiTYoR6SYivYJdM66R8/4XDELZLm46FZjh8e2MDPfDIe0TPxgXssIK
JBpdVvHEF3sN4ne8BH5Hig1m+5eYblKUujpGtmIpXovQKiu33+xi9YvN+S91R0i8O+wIG5Y8ZtSd
416fkpAXIqKUgtlCKXBPfNKh6pXB2wSYbWz3TlPOiCZhgXOn24ftBdQmq794Zo6QdyiBWEIqcHvf
cGxpfdy9soUWUFDgRcMQziQpv5Bf40FoOoFPc0PTxzAfe1PMhPuWIOtJwU7v2ehiljl8zfvKr43F
vafnOBmYmG/WIJ2D8gT8zcjKCOuzkEZD4/6LHw==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
CtAJ5i2Ss06xmVLrV4Tdrt3cQho/pCz9fbTCKJxQdDrBclu8FdA7n6uV/sbGH0tMaSievrFx2Jcw
lrfRQgsQbFyxSpn5PUFRabLV3UXwVpPqRPFv60hHW8dL6EBKTJRiEKGMFV/9GNtBclnQParE68gy
UWIYfWYlfU8odNKh63v3UlbKBdSSTudb0Ul16UHMxR9rOEcIVol8aLIxFF0XFN3SbjvZQYMrSrda
mdPrPZ6RZeEOu+2fjH5DVxI6YAiec9k48XHplaRfVHc5p6pbC8oywpPPg+e3mzZanroV2DYjAywn
LeUIPZxac7VkB/2/ioqm/Wqs+AR5+6YLStbDqg==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
i4E5ti77fXGrt+YXq+hozY0C89D75z001BnSjfhwLJF2MDNWe/lSXTfTDuOkgViDrLjueMDj3UBy
npKyRXnEeqEi4RLp2Nd0qSJrBPplITvaBuPrw63KRQmoefb+I145j37nTC1sk/14kzCCp9d/yzf3
3C9MOVgROxx/w4djcF8WNvyMD9DAUJdKOPT1XVWc9xUaBL4vJTdh58cefRjoeoxg8+eyUAUiM9mc
u/Es2jy25lkAbwaK6+IAKii58lHP0LzXSymTsn+8g277tWniz670AXPh4lEbEvEDG+aUYUr5X30w
GPCIZAGRhUKTk7s/ecIaIQuOQq0rEr+T8+RCTw==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
GK7HhJyyQsJWwHInmQaATXqzVORQ/1yqSSTyvQQ5azS2ASpxH8CqG2/qPUcNjiE+v+QkbzUH3ZRa
i+oPHXwYPcwuvBtbp2psATzoDxsCYSHOaE/y1TdGNXCBEJYdu8bcB345XvgJc7TAZAg1VoXFPFZu
0ioqc8KghzsWOyTFYNEj70txL88Pley3DMtwN5C42FcxF2MnQtRNulgHTWn0TvBMd03e5GHjJRXV
xHlsioN7dbWXGC8DVd+XmrfZwiHgES8xl3edn8MBDBEWWKg27MRnBGJvt+KPPCA8+sE7rYpK/8AJ
1rnbNpd9tJoJaSqEDxv/peqUNllqwpyfA5Hu/g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 79920)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 is
port (
aclk : in STD_LOGIC;
aclken : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tready : out STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
s_axis_phase_tlast : in STD_LOGIC;
s_axis_phase_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tvalid : in STD_LOGIC;
s_axis_config_tready : out STD_LOGIC;
s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tlast : in STD_LOGIC;
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tready : in STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_data_tlast : out STD_LOGIC;
m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tvalid : out STD_LOGIC;
m_axis_phase_tready : in STD_LOGIC;
m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tlast : out STD_LOGIC;
m_axis_phase_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
event_pinc_invalid : out STD_LOGIC;
event_poff_invalid : out STD_LOGIC;
event_phase_in_invalid : out STD_LOGIC;
event_s_phase_tlast_missing : out STD_LOGIC;
event_s_phase_tlast_unexpected : out STD_LOGIC;
event_s_phase_chanid_incorrect : out STD_LOGIC;
event_s_config_tlast_missing : out STD_LOGIC;
event_s_config_tlast_unexpected : out STD_LOGIC;
debug_axi_pinc_in : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_axi_poff_in : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_axi_resync_in : out STD_LOGIC;
debug_axi_chan_in : out STD_LOGIC_VECTOR ( 0 to 0 );
debug_core_nd : out STD_LOGIC;
debug_phase : out STD_LOGIC_VECTOR ( 21 downto 0 );
debug_phase_nd : out STD_LOGIC
);
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 22;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 5;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 10000;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 16;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 12;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 10;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 24;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is 1;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "artix7";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axis_data_tdata\ : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_i_synth_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_phase_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_i_synth_m_axis_data_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 14 downto 11 );
signal NLW_i_synth_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_m_axis_phase_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH of i_synth : label is 22;
attribute C_AMPLITUDE of i_synth : label is 1;
attribute C_CHANNELS of i_synth : label is 1;
attribute C_CHAN_WIDTH of i_synth : label is 1;
attribute C_DEBUG_INTERFACE of i_synth : label is 0;
attribute C_HAS_ACLKEN of i_synth : label is 0;
attribute C_HAS_ARESETN of i_synth : label is 0;
attribute C_HAS_M_DATA of i_synth : label is 1;
attribute C_HAS_M_PHASE of i_synth : label is 0;
attribute C_HAS_PHASEGEN of i_synth : label is 1;
attribute C_HAS_PHASE_OUT of i_synth : label is 0;
attribute C_HAS_SINCOS of i_synth : label is 1;
attribute C_HAS_S_CONFIG of i_synth : label is 0;
attribute C_HAS_S_PHASE of i_synth : label is 1;
attribute C_HAS_TLAST of i_synth : label is 0;
attribute C_HAS_TREADY of i_synth : label is 0;
attribute C_LATENCY of i_synth : label is 5;
attribute C_MEM_TYPE of i_synth : label is 1;
attribute C_MODE_OF_OPERATION of i_synth : label is 0;
attribute C_MODULUS of i_synth : label is 10000;
attribute C_M_DATA_HAS_TUSER of i_synth : label is 0;
attribute C_M_DATA_TDATA_WIDTH of i_synth : label is 16;
attribute C_M_DATA_TUSER_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_M_PHASE_TDATA_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_NEGATIVE_COSINE of i_synth : label is 0;
attribute C_NEGATIVE_SINE of i_synth : label is 0;
attribute C_NOISE_SHAPING of i_synth : label is 1;
attribute C_OPTIMISE_GOAL of i_synth : label is 0;
attribute C_OUTPUTS_REQUIRED of i_synth : label is 0;
attribute C_OUTPUT_FORM of i_synth : label is 0;
attribute C_OUTPUT_WIDTH of i_synth : label is 12;
attribute C_PHASE_ANGLE_WIDTH of i_synth : label is 10;
attribute C_PHASE_INCREMENT of i_synth : label is 3;
attribute C_PHASE_INCREMENT_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET of i_synth : label is 0;
attribute C_PHASE_OFFSET_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE of i_synth : label is 0;
attribute C_RESYNC of i_synth : label is 0;
attribute C_S_CONFIG_SYNC_MODE of i_synth : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH of i_synth : label is 1;
attribute C_S_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_S_PHASE_TDATA_WIDTH of i_synth : label is 24;
attribute C_S_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_USE_DSP48 of i_synth : label is 1;
attribute C_XDEVICEFAMILY of i_synth : label is "artix7";
attribute downgradeipidentifiedwarnings of i_synth : label is "yes";
begin
debug_axi_chan_in(0) <= \<const0>\;
debug_axi_pinc_in(21) <= \<const0>\;
debug_axi_pinc_in(20) <= \<const0>\;
debug_axi_pinc_in(19) <= \<const0>\;
debug_axi_pinc_in(18) <= \<const0>\;
debug_axi_pinc_in(17) <= \<const0>\;
debug_axi_pinc_in(16) <= \<const0>\;
debug_axi_pinc_in(15) <= \<const0>\;
debug_axi_pinc_in(14) <= \<const0>\;
debug_axi_pinc_in(13) <= \<const0>\;
debug_axi_pinc_in(12) <= \<const0>\;
debug_axi_pinc_in(11) <= \<const0>\;
debug_axi_pinc_in(10) <= \<const0>\;
debug_axi_pinc_in(9) <= \<const0>\;
debug_axi_pinc_in(8) <= \<const0>\;
debug_axi_pinc_in(7) <= \<const0>\;
debug_axi_pinc_in(6) <= \<const0>\;
debug_axi_pinc_in(5) <= \<const0>\;
debug_axi_pinc_in(4) <= \<const0>\;
debug_axi_pinc_in(3) <= \<const0>\;
debug_axi_pinc_in(2) <= \<const0>\;
debug_axi_pinc_in(1) <= \<const0>\;
debug_axi_pinc_in(0) <= \<const0>\;
debug_axi_poff_in(21) <= \<const0>\;
debug_axi_poff_in(20) <= \<const0>\;
debug_axi_poff_in(19) <= \<const0>\;
debug_axi_poff_in(18) <= \<const0>\;
debug_axi_poff_in(17) <= \<const0>\;
debug_axi_poff_in(16) <= \<const0>\;
debug_axi_poff_in(15) <= \<const0>\;
debug_axi_poff_in(14) <= \<const0>\;
debug_axi_poff_in(13) <= \<const0>\;
debug_axi_poff_in(12) <= \<const0>\;
debug_axi_poff_in(11) <= \<const0>\;
debug_axi_poff_in(10) <= \<const0>\;
debug_axi_poff_in(9) <= \<const0>\;
debug_axi_poff_in(8) <= \<const0>\;
debug_axi_poff_in(7) <= \<const0>\;
debug_axi_poff_in(6) <= \<const0>\;
debug_axi_poff_in(5) <= \<const0>\;
debug_axi_poff_in(4) <= \<const0>\;
debug_axi_poff_in(3) <= \<const0>\;
debug_axi_poff_in(2) <= \<const0>\;
debug_axi_poff_in(1) <= \<const0>\;
debug_axi_poff_in(0) <= \<const0>\;
debug_axi_resync_in <= \<const0>\;
debug_core_nd <= \<const0>\;
debug_phase(21) <= \<const0>\;
debug_phase(20) <= \<const0>\;
debug_phase(19) <= \<const0>\;
debug_phase(18) <= \<const0>\;
debug_phase(17) <= \<const0>\;
debug_phase(16) <= \<const0>\;
debug_phase(15) <= \<const0>\;
debug_phase(14) <= \<const0>\;
debug_phase(13) <= \<const0>\;
debug_phase(12) <= \<const0>\;
debug_phase(11) <= \<const0>\;
debug_phase(10) <= \<const0>\;
debug_phase(9) <= \<const0>\;
debug_phase(8) <= \<const0>\;
debug_phase(7) <= \<const0>\;
debug_phase(6) <= \<const0>\;
debug_phase(5) <= \<const0>\;
debug_phase(4) <= \<const0>\;
debug_phase(3) <= \<const0>\;
debug_phase(2) <= \<const0>\;
debug_phase(1) <= \<const0>\;
debug_phase(0) <= \<const0>\;
debug_phase_nd <= \<const0>\;
event_phase_in_invalid <= \<const0>\;
event_pinc_invalid <= \<const0>\;
event_poff_invalid <= \<const0>\;
event_s_config_tlast_missing <= \<const0>\;
event_s_config_tlast_unexpected <= \<const0>\;
event_s_phase_chanid_incorrect <= \<const0>\;
event_s_phase_tlast_unexpected <= \<const0>\;
m_axis_data_tdata(15) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(14) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(13) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(12) <= \^m_axis_data_tdata\(11);
m_axis_data_tdata(11 downto 0) <= \^m_axis_data_tdata\(11 downto 0);
m_axis_data_tlast <= \<const0>\;
m_axis_data_tuser(0) <= \<const0>\;
m_axis_phase_tdata(0) <= \<const0>\;
m_axis_phase_tlast <= \<const0>\;
m_axis_phase_tuser(0) <= \<const0>\;
m_axis_phase_tvalid <= \<const0>\;
s_axis_config_tready <= \<const1>\;
s_axis_phase_tready <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
i_synth: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13_viv
port map (
aclk => aclk,
aclken => '0',
aresetn => '0',
debug_axi_chan_in(0) => NLW_i_synth_debug_axi_chan_in_UNCONNECTED(0),
debug_axi_pinc_in(21 downto 0) => NLW_i_synth_debug_axi_pinc_in_UNCONNECTED(21 downto 0),
debug_axi_poff_in(21 downto 0) => NLW_i_synth_debug_axi_poff_in_UNCONNECTED(21 downto 0),
debug_axi_resync_in => NLW_i_synth_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_i_synth_debug_core_nd_UNCONNECTED,
debug_phase(21 downto 0) => NLW_i_synth_debug_phase_UNCONNECTED(21 downto 0),
debug_phase_nd => NLW_i_synth_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_i_synth_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_i_synth_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_i_synth_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_i_synth_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_i_synth_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_i_synth_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => event_s_phase_tlast_missing,
event_s_phase_tlast_unexpected => NLW_i_synth_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(15) => \^m_axis_data_tdata\(11),
m_axis_data_tdata(14 downto 11) => NLW_i_synth_m_axis_data_tdata_UNCONNECTED(14 downto 11),
m_axis_data_tdata(10 downto 0) => \^m_axis_data_tdata\(10 downto 0),
m_axis_data_tlast => NLW_i_synth_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => '0',
m_axis_data_tuser(0) => NLW_i_synth_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(0) => NLW_i_synth_m_axis_phase_tdata_UNCONNECTED(0),
m_axis_phase_tlast => NLW_i_synth_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => '0',
m_axis_phase_tuser(0) => NLW_i_synth_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => NLW_i_synth_m_axis_phase_tvalid_UNCONNECTED,
s_axis_config_tdata(0) => '0',
s_axis_config_tlast => '0',
s_axis_config_tready => NLW_i_synth_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => '0',
s_axis_phase_tdata(23 downto 22) => B"00",
s_axis_phase_tdata(21 downto 0) => s_axis_phase_tdata(21 downto 0),
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_i_synth_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
aclk : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "dds_compiler_0,dds_compiler_v6_0_13,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "dds_compiler_v6_0_13,Vivado 2016.4";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 21 downto 0 );
signal NLW_U0_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of U0 : label is 22;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of U0 : label is 1;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of U0 : label is 1;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of U0 : label is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of U0 : label is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of U0 : label is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of U0 : label is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of U0 : label is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of U0 : label is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of U0 : label is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of U0 : label is 0;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of U0 : label is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of U0 : label is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of U0 : label is 1;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of U0 : label is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 5;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of U0 : label is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of U0 : label is 10000;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of U0 : label is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of U0 : label is 16;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of U0 : label is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of U0 : label is 1;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of U0 : label is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of U0 : label is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of U0 : label is 1;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of U0 : label is 0;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of U0 : label is 0;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of U0 : label is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of U0 : label is 12;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of U0 : label is 10;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of U0 : label is 3;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of U0 : label is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of U0 : label is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of U0 : label is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of U0 : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of U0 : label is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of U0 : label is 24;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of U0 : label is 1;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "artix7";
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_dds_compiler_v6_0_13
port map (
aclk => aclk,
aclken => '1',
aresetn => '1',
debug_axi_chan_in(0) => NLW_U0_debug_axi_chan_in_UNCONNECTED(0),
debug_axi_pinc_in(21 downto 0) => NLW_U0_debug_axi_pinc_in_UNCONNECTED(21 downto 0),
debug_axi_poff_in(21 downto 0) => NLW_U0_debug_axi_poff_in_UNCONNECTED(21 downto 0),
debug_axi_resync_in => NLW_U0_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_U0_debug_core_nd_UNCONNECTED,
debug_phase(21 downto 0) => NLW_U0_debug_phase_UNCONNECTED(21 downto 0),
debug_phase_nd => NLW_U0_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_U0_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_U0_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_U0_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_U0_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => NLW_U0_event_s_phase_tlast_missing_UNCONNECTED,
event_s_phase_tlast_unexpected => NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(15 downto 0) => m_axis_data_tdata(15 downto 0),
m_axis_data_tlast => NLW_U0_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => '0',
m_axis_data_tuser(0) => NLW_U0_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(0) => NLW_U0_m_axis_phase_tdata_UNCONNECTED(0),
m_axis_phase_tlast => NLW_U0_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => '0',
m_axis_phase_tuser(0) => NLW_U0_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => NLW_U0_m_axis_phase_tvalid_UNCONNECTED,
s_axis_config_tdata(0) => '0',
s_axis_config_tlast => '0',
s_axis_config_tready => NLW_U0_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => '0',
s_axis_phase_tdata(23 downto 0) => s_axis_phase_tdata(23 downto 0),
s_axis_phase_tlast => '0',
s_axis_phase_tready => NLW_U0_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => '0',
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
| gpl-3.0 | 183fa635117037fb0f0b7bde31a25b1c | 0.896822 | 1.999226 | false | false | false | false |
cafe-alpha/wasca | fpga_firmware/wasca_toplevel.vhd | 1 | 15,410 | -- wasca.vhd
-- Generated using ACDS version 14.1 186 at 2015.05.28.08:37:08
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca_toplevel is
port (
clk_clk : in std_logic := '0'; -- clk.clk
external_sdram_controller_wire_addr : out std_logic_vector(12 downto 0); -- external_sdram_controller_wire.addr
external_sdram_controller_wire_ba : out std_logic_vector(1 downto 0); -- .ba
external_sdram_controller_wire_cas_n : out std_logic; -- .cas_n
external_sdram_controller_wire_cke : out std_logic; -- .cke
external_sdram_controller_wire_cs_n : out std_logic; -- .cs_n
external_sdram_controller_wire_dq : inout std_logic_vector(15 downto 0) := (others => '0'); -- .dq
external_sdram_controller_wire_dqm : out std_logic_vector(1 downto 0); -- .dqm
external_sdram_controller_wire_ras_n : out std_logic; -- .ras_n
external_sdram_controller_wire_we_n : out std_logic; -- .we_n
external_sdram_controller_wire_clk : out std_logic; -- .clk
reset_reset_n : in std_logic := '0'; -- reset.reset_n
sega_saturn_abus_slave_0_abus_address : in std_logic_vector(25 downto 16) := (others => '0'); -- sega_saturn_abus_slave_0_abus.address
sega_saturn_abus_slave_0_abus_addressdata : inout std_logic_vector(15 downto 0) := (others => '0'); -- .data
sega_saturn_abus_slave_0_abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect
sega_saturn_abus_slave_0_abus_read : in std_logic := '0'; -- .read
sega_saturn_abus_slave_0_abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write
sega_saturn_abus_slave_0_abus_waitrequest : out std_logic; -- .waitrequest
sega_saturn_abus_slave_0_abus_interrupt : out std_logic := '0'; -- .interrupt
sega_saturn_abus_slave_0_abus_disableout : out std_logic := '0'; -- .muxing
sega_saturn_abus_slave_0_abus_muxing : out std_logic_vector(1 downto 0) := (others => '0'); -- .muxing
sega_saturn_abus_slave_0_abus_direction : out std_logic := '0'; -- .direction
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_cmd : inout std_logic := 'X'; -- b_SD_cmd
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat : inout std_logic := 'X'; -- b_SD_dat
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat3 : inout std_logic := 'X'; -- b_SD_dat3
altera_up_sd_card_avalon_interface_0_conduit_end_o_SD_clock : out std_logic ; -- o_SD_clock
uart_0_external_connection_txd : out std_logic := '0' ;
spi_stm32_MISO : in std_logic; -- MISO
spi_stm32_MOSI : out std_logic := '0'; -- MOSI
spi_stm32_SCLK : out std_logic := '0'; -- SCLK
spi_stm32_SS_n : out std_logic := '0'; -- SS_n
audio_out_BCLK : in std_logic := '0'; -- BCLK
audio_out_DACDAT : out std_logic; -- DACDAT
audio_out_DACLRCK : in std_logic := '0'; -- DACLRCK
audio_SSEL : out std_logic := '0'
);
end entity wasca_toplevel;
architecture rtl of wasca_toplevel is
component wasca is
port (
abus_avalon_sdram_bridge_0_abus_address : in std_logic_vector(9 downto 0) := (others => 'Z'); -- address
abus_avalon_sdram_bridge_0_abus_read : in std_logic := 'Z'; -- read
abus_avalon_sdram_bridge_0_abus_waitrequest : out std_logic; -- waitrequest
abus_avalon_sdram_bridge_0_abus_addressdata : inout std_logic_vector(15 downto 0) := (others => 'Z'); -- addressdata
abus_avalon_sdram_bridge_0_abus_chipselect : in std_logic_vector(2 downto 0) := (others => 'Z'); -- chipselect
abus_avalon_sdram_bridge_0_abus_direction : out std_logic; -- direction
abus_avalon_sdram_bridge_0_abus_disable_out : out std_logic; -- disable_out
abus_avalon_sdram_bridge_0_abus_interrupt : out std_logic; -- interrupt
abus_avalon_sdram_bridge_0_abus_muxing : out std_logic_vector(1 downto 0); -- muxing
abus_avalon_sdram_bridge_0_abus_writebyteenable_n : in std_logic_vector(1 downto 0) := (others => 'Z'); -- writebyteenable_n
abus_avalon_sdram_bridge_0_abus_reset : in std_logic := 'Z'; -- reset
abus_avalon_sdram_bridge_0_sdram_addr : out std_logic_vector(12 downto 0); -- addr
abus_avalon_sdram_bridge_0_sdram_ba : out std_logic_vector(1 downto 0); -- ba
abus_avalon_sdram_bridge_0_sdram_cas_n : out std_logic; -- cas_n
abus_avalon_sdram_bridge_0_sdram_cke : out std_logic; -- cke
abus_avalon_sdram_bridge_0_sdram_cs_n : out std_logic; -- cs_n
abus_avalon_sdram_bridge_0_sdram_dq : inout std_logic_vector(15 downto 0) := (others => 'Z'); -- dq
abus_avalon_sdram_bridge_0_sdram_dqm : out std_logic_vector(1 downto 0); -- dqm
abus_avalon_sdram_bridge_0_sdram_ras_n : out std_logic; -- ras_n
abus_avalon_sdram_bridge_0_sdram_we_n : out std_logic; -- we_n
abus_avalon_sdram_bridge_0_sdram_clk : out std_logic; -- clk
audio_out_BCLK : in std_logic := 'Z'; -- BCLK
audio_out_DACDAT : out std_logic; -- DACDAT
audio_out_DACLRCK : in std_logic := 'Z'; -- DACLRCK
clk_clk : in std_logic := 'Z'; -- clk
clock_116_mhz_clk : out std_logic; -- clk
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_cmd : inout std_logic := 'Z'; -- b_SD_cmd
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat : inout std_logic := 'Z'; -- b_SD_dat
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat3 : inout std_logic := 'Z'; -- b_SD_dat3
altera_up_sd_card_avalon_interface_0_conduit_end_o_SD_clock : out std_logic ; -- o_SD_clock
buffered_spi_miso : in std_logic; -- MISO
buffered_spi_mosi : out std_logic := 'Z'; -- MOSI
buffered_spi_clk : out std_logic := 'Z'; -- SCLK
buffered_spi_cs : out std_logic := 'Z'; -- SS_n
uart_0_external_connection_rxd : in std_logic := 'Z'; -- rxd
uart_0_external_connection_txd : out std_logic; -- txd
reset_reset_n : in std_logic := 'Z'; -- reset_n
reset_controller_0_reset_in1_reset : in std_logic := 'Z' ; -- reset
altpll_1_areset_conduit_export : in std_logic := 'Z'; -- export
altpll_1_locked_conduit_export : out std_logic; -- export
altpll_1_phasedone_conduit_export : out std_logic -- export
);
end component;
signal altpll_1_areset_conduit_export : std_logic := '0';
signal altpll_1_locked_conduit_export : std_logic := '0';
signal altpll_1_phasedone_conduit_export : std_logic := '0';
--signal sega_saturn_abus_slave_0_abus_address_demuxed : std_logic_vector(25 downto 0) := (others => '0');
--signal sega_saturn_abus_slave_0_abus_data_demuxed : std_logic_vector(15 downto 0) := (others => '0');
signal clock_116_mhz : std_logic := '0';
signal por_counter : unsigned(31 downto 0) := (others => '0');
signal por_reset : std_logic := '0';
signal por_reset_n : std_logic := '0';
begin
--sega_saturn_abus_slave_0_abus_muxing (0) <= not sega_saturn_abus_slave_0_abus_muxing(1);
external_sdram_controller_wire_clk <= not clock_116_mhz;
my_little_wasca : component wasca
port map (
clk_clk => clk_clk,
clock_116_mhz_clk => clock_116_mhz,
abus_avalon_sdram_bridge_0_sdram_addr => external_sdram_controller_wire_addr,
abus_avalon_sdram_bridge_0_sdram_ba => external_sdram_controller_wire_ba,
abus_avalon_sdram_bridge_0_sdram_cas_n => external_sdram_controller_wire_cas_n,
abus_avalon_sdram_bridge_0_sdram_cke => external_sdram_controller_wire_cke,
abus_avalon_sdram_bridge_0_sdram_cs_n => external_sdram_controller_wire_cs_n,
abus_avalon_sdram_bridge_0_sdram_dq => external_sdram_controller_wire_dq,
abus_avalon_sdram_bridge_0_sdram_dqm => external_sdram_controller_wire_dqm,
abus_avalon_sdram_bridge_0_sdram_ras_n => external_sdram_controller_wire_ras_n,
abus_avalon_sdram_bridge_0_sdram_we_n => external_sdram_controller_wire_we_n,
abus_avalon_sdram_bridge_0_abus_address => sega_saturn_abus_slave_0_abus_address,
abus_avalon_sdram_bridge_0_abus_chipselect => "1"&sega_saturn_abus_slave_0_abus_chipselect(1 downto 0),--work only with CS1 and CS0 for now
abus_avalon_sdram_bridge_0_abus_read => sega_saturn_abus_slave_0_abus_read,
abus_avalon_sdram_bridge_0_abus_writebyteenable_n => sega_saturn_abus_slave_0_abus_write,
abus_avalon_sdram_bridge_0_abus_waitrequest => sega_saturn_abus_slave_0_abus_waitrequest,
abus_avalon_sdram_bridge_0_abus_interrupt => sega_saturn_abus_slave_0_abus_interrupt,
abus_avalon_sdram_bridge_0_abus_addressdata => sega_saturn_abus_slave_0_abus_addressdata,
abus_avalon_sdram_bridge_0_abus_direction => sega_saturn_abus_slave_0_abus_direction,
abus_avalon_sdram_bridge_0_abus_muxing => sega_saturn_abus_slave_0_abus_muxing,
abus_avalon_sdram_bridge_0_abus_disable_out => sega_saturn_abus_slave_0_abus_disableout,
abus_avalon_sdram_bridge_0_abus_reset => reset_reset_n,
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat3 => altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat3,
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat => altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_dat,
altera_up_sd_card_avalon_interface_0_conduit_end_o_SD_clock => altera_up_sd_card_avalon_interface_0_conduit_end_o_SD_clock,
altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_cmd => altera_up_sd_card_avalon_interface_0_conduit_end_b_SD_cmd,
altpll_1_areset_conduit_export => altpll_1_areset_conduit_export,
altpll_1_locked_conduit_export => altpll_1_locked_conduit_export,
altpll_1_phasedone_conduit_export => altpll_1_phasedone_conduit_export,
uart_0_external_connection_rxd => '0',
uart_0_external_connection_txd => uart_0_external_connection_txd,
buffered_spi_miso => spi_stm32_MISO,
buffered_spi_mosi => spi_stm32_MOSI,
buffered_spi_clk => spi_stm32_SCLK,
buffered_spi_cs => spi_stm32_SS_n,
audio_out_BCLK => audio_out_BCLK,
audio_out_DACDAT => audio_out_DACDAT,
audio_out_DACLRCK => audio_out_DACLRCK,
reset_reset_n => por_reset_n,
reset_controller_0_reset_in1_reset => por_reset
);
--empty subsystem
-- external_sdram_controller_wire_addr <= (others => 'Z');
-- external_sdram_controller_wire_ba <= (others => 'Z');
-- external_sdram_controller_wire_cas_n <= (others => 'Z');
-- external_sdram_controller_wire_cke <= (others => 'Z');
-- external_sdram_controller_wire_cs_n <= (others => 'Z');
-- external_sdram_controller_wire_dq <= (others => 'Z');
-- external_sdram_controller_wire_dqm <= (others => 'Z');
-- external_sdram_controller_wire_ras_n <= (others => 'Z');
-- external_sdram_controller_wire_we_n <= (others => 'Z');
-- external_sdram_controller_wire_clk <= (others => 'Z');
-- sega_saturn_abus_slave_0_abus_addressdata <= (others => 'Z');
-- sega_saturn_abus_slave_0_abus_waitrequest <= (others => 'Z');
-- sega_saturn_abus_slave_0_abus_interrupt <= (others => 'Z');
-- sega_saturn_abus_slave_0_abus_disableout <= '1';
-- sega_saturn_abus_slave_0_abus_muxing <= "00";
-- sega_saturn_abus_slave_0_abus_direction <= '0';
-- spi_sd_card_MOSI <= 'Z';
-- spi_sd_card_SCLK <= 'Z';
-- spi_sd_card_SS_n <= 'Z';
-- uart_0_external_connection_txd <= 'Z';
-- spi_stm32_MISO <= 'Z';
-- audio_out_DACDAT <= 'Z';
audio_SSEL <= '1';
--sega_saturn_abus_slave_0_abus_waitrequest <= '1';
--sega_saturn_abus_slave_0_abus_direction <= '0';
--sega_saturn_abus_slave_0_abus_muxing <= "01";
--por
process (clock_116_mhz)
begin
if std_logic(por_counter(24)) = '0' then
por_counter <= por_counter + 1;
end if;
end process;
por_reset <= (std_logic(por_counter(22)));
por_reset_n <= not (std_logic(por_counter(22)));
end architecture rtl; -- of wasca_toplevel
| gpl-2.0 | 60ad4cda0725e41cf4d64465988cbe8f | 0.500584 | 3.559714 | false | false | false | false |
kacaperek/serdescrc16 | PCK_CRC16_D1.vhd | 1 | 2,023 | --------------------------------------------------------------------------------
-- Copyright (C) 1999-2008 Easics NV.
-- This source file may be used and distributed without restriction
-- provided that this copyright statement is not removed from the file
-- and that any derivative work contains the original copyright notice
-- and the associated disclaimer.
--
-- THIS SOURCE FILE IS PROVIDED "AS IS" AND WITHOUT ANY EXPRESS
-- OR IMPLIED WARRANTIES, INCLUDING, WITHOUT LIMITATION, THE IMPLIED
-- WARRANTIES OF MERCHANTIBILITY AND FITNESS FOR A PARTICULAR PURPOSE.
--
-- Purpose : synthesizable CRC function
-- * polynomial: (0 2 12 16)
-- * data width: 1
--
-- Info : [email protected]
-- http://www.easics.com
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package PCK_CRC16_D1 is
-- polynomial: (0 2 12 16)
-- data width: 1
-- convention: the first serial bit is D[0]
function nextCRC16
(Data: std_logic;
crc: std_logic_vector(15 downto 0))
return std_logic_vector;
end PCK_CRC16_D1;
package body PCK_CRC16_D1 is
-- polynomial: (0 2 12 16)
-- data width: 1
-- convention: the first serial bit is D[0]
function nextCRC16
(Data: std_logic;
crc: std_logic_vector(15 downto 0))
return std_logic_vector is
variable d: std_logic_vector(0 downto 0);
variable c: std_logic_vector(15 downto 0);
variable newcrc: std_logic_vector(15 downto 0);
begin
d(0) := Data;
c := crc;
newcrc(0) := d(0) xor c(15);
newcrc(1) := c(0);
newcrc(2) := d(0) xor c(1) xor c(15);
newcrc(3) := c(2);
newcrc(4) := c(3);
newcrc(5) := c(4);
newcrc(6) := c(5);
newcrc(7) := c(6);
newcrc(8) := c(7);
newcrc(9) := c(8);
newcrc(10) := c(9);
newcrc(11) := c(10);
newcrc(12) := d(0) xor c(11) xor c(15);
newcrc(13) := c(12);
newcrc(14) := c(13);
newcrc(15) := c(14);
return newcrc;
end nextCRC16;
end PCK_CRC16_D1;
| gpl-2.0 | 3d6270af5bd715205f4e7a5526524e34 | 0.574394 | 3.38861 | false | false | false | false |
Subsets and Splits